TWI413202B - 半導體製造系統,界面系統,承載器,半導體晶圓容器,吸附裝置 - Google Patents

半導體製造系統,界面系統,承載器,半導體晶圓容器,吸附裝置 Download PDF

Info

Publication number
TWI413202B
TWI413202B TW98120972A TW98120972A TWI413202B TW I413202 B TWI413202 B TW I413202B TW 98120972 A TW98120972 A TW 98120972A TW 98120972 A TW98120972 A TW 98120972A TW I413202 B TWI413202 B TW I413202B
Authority
TW
Taiwan
Prior art keywords
environmental control
carrier
chamber
interface system
adsorption
Prior art date
Application number
TW98120972A
Other languages
English (en)
Other versions
TW201001599A (en
Inventor
Yi Li Hsiao
Chen Hua Yu
Jean Wang
Ming Che Ho
Chien Ling Hwang
Jui Pin Hung
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201001599A publication Critical patent/TW201001599A/zh
Application granted granted Critical
Publication of TWI413202B publication Critical patent/TWI413202B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

半導體製造系統,界面系統,承載器,半導體晶圓容器,吸附裝置
本發明係有關於半導體製造系統領域,尤其是有關於提供受控制環境的半導體製造系統。
積體電路技術持續地演進著,而這些演進使得裝置越縮越小,藉此成就了低製造成本,高裝置整合密度,高速度以及高效能。隨著體積縮小帶來的優點,製造生產積體電路的機構也隨著進化。
半導體積體電路係經由積體電路製造廠中的多個處理程序製成。這些製程牽涉到的製造工具,包含熱氧化,滲雜,離子植入,快速熱製程(RTP),化學氣相沉積(CVD),物理氣相沉積(PVD),磊晶,蝕刻,以及光學蝕刻技術。在製造過程中,產品(例如半導體基板)會在製造設施中和製造工具間傳送。舉例來說,一般在一製造步驟完成後,該基板會被從一處理室中移出,並轉送至一承載器中暫時安放,以等待後續製程。在傳送過程中,該基板可能曝露於充滿各種不理想變數的環境中,以致於受到溼度,氧氣濃度以及空氣分子污染影響。環境曝露所造成的影響通常需要一些淨化程序來修復。因此,一種可提供受控制的保護性環境的系統和方法是有必要的。
本發明包含一半導體製造系統,一種界面系統,一種承載器,以及實作於上述裝置的方法。在一界面系統的實施例中,一封裝室以及至少一閘門覆蓋該封裝室的開口。一機械系統置於該封裝室中,包含至少一支架用以支撐及傳送至少一基板。至少一第一管路耦接該封裝室,注入氣體於該封裝室中。一環境控制槽以及空氣循環系統耦接著該封裝室。
另一實施例為一種承載器,用以提供受控制的保護環境。其中包含一封裝室以及至少一閘門用以覆蓋該封裝室的開口。一機械系統置於該封裝室中,包含至少一支架用以支撐至少一基板。至少一環境控制元件放置於該封裝室中。
另一實施例是一種半導體製造系統,包含多個處理室。至少一界面系統包含一第一環境控制元件,至少一承載器包含一第二環境控制元件。一控制模組耦接該些處理室,該至少一界面系統,以及該至少一承載器。
進一步的實施例是一種半導體晶圓容器,用以提供受控制的保護環境,該半導體晶圓容器包含一特定結構,包含一吸附裝置,用以減低該半導體裸晶圓容器中的溼度、氧氣或其他殘渣;以及一或多個元件,用以接收該特定結構中的半導體晶圓。
本發明另提出一種吸附裝置,包含在一晶圓容器中,提供並維護該晶圓容器中之一受控制的保護環境,包含與一晶圓相似的形狀,以及一或多個催化劑,用以降低該晶圓容器中之溼度及氧氣濃度。
本發明另一實施例是一種半導體處理系統,提供一受控制的保護環境。其中包含至少一承載器,具有一或多個半導體晶圓,至少一處理室,以及至少一設備前端模組(EFEM),裝載一環境偵測器,用以監測該設備前端模組中的環境參數。其中該一或多個半導體晶圓在該半導體處理系統的處理過程中,被傳送至該至少一承載器該至少一處理室,及/或該至少一設備前端模組。
下列說明提供許多不同實施例,以實作本發明的不同特徵。並將以元件和安排方式的特例來簡化說明本發明。這些都只是範例而並非限制。此外,本揭露書會在多個例子中重複使用參考標號和字元。這些重複是為了簡單清楚的說明,而並不表示多個實施例間有關聯。在此所謂的連接或耦接,意指直接接觸,也可以是間接接觸。另外,空間上的相對描述用詞,例如「下」「上」「水平」「垂直」「高」「低」「頂端」「底層」等都只是為了解釋元件之間的相對關係,所以其他不同面向的裝置也包含在其範例中。
參考第1圖到第6圖,一半導體製造系統100,一界面系統300,一承載器400,以及一程序500各別描述如下,用於提供一種受控制的保護環境。該半導體製造系統100,界面系統300和承載器400可能加入額外的特徵,而在進一步實施例中某些特徵可被取代或被移除。在程序500中可新增額外步驟於前段、中段、後段,且其中部份步驟可被取代或移除形成進一步實施例。這些半導體製造系統100,界面系統300,承載器400和程序500的實施例控管環境條件而提供了一種保護性的環境,使製造中的基體免於曝露在不適當的環境條件下,例如溼度和氧氣。
參照第1圖,該半導體製造系統100包含至少一控制器110,包含一感應器122的端口120,一處理室200,而該界面系統300包含一第一環境控制元件,該承載器400包含一第二環境控制元件。該半導體製造系統100也可包含任何數量的控制器110,端口120,界面系統300和承載器400。
該半導體製造系統100可製造並運送至少一基板105。該基板105可以是基板本體,裸晶圓,半導體基板或晶圓,遮罩,光罩,電漿過濾盤,積體電路,吸附墊,任何製程中用來製造新膜體或基層的基材,任何半導體製造系統100適用的基體,以及/或上述組合。基板105進一步地可包含各種適合的形狀或大小。在一實施例中,該基板105的直徑可以是300mm或450mm。
控制器110可與處理室200,界面系統300以及承載器400溝通。該控制器110可進一步與端口120溝通。該控制器110包含軟體,用以實作控制功能,可同時且動態地進行多工處理。該控制器110也可包含硬體,例如電腦,網路,及/或溝通界面,橋接於處理室200,界面系統300,承載器400,製造執行系統(MES),電腦整合製造系統(CIM),自動材料處理系統(AMHS),虛擬工廠或其他適當的系統,及/或上述之組合。
該控制器110與界面系統300和承載器400溝通,使環境條件在受到控制的前提之下進行基板105的製造與運送。該控制器110可與耦接界面系統300和承載器400的環境控制感應器(或偵測器)溝通,以監控並維護各種環境條件。舉例來說,控制器110監控氧氣濃度和溼度,使之維持在可接受的範圍。
包含感應器122的端口120,放置在接近界面系統300的外表面之一閘門處。該端口120為該承載器400提供支撐。感應器122可感測承載器400是否放置於端口120上,並確認其放置狀態是否穩固。另一例子中,承載器400可直接連接至界面系統300的牆而獲得支撐。在一些例子中,端口120是一種負載埠,而基板105係放置其上而藉此在承載器400和界面系統300之間來往搬運。
該半導體製造系統100包含一或多個處理室200。該處理室200中可進行任何處理程序,例如化學氣相沉積(CVD),物理氣相沉積(PVD),蝕刻(例如電漿蝕刻),熱氧化,滲雜,離子植入,化學機械研磨(CMP),快速回火(RTA),磊晶,光學蝕刻,其他適當製程,及/或上述組合。該處理室200可以是一關鍵程序房室,例如開口之乾蝕刻室,銅晶種層形成室,銅化學機械研磨室,低介電質材料形成室,退火室(例如鎳退火),多晶矽生成前的氧化層閘極預清洗室,鍍鎳室,電漿乾蝕刻後用的房室,或其他可形成或施加材料於該基板並與該基板產生反應的房室。處理室200進一步包含一火爐。該處理室200也可以是一搬運室,支撐室,儲存室,且/及上述組合。
一般來說,在處理室200中完成一道程序後,該基板105會被移出該處理室200,在半導體製造系統100中通過界面系統300搬至承載器400暫存,以等待後續程序的進行。當基板105在半導體製造系統100中移動時,該基板105會曝露在周遭環境下,包含不良的環境條件,例如溼度,氧氣濃度,及空氣分子污染。這些不良環境條件會對基板105造成不良影響,致使該基板105必須經過額外的清洗程序才能獲得修復。
該半導體製造系統100可包含一或多個具有特定結構的容器,用以容納一環境控制元件,藉以顯著地降低周圍環境的不良條件影響。更確切地說,該半導體製造系統100中的該界面系統300包含第一環境控制元件,而該承載器400包含第二環境控制元件,可提供該半導體製造系統100的內部一個保護性的環境,其中的環境條件獲得適當控制,有利於基板105的搬運、儲存以及製造。該第一環境控制元件,第二環境控制元件,及/或任何其他半導體製造系統100中的環境控制元件可包含一或多個催化劑(或吸附劑),一或多個吸附劑(催化劑)單元,一或多個環境控制槽,一或多個吸附晶圓,一或多個吸附袋,以及/或上述組合,將於下詳述。第一環境控制元件和第二環境控制元件控制半導體製造系統100中的第一環境條件和第二環境條件。
該半導體製造系統100包含該界面系統300,該界面系統300包含該第一環境控制元件。該界面系統300在處理室200和承載器400之間搬運(或輸送)該基板105的過程中提供條件控制的環境。第2圖係為該界面系統300的實施例。該界面系統300包含多個牆壁305,一封裝室310,一組閘門315和320,一機械系統325,一氣體供應系統330,一抽取系統335,一加熱元件340,產生空氣對流352的一空氣循環系統350,包含吸附元件361的一環境控制槽360,一再生元件362,一冷卻元件364,一排放系統365,一粒子過濾器370,以及一環境控制感應器375(或偵測器)。在實施例中,第一環境控制元件包括該空氣循環系統350,提供空氣對流352,該環境控制槽360,再生元件362,冷卻元件364,該排放系統365,以及該粒子過濾器370。第一環境控制元件中可包含任何單獨元件或多個元件之排列組合。該界面系統300可以是一種設施界面,也可以包含一設備前端模組。
該些牆壁305形成一封裝室310,可提供保護性的環境,使環境條件受到控制,以便於運輸該基板105。該組閘門315和320可以是在該些牆壁305的其中一片上(或在其中)。該閘門315用來使基板105在界面系統300和處理室200之間輸送,而該閘門320使基板105在界面系統300和承載器400之間輸送。
至少一機械系統325放置於封裝室310中。該機械系統325係用來使基板105透過閘門315和320,在處理室200和承載器400之間或在承載器400和界面系統之間輸送。該機械系統325在界面系統300中,從承載器400將該基板105輸送至各種處理室200中,或從該界面系統300中的各種處理室200中傳輸至該承載器400。其他實施例可能包含多個機械系統325。更進一步地說,機械系統325所輸送到的位置並不在本發明的限制中。
該界面系統300中包含氣體供應系統330,抽取系統335,以及加熱元件340。該氣體供應系統330耦接封裝室310。在本發明實施例中,該氣體供應系統330係放置於該封裝室310的底部。然而該氣體供應系統330也可以放置在封裝室310的頂部或側邊。該氣體供應系統330透過管路332將氣體注入封裝室310。所提供的氣體可以是任何適當的氣體,例如氮(N2 ),氫(H2 ),氦(He),氬(Ar),惰性氣體,其他適當氣體或上述組合。在某些實施例中,該氣體供應系統330也可包含一氣體櫃,其他任何可提供氣體給封裝室310的裝置,或上述之組合。
抽取系統335耦接該封裝室310。在本實施例中,該抽取系統335係放置在該封裝室310的底部。然而其他的實施例中,該抽取系統335也可放置在封裝室310的頂部或/及側邊。抽取系統335透過管路337將氣體從封裝室310中排除(抽出)。被抽出的氣體可能包含氣體供應系統330注入封裝室310的氣體,空氣,溼氣,氧氣,空氣分子污染,或任何其他適當氣體。該抽取系統335包含幫浦,真空系統,引擎,馬達,其他可將氣體自封裝室310中移除的適當裝置,或上述組合。
氣體供應系統330和抽取系統335可單獨運作或同時運作。在基板105儲存於封裝室310的期間,氣體供應系統330和抽取系統335可有效的從封裝室310中移除不良環境條件,尤其是溼氣和氧氣。
加熱元件340進一步提供不良條件的移除機制,例如將溼氣和氧氣從封裝室310中移除。一或多個加熱元件340可放置在封裝室310上或牆壁305之內。在本發明實施例中,兩個加熱元件340放在封裝室310中的兩側牆上。然而,加熱元件340的相對位置並不限定於本實施例所述。加熱元件340可增加界面系統300移除不條環境條件的效率。
一般來說,氣體供應系統330和抽取系統335可有效地保護基板105不被曝露於不良環境下。然而氣體供應系統330和抽取系統335原本沒辦法使溼度和氧氣濃度維護在可接受的範圍。本實施例可將溼度和氧氣濃度降至1%以下,達到只有幾ppm的水準。空氣循環系統350提供空氣對流352,該環境控制槽360包含吸附元件361,再生元件362,以及冷卻元件364。該排放系統365和粒子過濾器370,可獨立或搭配運作以提供第一環境控制元件,藉以有效的從封裝室310中移除溼氣和氧氣,並使其效果維持在只有幾ppm的水準。
空氣循環系統350包含一風扇或任何可在封裝室310中產生空氣對流352的裝置。在本實施例中,空氣循環系統350在大部份的氧氣和溼氣被移除後就被開啟。舉例來說,空氣循環系統350可在溼氣和氧氣濃度降至1%的時候開啟。空氣循環系統350在封裝室310中提供空氣對流352並吹至環境控制槽360。該空氣對流352有助於將剩餘的氧氣濃度和溼度降至只有幾ppm的水準。
該環境控制槽360耦接至封裝室310,放置在封裝室310的上部。在另一實施例中,該環境控制槽360也可放置在封裝室310的底部或/及側邊。該環境控制槽360包含吸附元件361。該吸附元件361包含一或多個催化劑(或吸附劑),可大量的減低封裝室310中的氧氣和溼氣,並進一步縮減移除氧氣和溼氣的時間。催化劑或吸附劑可以是任何適當的用料,例如除溼可使用Na86 [(AlO2 )86 (SiO2 )106 ]‧*H2 O,而消除氧氣可使用銅或石墨催化劑。在某實施例中,催化劑包含除溼劑,除氧劑,以及/或上述組合。
環境控制槽360可運作於再生模式或吸附模式。再生元件362和冷卻元件364可促進這些模式的運作。冷卻元件364輔助吸附模式的運作,開啟之後可增強環境控制槽360中吸附元件361的吸附能力。再生元件362輔助再生模式的運作,在吸附元件361飽和之時開啟。再生元件362提供熱力使催化劑再生。舉例來說,再生元件362可將催化劑加熱至250℃。進一步地,再生元件362可釋出再生氣體,例如高溫氮氣(N2 )及/或氫氣(H2 )以使催化劑再生。環境控制槽360進一步的耦接至排放系統365和粒子過濾器370,藉其機制進一步的淨化封裝室310中的氧氣、溼氣,空氣分子污染和其他會影響基板(或晶圓)的不良粒子
一般而言,在某些例子中,界面系統300係預先淨化過的,溼度和氧氣濃度已降至1%以下(例如藉由氣體供應系統330)。接著該界面系統300被封閉起來,由環境控制元件(例如環境控制槽360)繼續將氧氣濃度和溼度維持在1%以下(基本上可繼續下降至幾ppm的水準)。
在本實施例中提供了一個環境控制槽360。然而多個環境控制槽360也可同時存在於界面系統300中。舉例來說,該界面系統300包含兩個環境控制槽360,使得再生模式和吸附模式可得同進行(例如,當一個吸附元件361在吸附模式時,另一吸附元件361處於再生模式)。這項功能有效的減少了保養時間。
該界面系統300進一步包含環境控制感應器375。該環境控制感應器375也可稱為條件控制偵測器,放置於封裝室310中的多個牆壁305其中之一上或其中。環境控制感應器375可辨識封裝室310中的狀況,監控溼度,氧氣濃度以及空氣分子污染位準。在某實施例中,該環境控制感應器375可監控其他環境條件,以利於維持一保護基板105的環境。該環境控制感應器375可監看封裝室310中的氣壓,並與控制器110溝通。該環境控制感應器375將環境條件的值告訴控制器110,而該控制器110監看封裝室310中的氧氣濃度,溼度,空氣分子污染或其他條件,及/或上述組合是否超出允許範圍,以決定是否觸發再生模式及/或吸附模式,藉此使環境條件維持在允許範圍。舉例來說,在某些實施例中,根據由環境控制感應器375接收到的訊息,該控制器110判斷何時該啟動再生模式或吸附模式。藉由與環境控制感應器375溝通,該控制器110有效地控制界面系統300中的不良環境條件,例如溼度和氧氣濃度,使之維持在允許範圍。
在某些實施例中,該界面系統300可包含一顯示裝置。該顯示裝置可包含一液晶顯示器及/或一發光二極體顯示器。該界面系統300可包含一抗環境材料。舉例來說,該界面系統300的一或多個外表面及/或內表面可包含抗環境材料的鍍膜。抗環境材料可包含抗溼,抗氧,抗空氣分子污染,其他適當材料,或上述之組合。
該半導體製造系統100進一步包含承載器400,該承載器400中包含第二環境控制元件,在儲存、運輸或拿取該基板105的時候提供受控制的保護環境。第3圖顯示該承載器400包含第二環境控制元件的實施例。該承載器400包含基板105,多個牆壁405,一封裝室410,一閘門415,一框架420,一封閉裝置425,基板支架430,一環境控制感應器440,一氣體供應系統445,一吸附墊450。在本實施例中,該第二環境控制元件包含吸附墊450。
該承載器400可包含一卡匧,前開口式通用容器,標準機械界面(SMIF),光罩承載器,或任何其他可承載一或多個基板105的承載器。多個牆壁405組成封裝室410,提供受控制的保護環境,用以保存並搬運該基板105。在本實施例中,承載器400係為一前開口式通用容器,而閘門415係位於承載器400的一側。該閘門415亦包含一框架420,藉此該閘門415可移動進出該框架420。另一實施例中,該承載器400可以是一卡匧或標準機械界面,而其閘門415置於該承載器400的底部。
一或多個基板支架430放置於封裝室410中,支撐著該基板105。該基板支架430耦接至該些牆壁405之至少其中之一。該基板支架430包含盤子,在牆壁405上的小突出物或小溝槽,其他可適當支撐基板105的結構,及/或上述組合。
該承載器400進一步包含該環境控制感應器440。該環境控制感應器440也可稱為環境控制偵測器,放置於該封裝室410中該些牆壁405的其中之一上或其中。該環境控制感應器440監測封裝室410中的狀況,溼度,氧氣濃度,以及空氣分子污染位準。在某實施例中,該環境控制感應器440監控其他為了保護基板105而有必要被控制的環境條件。舉例來說,環境控制感應器440監控封裝室410中的氣壓。
該環境控制感應器440可與控制器110溝通。在某些實施例中,該環境控制感應器440將不良環境條件的位準傳送至該控制器110。當該封裝室410中的氧氣濃度,溼度,空氣分子污染,其他條件,及/或上述組合超出允許範圍,該控制器110觸發淨化模式或/且吸附模式,使環境條件位準回到允許範圍。舉例來說,根據由環境控制感應器440所提供的訊號和訊息,該控制器110判斷淨化模式或吸附模式觸發的時機。
藉由與環境控制感應器440溝通,控制器110可有效的控制承載器400中的環境條件範圍,使溼度,氧氣濃度維持在適當範圍。
承載器400進一步包含一氣體供應系統445。該氣體供應系統445耦接該封裝室410,置於該封裝室410的底部。然而其他實施例中,該氣體供應系統445也可放置於封裝室410的頂部或/且側邊。該氣體供應系統445透過一管路注入氣體至封裝室410。注入的氣體包含任何適當氣體,例如高溫氮氣(N2 ),氫氣(N2 ),氦氣(He),氬氣(Ar),惰性氣體,任何適當氣體,且/或上述組合。氣體供應系統445可包含一氣體櫃,任何其他可提供氣體給封裝室410的裝置,且/或上述組合。該承載器400可進一步包含一抽出系統,耦接該封裝室410,用以從封裝室410中移除(或抽出)氣體。被抽出的氣體包含氣體供應系統445注入封裝室410的氣體,空氣,溼氣,氧氣,空氣分子污染,其他可能氣體。抽出系統可包含一幫浦,一真空系統,一引擎,一馬達,其他可從封裝室410中抽出氣體的裝置,及/或上述組合。
該氣體供應系統445(及該抽出系統)在基板105儲存於封裝室410期間,有效的從封裝室410中移除不良環境條件,尤其是溼氣和氧氣。
該承載器400可包含一顯示裝置。該顯示裝置包含一液晶顯示器且/或一發光二極體顯示器。該承載器400包含一抗環境材料(屏柵)。舉例來說,該承載器400的一或多個內表面及/或外表面上包含抗環境材料鍍膜。抗環境材料可包含抗溼,抗氧,抗空氣分子污染,其他適當抗環境材料,及/或上述組合。
基本上,該氣體供應系統445可有效地保護基板105不致於曝露於不良環境中。該氣體供應系統445原本沒辦法使溼度和氧氣濃度維護在可接受的範圍。本實施例的承載器400包含第二環境控制元件,吸附墊450,可將溼度和氧氣濃度降至1%至2%以下,吸附墊450有效地將氧氣和不良條件從封裝室410中移除,並保持其效果於低達只有幾ppm的水準。舉例來說承載器400事先已被淨化,溼度和氧氣濃度已(透過氣體供應系統445)降至1%下。接著承載器400被蓋在系統中,由吸附墊450進一步將溼度和氧氣濃度保持在1%以下(基本上可維持在幾ppm的水準)。
該第二環境控制元件可包含一或多個吸附墊450,放置在承載器400的封裝室410中。該吸附墊450可以是任何形狀。在本實施例中,該吸附墊450包含一半導體晶圓的形狀,使基板支架430可輕易的支撐該吸附墊450,並輕易的在承載器400中置換該吸附墊450。吸附墊450可包含一直徑300mm的裸晶圓形狀及/或450mm的裸晶圓形狀。吸附墊450可包含網孔結構,網狀結構,多孔結構,任何其他結構材料,及/或上述組合。該吸附墊進一步包含催化劑(吸附劑),可顯著地加速降低封裝室410中氧氣濃度和溼度。吸附墊450可吸收溼氣,氧氣,空氣分子污染,其他不良條件,及/或上述組合。該催化劑或吸附劑包含任何適當材料。舉例來說,除溼劑可以是Na86 [(AlO2 )86 (SiO2 )106 ]‧*H2 O。除氧劑可公是銅或石墨催化劑。催化劑可包含除溼劑,除氧劑,且/或上述組合。
當吸附墊450飽和時,吸附墊可在封裝室410中再生,且/或被置換為新的。如果要再生封裝室410中的吸附墊450,需注入再生氣體至封裝室410中(例如透過氣體供應系統445)。再生氣體可包含高溫氮氣,氫氣,氦氣,氬氣,惰性氣體,任何適當氣質,及/或上述組合。再生氣體可將催化劑再生,藉此催化劑可繼續執行吸附功能,移除不良條件如溼度和氧氣。如果要置換吸附墊450,飽和的吸附墊450會被輸送至一回收室以進行回充,而一新的不飽和的吸附墊會被輸送至該承載器400中。
第4圖係為一再生室220的實施例。該再生室220的運作基本上和半導體製造系統100中的處理室200相同。該再生室220包含多個吸附墊450和吸附墊架455。在該承載器400中,當吸附墊450飽和時,會被機械系統325透過界面系統300輸送再生室220,並放置在再生室220中的吸附墊架455上以進行回充。接著,不飽和的吸附墊450從再生室220中移出,被機械系統325透過界面系統300輸送至承載器400。該半導體製造系統包含兩個再生室220,一個用來接收飽和的吸附墊450,一個用來提供回充完成的吸附墊450。
該再生室220可協助再生,回充,以及回收飽和的吸附墊450。該再生室220包含一氣體供應系統,一抽取系統,一加熱單元,任何其他適當的催化劑再生元件,以及/或上述組合。該氣體供應系統耦接該再生室220,將氣體例如高溫氮氣,氫氣,氦氣,氬氣,惰性氣體,任何其他適當氣體,及/或上述組合注入其中。該氣體供應系統可包含一氣體櫃,任何其他可提供氣體給再生室220的適當裝置,以及/或上述組合。該抽取系統耦接該再生室220,用以將氣體從該再生室220中抽出。該抽出系統也同時將不良條件從該再生室220中移除,例如空氣,溼氣,氧氣,空氣分子污染,其他因子,以及/或上述組合。該抽取系統包含一幫浦,一真空系統,一引擎,一馬達,其他可將氣體從再生室220中出的適當裝置,以及/或上述組合。一或多個加熱單元可置於再生室220中。在一實施例中,該加熱單元可將回收室加熱至250℃,使吸附墊450再生。
第3B圖係為第3A圖中包含第二環境控制元件之承載器400的實施例。然而除了包含吸附墊450之外,該承載器400也可包含環境控制袋460和提供空氣循環的空氣循環元件462。在本實施例中,第二環境控制元件包含環境控制袋460和空氣循環元件462。在另一實施例中,第二環境控制元件只包含環境控制袋460或空氣循環元件462。另一方面,該承載器400可包含吸附墊450,環境控制袋460,及/或空氣循環元件462的組合。
環境控制袋460係耦接至封裝室410。在本發明中,該環境控制袋460係置於多個牆壁405及/或該閘門415其中之一上或其中。然而另一實施例中,該環境控制袋460也可放置於封裝室410的底部,頂部,及/或其他側邊。該環境控制袋460包含催化劑(或吸付劑)。該催化劑大量且快速地減低封裝室410中的氧氣濃度和溼度。舉例來說,為了除溼,該催化劑可以是Na86 [(AlO2 )86 (SiO2 )106 ]‧*H2 O。而消除氧氣可使用銅或石墨催化劑。在某實施例中,催化劑包含除溼劑,除氧劑,以及/或上述組合。
空氣循環元件462中可包含一風扇或任何可在封裝室410中產生空氣循環並吹至環境控制袋460的裝置。在本實施例中,空氣循環元件462在大部份的氧氣和溼氣被移除後就被開啟。舉例來說,空氣循環元件462可在溼氣和氧氣濃度降至1%的時候開啟,有助於將剩餘的氧氣濃度和溼度降至只有幾ppm的水準。
半導體製造系統100進一步在界面系統300和承載器400之間的界面提供受控制的環境,使基板105在界面系統300的閘門320和承載器400的閘門415之間的搬運過程中不被曝露在不良環境下。如第5圖所示,當界面系統300耦接至承載器400時,界面系統300和承載器400之間的不良環境條件被淨化了。在本實施例中,承載器400連接至界面系統300中的多個牆壁305其中之一。在本實施例中,該些牆壁305包含該閘門320,至少一夾具321,一第一封閉裝置322,至少一管路323,以及一第二封閉裝置324。
當界面系統300和承載器400相連結之後,閘門320和閘門415的閘門耦接在一起。該至少一夾具321將承載器400綁上界面系統300。該夾具321包含夾鉗,旋鈕夾鉗,夾扣,或其他可將承載器400綁上界面系統300的裝置。該至少一夾具321可水平地及/或垂直地轉旋或移動。進一步地,本實施可採用任何數量的夾具321。
在界面系統300和承載器400連接上之後,該第一封閉裝置322將牆壁305(含閘門320)和閘門415之間的區域封閉。第二封閉裝置324放置在牆壁305和閘門320之間,牢固地封閉界面系統300的牆壁305。該第一封閉裝置322和第二封閉裝置324可包含橡皮帶,O形環,膠體,任何可封閉該區域的裝置,以及/或上述組合。
該管路323包含用以抽取和注入氣體的管路。在本實施例中,至少一管路323綁在界面區域之內,用以移除不良環境條件,例如空氣,溼氣,氧氣。而另外至少一管路323提供氣體或混合氣體至該界面區域。將該界面系統300的閘門320和承載器400的閘門415之間的區域淨化,可增強對半導體製造系統100的環境控制,使基板105在從界面系統300搬運至承載器400的過程中不曝露在不良條件下。
半導體製造系統100在運輸和製造基板105的時候可利用程序程序500。如第1圖到第6圖所示,該程序程序500啟始於步驟502。在步驟502中,提供一處理室200,界面系統300,以及或承載器400。在步驟504中,由控制器110觸發一淨化模式。該淨化模式包含注入任何適當氣體至界面系統300和承載器400中,例如高溫氮氣,氫氣,氦氣,氬氣,惰性氣體,任何其他適當氣體,以及/或上述組合。淨化模式將界面系統300或承載器400中的不良環境條件移除,包含氧氣,溼氣,空氣分子污染,以及/或上述組合。淨化模式進一步包含一抽取程序,界面系統300或承載器400的牆壁加熱程序,任何其他可降低不良環境條件的適當機制,以及/或上述組合。
當淨化模式減低界面系統300或承載器400的環境條件至一既定位準,則切換至吸附模式。該既定位準代表一預設的氧氣濃度,溼度,空氣分子污染,其他不良條件,以及/或上述組合。舉例來說,淨化模式將環境條件降低至第一濃度。在步驟506中,當到達既定位準(例如第一濃度)時,該控制器110啟動吸附模式。在界面系統300中觸發吸附模式時,即隨著啟動提供空氣對流352的空氣循環系統350,包含再生元件362和冷卻元件364的環境控制槽360,該排放系統365,粒子過濾器370,以及上述各單項或組合。在承載器400中啟動吸附模式時,即啟動吸附墊450,環境控制袋460,空氣循環元件462,以及上述各單項或組合。該吸附模式可將環境條件降至一第二濃度,其中該第二濃度低於第一濃度。進一步地,該吸附模式將環境條件維持在該淨化模式所達到的第一濃度。
當環境條件到達一允許範圍時,於步驟508中,該界面系統300和承載器400,以及/或處理室200中的環境條件被監控著。舉例來說,控制器110持續監控該界面系統300,該承載器400,以及/或該處理室200以使環境條件維持在允許範圍(透過與環境控制感應器溝通(或偵測器))。根據環境條件的位準,控制器110可再次觸發淨化模式或是吸附模式。當環境條件在可允許範圍內,於步驟510,一基板(例如基板105)可被運送至界面系統300,承載器400,以及/或處理室200中。在該基板位於該界面系統300,承載器400,以及/或處理室200中時,環境條件仍持續地被監控著。本方法在基板105在半導體製造系統100中被製程與運送時,有效地維持一受控制的保護性環境。
雖然本發明以較佳實施例說明如上,但可以理解的是本發明的範圍未必如此限定。相對的,任何基於相同精神或對本發明所屬技術領域中具有通常知識者為顯而易見的改良皆在本發明涵蓋範圍內。因此專利要求範圍必須以最廣義的方式解讀。
100...半導體製造系統
105...基板
110...控制器
120...端口
122...感應器
200...處理室
300...界面系統
400...承載器
305...牆壁
310...封裝室
315,320...閘門
321...夾具
322...第一封閉裝置
323...管路
324...第二封閉裝置
325...機械系統
330...氣體供應系統
335...抽取系統
332,337...管路
340...加熱元件
350...空氣循環系統
352...空氣對流
360...環境控制槽
361...吸附元件
362...再生元件
364...冷卻元件
365...排放系統
370...粒子過濾器
375...環境控制感應器
400...承載器
405...牆壁
410...封裝室
415...閘門
420...框架
425...封閉裝置
430...基板支架
440...環境控制感應器
445...氣體供應系統
450...吸附墊
455...吸附墊架
460...環境控制袋
462...空氣循環元件
本發明的詳細實施例可搭配下列圖示而獲得最佳理解效果。
第1圖係為本發明實施例之環境控制系統之切面圖;
第2圖係為本發明實施例之環境控制系統中之界面系統之切面圖;
第3A圖係為本發明實施例之環境控制系統中之承載器之切面圖;
第3B圖係為本發明實施例之環境控制系統中之承載器之切面圖;
第4圖係為本發明實施例之環境控制系統中之回收室之切面圖;
第5圖係為本發明實施例之環境控制系統中之界面系統和承載器之間的界面之切面圖;以及
第6圖係為本發明實施例中進行環境控制方法之流程圖。
100...半導體製造系統
110...控制器
120...端口
122...感應器
200...處理室
300...界面系統
400...承載器

Claims (20)

  1. 一種界面系統,用以提供受控制的保護環境,該界面系統包含:一封裝室,以及至少一閘門用以覆蓋該封裝室的開口;一機械系統,置於該封裝室中,其中該機械系統包含至少一支架用以支撐及傳送至少一基板;至少一第一管路,耦接該封裝室,用以注入氣體於該封裝室中;以及一環境控制槽以及空氣循環系統,耦接該封裝室;其中該環境控制槽包含多個催化劑以及一再生單元,其中該再生單元用以加熱該環境控制槽以再生該些催化劑。
  2. 如申請專利範圍第1項所述之界面系統,進一步包含至少一加熱元件耦接該封裝室。
  3. 如申請專利範圍第1項所述之界面系統,進一步包含:一粒子過濾器,耦接該環境控制槽;以及至少一第二管路,耦接該粒子過濾器和該環境控制槽。
  4. 如申請專利範圍第1項所述之界面系統,進一步包含至少一環境控制感應器耦接該封裝室,其中該環境控制感應器偵測該裝封室中的溼度,氧氣濃度,空氣分子污染程度,或上述之組合。
  5. 如申請專利範圍第1項所述之界面系統,其中該 些催化劑包含一除溼劑,一除氧劑,一空氣清淨劑,或上述之組合。
  6. 如申請專利範圍第5項所述之界面系統,其中該環境控制槽進一步包含:一冷卻單元,用以冷卻該環境控制槽以加強對該些催化劑的吸附能力。
  7. 一種承載器,用以提供受控制的保護環境,包含:一封裝室,以及至少一閘門用以覆蓋該封裝室的開口;一機械系統,置於該封裝室中,其中該機械系統包含至少一支架用以支撐至少一基板;至少一環境控制元件放置於該封裝室中;以及一氣體供應系統,耦接該封裝室;其中,該至少一環境控制元件包括一再生濕氣吸附元件,且該氣體供應系統用來再生該再生濕氣吸附元件。
  8. 如申請專利範圍第7項所述之承載器,進一步包含至少一第一管路耦接該封裝室,用以注入氣體於該封裝室中。
  9. 如申請專利範圍第7項所述之承載器,其中該至少一環境控制元件包含至少一吸附墊,一吸附袋,或上述之組合。
  10. 如申請專利範圍第7項所述之承載器,其中該至少一環境控制元件包含多個催化劑,其中該些催化劑包含一除溼劑,一除氧劑,一空氣清淨劑,或上述之組合。
  11. 如申請專利範圍第9項所述之承載器,其中該吸附墊包含一網孔結構,一網狀結構,一多孔結構或是上述之組合。
  12. 如申請專利範圍第7項所述之承載器,進一步包含至少一環境控制感應器耦接該封裝室,其中該至少一環境控制感應器偵測該裝封室中的溼度,氧氣濃度,空氣分子污染程度,或上述之組合。
  13. 一種半導體製造系統,提供受控制的保護環境,包含:多個處理室;至少一界面系統,其中該界面系統包含一第一環境控制元件;至少一承載器,其中該承載器包含一第二環境控制元件;以及一控制模組,耦接該些處理室,該至少一界面系統,以及該至少一承載器;其中該第一環境控制元件包含一環境控制槽;其中該環境控制槽包含一再生元件和一冷卻元件。
  14. 如申請專利範圍第13項所述之半導體製造系統,其中:該第一環境控制元件和該第二環境控制元件包含多個催化劑;以及該些催化劑包含一除溼劑,一除氧劑,一空氣清淨劑,或上述之組合。
  15. 如申請專利範圍第13項所述之半導體製造系 統,其中該第二環境控制元件包含一吸附晶圓,一吸附袋,或上述組合。
  16. 如申請專利範圍第13項所述之半導體製造系統,其中該界面系統和該承載器包含至少一環境控制感應器,用以偵測溼度,氧氣濃度,空氣分子污染程度,或上述之組合。
  17. 如申請專利範圍第16項所述之半導體製造系統,其中該控制模組與該至少一環境控制感應器通訊。
  18. 一種吸附裝置,包含在一晶圓容器中,提供並維護該晶圓容器中之一受控制的保護環境,包含:與一晶圓相似的形狀;以及一或多個催化劑,其中該一或多個催化劑降低該晶圓容器中之溼度及氧氣濃度。
  19. 如申請專利範圍第18項所述之吸附裝置,其中該一或多個催化劑包含:Na86 [(AlO2 )86 (SiO2 )106 ].*H2 O。
  20. 如申請專利範圍第18項所述之吸附裝置,進一步包含一網孔結構,一網狀結構,一多孔結構或是上述之組合。
TW98120972A 2008-06-23 2009-06-23 半導體製造系統,界面系統,承載器,半導體晶圓容器,吸附裝置 TWI413202B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US7483108P 2008-06-23 2008-06-23
US12/435,861 US8827695B2 (en) 2008-06-23 2009-05-05 Wafer's ambiance control

Publications (2)

Publication Number Publication Date
TW201001599A TW201001599A (en) 2010-01-01
TWI413202B true TWI413202B (zh) 2013-10-21

Family

ID=41431471

Family Applications (1)

Application Number Title Priority Date Filing Date
TW98120972A TWI413202B (zh) 2008-06-23 2009-06-23 半導體製造系統,界面系統,承載器,半導體晶圓容器,吸附裝置

Country Status (3)

Country Link
US (1) US8827695B2 (zh)
CN (2) CN101656199B (zh)
TW (1) TWI413202B (zh)

Families Citing this family (273)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5410794B2 (ja) * 2009-03-17 2014-02-05 東京エレクトロン株式会社 基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9711324B2 (en) * 2012-05-31 2017-07-18 Axcelis Technologies, Inc. Inert atmospheric pressure pre-chill and post-heat
US9958424B2 (en) * 2012-10-01 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of identifying airborne molecular contamination source
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102006878B1 (ko) 2012-12-27 2019-08-05 삼성디스플레이 주식회사 기판 검사식각 복합장치 및 이를 구비하는 기판 처리장치
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102186620B1 (ko) * 2013-05-06 2020-12-03 삼성전자주식회사 로드 포트 모듈 및 이를 이용한 기판 로딩 방법
JP6060822B2 (ja) * 2013-06-13 2017-01-18 トヨタ自動車株式会社 移載装置及び生産システム
CN105453246A (zh) 2013-08-12 2016-03-30 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
US9368378B2 (en) * 2013-12-31 2016-06-14 Sophia Wen Semiconductor wafer cleaning system
KR102162366B1 (ko) 2014-01-21 2020-10-06 우범제 퓸 제거 장치
US9607873B2 (en) 2014-02-07 2017-03-28 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and operation method thereof
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016085622A1 (en) 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
JP6511858B2 (ja) * 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 搬送室
JP6500498B2 (ja) * 2015-02-27 2019-04-17 シンフォニアテクノロジー株式会社 搬送室及び搬送室のケミカルフィルタの湿度管理方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI788061B (zh) * 2015-08-04 2022-12-21 日商昕芙旎雅股份有限公司 門開閉系統及具備門開閉系統之載入埠
US10515834B2 (en) 2015-10-12 2019-12-24 Lam Research Corporation Multi-station tool with wafer transfer microclimate systems
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10192762B2 (en) * 2016-01-26 2019-01-29 Applied Materials, Inc. Systems and methods for detecting the existence of one or more environmental conditions within a substrate processing system
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107284856A (zh) * 2016-04-11 2017-10-24 深圳市辰中科技有限公司 用于精密生产的环境保持系统及方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102440197B1 (ko) * 2016-12-02 2022-09-02 어플라이드 머티어리얼스, 인코포레이티드 반도체 기판 프로세싱 시스템들을 위한 진보된 인-시튜 입자 검출 시스템
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6945357B2 (ja) * 2017-06-08 2021-10-06 東京エレクトロン株式会社 制御装置。
US10566216B2 (en) 2017-06-09 2020-02-18 Lam Research Corporation Equipment front end module gas recirculation
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN107433103B (zh) * 2017-08-15 2019-11-26 武汉华星光电半导体显示技术有限公司 除雾装置、湿式处理设备及除雾方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10861692B2 (en) 2017-10-26 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier deterioration detection and repair
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11194259B2 (en) 2018-08-30 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Equipment module with enhanced protection from airborne contaminants, and method of operation
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
CN110160279B (zh) * 2019-05-24 2021-03-16 广东美的暖通设备有限公司 变频制冷系统和具有其的冷水机组
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
WO2020122261A2 (ja) * 2020-03-31 2020-06-18 ミライアル株式会社 基板収納容器
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7277840B2 (ja) * 2020-06-16 2023-05-19 シンフォニアテクノロジー株式会社 ロードポート及びロードポートの駆動方法
JP7025670B2 (ja) * 2020-06-16 2022-02-25 シンフォニアテクノロジー株式会社 ロードポート及びefem
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI811705B (zh) * 2021-02-25 2023-08-11 日商鎧俠股份有限公司 半導體製造裝置及半導體裝置之製造方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022116637A1 (de) 2022-07-04 2024-01-04 Technische Universität Dresden, Körperschaft des öffentlichen Rechts Waschbarer adsorptionsfilter zur adsorption von kontaminationen aus der luft bei der waferproduktion

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5871587A (en) * 1994-04-08 1999-02-16 Canon Kabushiki Kaisha Processing system for semiconductor device manufacture of otherwise
TW200511411A (en) * 2003-05-29 2005-03-16 Nitto Denko Corp Wafer back surface treating method and dicing sheet adhering apparatus
TW200926334A (en) * 2007-11-02 2009-06-16 Tokyo Electron Ltd Temperature control device for target substrate, temperature control method and plasma processing apparatus including same

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3034765B2 (ja) 1994-07-28 2000-04-17 株式会社半導体エネルギー研究所 レーザー処理方法
JP3916380B2 (ja) 1999-07-06 2007-05-16 株式会社荏原製作所 基板搬送容器待機ステーション
US6591162B1 (en) 2000-08-15 2003-07-08 Asyst Technologies, Inc. Smart load port with integrated carrier monitoring and fab-wide carrier management system
JP3939101B2 (ja) 2000-12-04 2007-07-04 株式会社荏原製作所 基板搬送方法および基板搬送容器
JP2003332402A (ja) * 2002-05-10 2003-11-21 Kondo Kogyo Kk ミニエンバライメント方式の半導体製造装置
KR100505061B1 (ko) * 2003-02-12 2005-08-01 삼성전자주식회사 기판 이송 모듈
US6993405B2 (en) 2003-11-05 2006-01-31 International Business Machines Corporation Manufacturing product carrier environment and event monitoring system
EP1731212A4 (en) * 2004-03-31 2008-07-16 Daikin Ind Ltd GAS CLEANER
TWI275451B (en) * 2005-01-11 2007-03-11 Asia Ic Mic Process Inc Measurement of thickness profile and elastic modulus profile of polishing pad
JP4518986B2 (ja) * 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
JP4744175B2 (ja) * 2005-03-31 2011-08-10 東京エレクトロン株式会社 基板処理装置
US7418982B2 (en) * 2006-05-17 2008-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier and facility interface and apparatus including same
US8322299B2 (en) 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
US7758338B2 (en) 2007-05-29 2010-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier, port apparatus and facility interface and apparatus including same
US8109407B2 (en) 2007-05-30 2012-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for storing substrates

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5871587A (en) * 1994-04-08 1999-02-16 Canon Kabushiki Kaisha Processing system for semiconductor device manufacture of otherwise
TW200511411A (en) * 2003-05-29 2005-03-16 Nitto Denko Corp Wafer back surface treating method and dicing sheet adhering apparatus
TW200926334A (en) * 2007-11-02 2009-06-16 Tokyo Electron Ltd Temperature control device for target substrate, temperature control method and plasma processing apparatus including same

Also Published As

Publication number Publication date
CN102176409A (zh) 2011-09-07
US20090317214A1 (en) 2009-12-24
TW201001599A (en) 2010-01-01
CN101656199B (zh) 2011-07-20
CN101656199A (zh) 2010-02-24
CN102176409B (zh) 2013-06-12
US8827695B2 (en) 2014-09-09

Similar Documents

Publication Publication Date Title
TWI413202B (zh) 半導體製造系統,界面系統,承載器,半導體晶圓容器,吸附裝置
JP6622702B2 (ja) 再循環型基板コンテナパージングシステム及び方法
CN102738048B (zh) 基板处理装置
US6883539B2 (en) Wafer container
TWI500105B (zh) 蓋體開閉裝置
CN111788667A (zh) 具有工厂接口腔室过滤器净化的基板处理设备及方法
US11581181B2 (en) Orientation chamber of substrate processing system with purging function
JP2009503899A (ja) 移送容器
KR102592920B1 (ko) 로드락 모듈 및 이를 포함하는 반도체 제조 장치
US20210159096A1 (en) Substrate cleaning apparatus and substrate cleaning method
WO2020111013A1 (ja) ウェーハストッカ
JP2009188411A (ja) シリル化処理方法、シリル化処理装置およびエッチング処理システム
EP1209249A2 (en) Semiconductor manufacturing system and method for cleaning the same
JPH113867A (ja) 半導体製造装置
JP2020113629A (ja) 処理装置
JP5653702B2 (ja) ポットおよびこのポットを備えた基板処理装置
KR20080060781A (ko) 건식 식각 장치 및 방법
JP2004119627A (ja) 半導体製造装置
JPH0864666A (ja) 基板収納容器及び基板処理方法
KR102300977B1 (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
JP2005353978A (ja) シリル化処理装置およびシリル化処理方法
JP2006134901A (ja) 基板処理装置
JP2020053691A (ja) 再循環型基板コンテナパージングシステム及び方法
JP2006049408A (ja) 基板洗浄装置
JP2004127975A (ja) 基板処理装置