CN101894794A - 使用聚合物定向自组装形成子平版印刷特征的方法 - Google Patents

使用聚合物定向自组装形成子平版印刷特征的方法 Download PDF

Info

Publication number
CN101894794A
CN101894794A CN2010101851953A CN201010185195A CN101894794A CN 101894794 A CN101894794 A CN 101894794A CN 2010101851953 A CN2010101851953 A CN 2010101851953A CN 201010185195 A CN201010185195 A CN 201010185195A CN 101894794 A CN101894794 A CN 101894794A
Authority
CN
China
Prior art keywords
hole
perforate
substrate
average
territory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010101851953A
Other languages
English (en)
Other versions
CN101894794B (zh
Inventor
郑雅如
黎家辉
李伟健
罗英惠
C·雷特纳
D·P·桑德斯
杨达
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101894794A publication Critical patent/CN101894794A/zh
Application granted granted Critical
Publication of CN101894794B publication Critical patent/CN101894794B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0353Holes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/07Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0198Manufacture or treatment of microstructural devices or systems in or on a substrate for making a masking layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Nanotechnology (AREA)
  • Analytical Chemistry (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Abstract

本文使用聚合物定向自组装形成子平版印刷特征的方法,描述了包括嵌段共聚物自组装的方法,其中从具有目标CD(临界尺寸)的开孔(在一个或多个基板中)开始,在规则阵列或随机排列中形成孔。显著地,所形成孔的平均直径中的百分偏差小于初始开孔的平均直径中的百分偏差。可将形成的孔(或通孔)传递到下面的基板中,且随后向这些孔中回填材料,如金属导体。甚至是在低于22nm技术关键点下,本发明的优选方面能够产生具有较密节距和较好CD均匀性的通孔。

Description

使用聚合物定向自组装形成子平版印刷特征的方法
技术领域
本发明涉及形成纳米级结构的方法。更具体地,本发明涉及使用嵌段共聚物以形成具有相对均一直径的孔的结构。
背景技术
半导体装置通常包括形成在基板上的电路网络。此装置可由若干层电路连线,以及用于将这些层彼此连接的各种连接(interconnect)和任何下层下面的层的晶体管组成。通常,作为制备方法的一部分,通孔(via)或连接孔形成在图案形成层中,传递至下面的层,并随后用金属充满以形成连接,使得电路图的各个层彼此是电连通的。
形成连接的现有技术方法通常依赖于一系列平版印刷(lithographic)和蚀刻步骤以限定通孔的位置和尺寸,这进而限定对应的连接的位置和尺寸。为此,可使用抗蚀剂和硬掩膜(hardmask)。然而,使用大量制备用的常规光学平版印刷技术(如193nm干和浸渍平版印刷)形成的特征的尺寸已达到平版印刷工具的分辨率极限。在较密节距(pitch)下产生具有适当临界尺寸(CD)均一性的通孔是今后技术关键点的主要挑战之一。国际半导体技术路线图(ITRS)要求整体CD偏差(3∑偏差,其中∑是临界尺寸的标准差)小于CD的10%,以确保合理的装置性能(参见ITRS LithographyRoadmap,2007 Edition,pp 12-13)。然而,使用常规光学平版印刷,甚至昂贵和复杂的双图案形成方法,分辨率增强技术(计算平版印刷)和严格布图设计限制,预计难以越过22nm关键点。
作为可能解决产生更小尺寸图案问题的方案,嵌段共聚物(BCP)图案形成已经引起人们的关注。在正确条件下,此类共聚物相的嵌段分离为微域(也称为“微相分离的域”或“域”(domain))以减少总自由能,且在此过程中,形成不同的化学组合物的纳米级特征。嵌段共聚物形成此类特征的能力使它们可用在纳米图案形成中,且程度达到形成具有更小CD的特征,这应该能够构建使用常规平版印刷难以印刷的特征。
定向自组装(DSA)是可能的备选方案,其通过在平版印刷限定的已形成图案的基板上产生自组装纳米级域来扩大目前平板印刷的分辨率极限。这种方法将自组装方面与平版印刷限定的基板结合,以控制特定自组装BCP域的空间排列。一种DSA技术是制图外延法,其中自组装由平版印刷已预形成图案的基板的形貌特征(topographical feature)所引导。BCP制图外延法提供子平版印刷的、自组装的特征,其具有比预图案本身特征尺寸更小的特征尺寸。
发明内容
本文的方法降低CD偏差,对于任意布置的通孔和在这些通孔中形成的垂直连接,改进图案保真度并增加处理窗口(例如曝光宽容度(exposurelatitude))。本发明的优选方面能够在密节距下(如低于22nm关键点)产生具有适当CD均匀性的通孔。公开了这类方法,其中用嵌段共聚物施于具有开孔集合的基板。自组装域形成,导致在每个开孔中的中心域。中心域随后可选择性地取出,因此形成孔,其可以传递至下面的基板。
在要对每个初始开孔为圆柱形的情况下,理想上每个开孔应具有均一的直径,而且,每个开孔的直径应该相同。然而,实际上,这些开孔中的每个会与此理想有偏差,使得用于形状上基本是圆柱形的单个开孔CD的适合度量是其平均直径。此外,CD在不同开孔间有偏差。然而,即使对于在它们各自临界尺寸上具有相对大偏差的初始开孔的集合(如当一个实质上是圆柱形开孔的平均直径与另一个略有不同时),相比于初始开孔,本文公开的方法(在所施用聚合物自组装和蚀刻步骤之后)导致相对更均一CD的孔的形成。
本发明的一个方面是一种方法,包括提供具有目标临界尺寸(CD)小于200nm的不连续的开孔的基板,开孔具有它们以标准偏差σ开孔和平均CD 为特征的CD上的统计学偏差,3σ开孔是平均CD开孔的至少10%。此方法也包括将包括嵌段共聚物的一层聚合物施于基板上,其中共聚物的组分彼此间不混溶。允许聚合物在每个开孔的内部形成在周围的自组装域内的自组装中心域。选择性地去除中心域,由此形成每个中心域所在处的孔,孔具有以标准偏差σ和平均CD为特征的CD统计学偏差,且σ/平均CD小于σ开孔/平均CD开孔的0.8(或小于0.7,或甚至小于0.5)。方法可进一步包括将孔传递到基板中,并向孔中回填材料。基板可包括抗蚀剂、硬掩膜或抗反射涂层中的至少一种。可将聚合物退火以诱导自组装中心域和周围的自组装域的形成。具有目标CD的开孔数可至少为100或更多,如至少1000。不连续的开孔可为圆形,此时目标临界尺寸可为圆形开孔直径的度量。或者,不连续开孔可为椭圆形。形成的孔可以以周期性阵列排列,或它们可以不形成周期性阵列。
本发明的另一方面是一种方法,包括提供多个基板,每个基板具有目标直径小于200nm的开孔,其中对于每个基板此目标直径相同。开孔具有这样的平均直径,其具有以标准偏差σ开孔和平均直径开孔为特征的统计学偏差(对于基板中的全部开孔),3σ开孔是目标直径的至少10%。在每个基板上,施用包括嵌段共聚物的聚合物的层,共聚物的组分彼此不混溶。允许聚合物在每个基板中每个开孔的内部形成在周围的自组装域内的自组装中心域。选择性地将中心域从每个基板上去除,由此形成每个中心域所在处的孔。孔具有各自的直径和它们直径上的统计学偏差(对于基板中的全部孔),所述统计学偏差以标准偏差σ和平均直径表征。σ/平均直径的比率小于σ/平均直径的0.8。方法可同时用于基板(如可一次处理若干个基板),或者,方法可顺序地用于基板(一个基板接另一个基板,如在流水装配线工艺中)。
本发明的另一方面是一种方法,包括提供表面具有开孔的基板,开孔具有的边界等于由目标直径小于200nm的重叠孔形成的边界。孔具有限定与侧壁邻接的突起的侧壁,且重叠孔具有各自的直径和它们直径上的统计学偏差,其以标准偏差σ重叠孔和平均直径重叠孔表征。将包括嵌段共聚物的聚合物层施于表面上,其中聚合物的组分彼此间不混溶。允许聚合物在对应于孔之一的各部分开孔的内部形成单个不连续的、分离的域。随后去除至少一些不连续的、分离的域,因此形成孔,形成的孔具有各自的直径和它们直径上的统计学偏差,其以标准偏差σ形成的孔和平均直径形成的孔表征。每个不连续的、分离的域的位置由至少一个侧壁和形成所述至少一个侧壁的至少一部分的突起所预先确定。此外,不连续的、分离的域具有各自的几何中心,且对于任何给定的域,其中心和给定域的最邻近者相隔一段距离,所述距离小于对应侧壁的孔的平均曲率半径总和,所述侧壁预先确定给定域和给定域者的最邻近的位置。此外,σ形成的孔/平均直径形成的孔小于σ重叠孔/平均直径重叠孔,如其可为0.8倍或更低。此方法也可包括将形成的孔传递到基板中。在一个实施例中,3σ重叠孔是目标直径的至少10%。
附图说明
图1至4显示出各自的平面图或俯视图(不包括显示三维视图的图3D以及显示数据的图2C、2D和5B),其中:
图1,包括图1A、1B和1C说明了本发明的实施,其中从基板中圆形开孔起,可使用至少包括嵌段共聚物的聚合物形成较小的孔,且从自组装域形成的孔的CD中的百分偏差小于初始开孔的CD中的百分偏差;
图2,包括图2A、2B、2C和2D呈现了与图1所示实施相关的实验结果,其中图2A和2B是扫描电子显微术(SEM)显微照片,且图2C和2D呈现从那些和其它显微照片推出的结果;
图3,包括图3A、3B和3C说明了本发明的实施,其中使用包括嵌段共聚物的聚合物集合(assembly)使多个孔形成在分段的预图案内,且从自组装域形成的孔的CD中的百分偏差小于组成初始开孔的重叠孔的CD中的百分偏差;
图4,包括图4A和4B呈现与图3中所示实施相关的扫描电子显微术(SEM)显微照片;和
图5,包括图5A和5B,其中图5A呈现本发明实施的SEM显微照片,其中从基板中椭圆形开孔起,可使用至少包括嵌段共聚物的聚合物层形成较小的椭圆形或圆形孔,且其中从自组装域形成的孔的CD中的百分偏差小于初始开孔的CD中的百分偏差,且其中图5B呈现从那些和其它显微照片推出的结果。
具体实施方式
本文公开了包括嵌段共聚物定向自组装(DSA)的方法,其中自组装域在一个或多个基板的开孔内形成(这些开孔具有预先选择或目标CD(如直径,如果孔是圆形的话))。特定自组装域的选择性去除产生比初始开孔小的孔。这些孔的布置或可是规则阵列或可是任意排列。显著地,所形成孔的CD中的百分偏差小于初始开孔的CD中的百分偏差。可将形成的孔(或通孔)传递到下面的层中,且随后并向这些孔中回填如金属导体等材料。
1.使用基板中不连续的、圆柱形开孔的定向自组装
例如,图1A显示其中具有开孔124的基板120的平面图。(术语“基板”广义上用于指适用于本文所述任何方法的任何物理结构,包括但不必然限于用于半导体工业中的基板。)尽管在实际中,通常使用更多的开孔,如几百个、几千个或更多,但为了清楚,图1中仅显示了4个开孔124。
当产生多个开孔时,这些开孔具有CD的统计学分布(如本圆柱形开孔实施例中的直径)。可使用平均(中间值)CD和样品标准偏差σ来表征此CD的统计学分布。样品标准偏差(σ)是值从平均值(中间值)离散的宽度的度量。样品标准偏差(σ)由以下公式确定:
Figure GSA00000121912600061
其中CD平均是样品中间值,且n是样品大小。
当制备集成电路的结构时,特征的大小和大小均一度是装置适当操作的关键。在装置设计中,规定特征的目标临界尺寸和公差值。通常,允许的公差是名义CD的10%,且通常表示为σ的整倍数(通常为3σ)。换句话说,三倍的CD的样品标准偏差应该小于目标CD的10%。其它细节参见“Handbook of Semiconductor Manufacturing Technology”,Y.Nishi,R.Doering,eds.,New York:Marcel Drekker,2000。
开孔124具有目标临界尺寸或CD(在图1的情况中,目标直径),然而具有至少10%的显著大小偏差(3σ>10%CD)。如当使用光学平版印刷形成开孔,且开孔小到平版印刷工具无法生产具有适合CD均一性的开孔,如当在或接近分辨率极限工作时,此情形会在此时发生。每个开孔124具有垂直或基本垂直(即与基板120垂直)的侧壁(未显示)。
将含嵌段共聚物(和可能的其它添加剂,如下所述)的定向自组装(DSA)配方施于基板120上,如图1B所示。对于每个开孔124,将嵌段共聚物相分离为域142、146,单个中心域146被域142围绕。根据所用嵌段共聚物的类型,此自组装的发生可以是自发或诱发,如由退火工艺引起。在开孔124的侧壁上,作为此相分离工艺的结果,可有(或没有)对应于域142的聚合物的薄涂层(刷层(brush layer)),这取决于预图案的表面化学和所用嵌段共聚物的组成。
如图1C所示,可选择性地去除域146以形成随后可传递至下面的基板120的孔,由此形成接触孔或通孔150的图案。可将域146去除,如通过使用显像方法(如将它们在含水的碱显像剂中显像),通过将它们溶解在溶剂中,或将它们通过等离子体蚀刻去除。此方法选择性地去除域146的聚合物,同时保留域142的聚合物。与初始开孔124相比,所得通孔150有利地享有它们平均直径的较小百分偏差。
直接测量自组装域的CD并非不重要。在本文中,代替为测量最终所得通孔的CD。应理解,最终通孔的临界尺寸可显著受到用于选择性去除域146所选用方法的影响。在本文中,已经使用氧等离子体蚀刻方法选择性地去除域,以在通孔的CD中最接近地复制域146的CD。在域146的选择性去除期间,无需刻意使用特定的选择性去除方法来降低CD或CD偏差。
可利用各种方法论或图像分析工具来确定初始开孔和所得通孔的CD。例如,临界尺寸可通过分析SEM图像来确定。文中公开实施例中近圆形孔的CD以及椭圆形孔中长轴和短轴的长度使用SuMMIT接触分析工具箱(由EUV Technology,Martinez,CA的软件分支来开发和许可)来确定,且对初始开孔和所得/产生孔的SEM图像优化检测参数的预设方法。与测量临界尺寸相关的测量事宜参见H.M.Marchman,“Critical DimensionalMetrology”in Microlithography:Science and Technology,J.R.Sheats,B.W.Smith,eds.New York:Marcel Dekker,1998,chapter 12。
实施例1
将聚苯乙烯(PS)和聚甲基丙烯酸甲酯(PS-b-PMMA,96kg/mol-35kg/mol,来自聚合物来源)的双嵌段共聚物的层旋涂到基板上,其包括具有60nm至100nm直径开孔的硬化的193nm抗蚀剂(JSR AM2073J)图案。(开孔在先已经通过e-beam平版印刷在基板上的抗蚀剂中产生,这是因为e-beam平版印刷允许快速制作大量的不同测试图案而无需产生昂贵的光掩膜或使用现有的193nm浸渍平版印刷工具。然而,实施例1和实施例2(如下)均可使用光学平版印刷来重复。)将自组装聚合物在200℃下烘烤5分钟,且随后将暴露的PMMA通过氧等离子体去除以产生孔。图2A显示在施涂聚合物前在相同基板不同部分中的开孔(类似于图1A中的开孔124),然而图2B显示在蚀刻步骤后的所得孔(类似于图1C中的孔150)。特别地,图2A显示代表性的开孔的阵列,其CD(此具体实例中的平均直径)是100nm,80nm和61nm(这些数中的每个代表约50-100开孔的统计学平均)。图2B显示相应接触孔的CD(此具体实例中的平均直径)分别是45nm、42nm和39nm(这些数中的每个再一次代表统计学平均)。
虽然初始开孔的CD范围从100nm至61nm(比较图2A的顶部和底部板),但是随后接触孔的CD范围从45nm至仅39nm(比较图2B的顶部和底部板)。这提示自组装方法不但可产生具有比初始开孔更小临界尺寸的通孔,而且所得通孔相对于初始开孔的百分CD偏差将具有更小的百分CD偏差。这样,相对于所得通孔的CD中所需的百分偏差,因为自组装方法的优点,初始开孔的CD中相应可允许的百分偏差可略微放宽成较大值。当通过如在或接近其分辨率极限的光学平版印刷技术构建初始开孔时,此事实允许较大的工艺余地。
在图2C中,所得通孔的平均CD(参见图2B)针对初始开孔的平均CD(参见图2A)来绘制。图2A和2B所示的三个数据点[(61,39);(80,42);(100,45)]以及与这些数据点一致的其它数据包括在图2C内。
介绍初始开孔的CD中的偏差的度量如何在接触孔或通孔的CD中偏差中显示其自己是有益的。本文所介绍的度量被称为“自组装误差因子”(SEF)。特别地,在此将SEF定义为所得通孔的CD中的百分偏差(即,归一化为这些通孔的平均CD)除以初始开孔的CD中的相应百分偏差(即,归一化为目标开孔直径,或更具体地,归一化为全部初始开孔的平均CD)。尽管相对于初始开孔的平均CD计算出SEF,但理想上平均CD应等于目标CD。
Figure GSA00000121912600091
Figure GSA00000121912600092
平均CD初始开孔和平均CD所得通孔很容易计算。此处,ΔCD所得通孔/ΔCD初始 开孔项从图2C中所绘制的数据的瞬时斜率(instantaneous slope)计算出。此方法可能是计算SEF用的最严格的方法,这是因为SEF不是完美的线性函数。然而,在一些情况下,可以更方便的测量出所得通孔和初始开孔的标准偏差,并在SEF方程式中用σ所得通孔初始开孔替换ΔCD所得通孔/ΔCD初始开孔
相对于初始开孔的百分CD偏差,如果自组装方法增加所得通孔的百分CD偏差,则SEF的值将大于1。相对于初始开孔的百分CD偏差,如果自组装方法仅仅重复所得通孔的百分偏差,则SEF的值将为1。出乎意料地,发现自组装方法降低(减少)初始开孔的百分CD偏差(误差),使得所得通孔的百分CD偏差小于初始开孔的百分CD偏差,即本文报导的SEF的观察值显著小于1。因此,SEF也可被称为“自组装误差降低因子”(SERF)。在光学平版印刷中,通常使用掩膜误差因子(MEF)和掩膜误差增强因子(MEEF)来描述由光掩膜上特征的不均一性所诱发的在抗蚀剂图案中的误差。虽然SERF和“掩膜误差增强因子”(MEEF)描述不同的物理现象,且由不同方法产生,但是这两个概念下面的数学形式体系是相似的。
SERF是相对于初始开孔的CD中的百分偏差,所得通孔的CD中百分偏差多大的度量。在图2D中,对图2C所示的数据绘制SERF,且对于给定适合的嵌段共聚物,SERF随初始开孔直径的降低而降低。也就是说,对于初始开孔,适合的嵌段共聚物组装方法在降低最终开孔的百分CD偏差上的效率相对于较小初始开孔更大(即SERF在初始开孔CD较小时也较小)。例如,对于具有100nm平均CD的初始开孔,SERF是约0.39,然而在60nm时,SERF是约0.27。因此,对于100nm的目标初始直径,所得通孔的百分CD偏差(即对平均CD归一化的偏差)是初始开孔的百分CD偏差(即对平均CD归一化的偏差)的0.39。换句话说,聚合物材料的定向自组装对初始开孔或预图案的大小的敏感性低于预期。当试图产生极小开孔时,平版印刷误差(如MEEF)通常增加;然而,本文中表明对较小开孔,适当设计的嵌段共聚物组装方法可展现出相反的行为并提供较低误差(或偏差)。如此,来自本文所公开的DSA方法的SERF可补偿由用于制备小初始开孔的成像工艺所产生的增加的MEEF。
2.使用基板中分段的、已预形成图案开孔的定向自组装
超过22nm关键点,光学平版印刷可能不能得到会导致在每个开孔中单个自组装孔形成的产生不连续开孔所需的分辨率。实际中,重叠的不连续开孔会合并以在基板内形成一个或多个分段的已预形成图案的开孔。例如,当正抗蚀剂被过度曝光或负抗蚀剂曝光不足时,此合并可能发生。分段的已预形成图案的开孔具有大量定向特征或“突起”,这引导或控制每个自组装域的位置以实现所希望的布置精确性。此外,自恢复效果(即,相对于初始开孔的CD中百分偏差,所得通孔的CD中百分偏差的降低)也通过这些分段的已预形成图案的开孔观察到。可认为分段的已预形成图案的开孔是结合大量重叠的不连续(通常圆柱形)的、每个都是可通过光学平版印刷分辨的尺寸的孔的结果。
因此,在本发明的备选实施中,可使用具有(某一几何学的)分段的预图案的基板,因此有助于自组装域的良好布置精确性。例如,图3A显示其中具有开孔324的基板320的平面图,现将描述其几何学。图3D显示相应的三维图,且应结合图3A来观看。可认为开孔324源自基板320中多于1个的孔的重叠。每个重叠孔是圆柱形式,具有垂直或基本垂直(即与基板垂直)的侧壁328。因此(在基板320的表面处)开孔324的边界可被视为圆的重叠,在圆柱体的情况中(其具有垂直侧壁),其是在基板平面上圆柱形孔的投影。因此(在基板320的表面处)开孔324的周长由与这些重叠圆有关的圆弧332所限定,每个具有曲率半径。换句话说,图3A可被认为显示在侧壁的顶部(即在基板320的顶部表面)上的侧壁328的平面横截面。将此平面内侧壁的周长用具有各自小于100nm的曲率半径rj的圆弧332定义。
更普遍地,重叠孔可为有轮廓的和凸起的,但可不是理想的圆柱形,使得可使用基板320表面处孔的平均半径代替。例如,孔的横截面可偏离圆形,且侧壁可以是倾斜的(圆锥孔)或具有更复杂的形状(如具有泪滴状孔)。对于这些更复杂的情况,平均曲率半径可被视为具有相同排量体积(displacement volume)的等价圆柱孔的半径。开孔324的侧壁328连接孔交叉处,此处侧壁限定突起元件或突起336。因此,给定突起336可视为两个相邻侧壁的一部分,且由两个相邻侧壁形成。
尽管图3A所示的分段的预图案源自圆孔的重叠,实际上,给定开孔的真实孔不是理想地圆形,这是由于平版印刷的局限,包括抗蚀剂和其它加工步骤的非理想的反应。应理解认为此偏差在本发明的范围内。对于具有非圆形轮廓的任何区段,其平均曲率半径可使用基于Hough变换的算法来测定(参见,如William K.Pratt,“Digital Image Processing”,secondedition,Wiley-Interscience Publication,ISBN 0-471-85766-1,1991)。
为了清楚,图3A所示的开孔324仅由四个圆柱形孔形成,虽然在实际中,开孔324可由多于四个的孔形成,即其可由几百个、几千个或甚至更多个孔形成。组成开孔324的每个较小的孔具有相同的目标CD(在此情况中,目标直径),然而这些较小的孔可具有如至少10%的显著大小偏差(3σ>10%CD)。
如图3B所示,将含嵌段共聚物(和可能的其它添加剂,如下所述)的配方施于基板320上,并退火以形成域342,346。如图3B所示,域342,346相分离,域346被域342所围绕。在优选的实施中,不多于单一域346形成在形成一部分分段的预图案开孔324的每个圆柱形孔内。在侧壁328上,可以有(或没有)对应于域342的聚合物的薄涂层(刷层),这是此相分离方法的结果,这取决于预图案的表面化学和所用嵌段共聚物的组成。
自组装的,不连续的,分离的域346具有各自的几何中心,其位置由至少一个侧壁328和其相应的突起336所决定。例如,域346a的几何中心的位置由对应于区段332a的侧壁所决定,所述区段332a在两个突起336a1和336a2之间延伸,通过这两个突起的协助(assistance)。另一方面,相邻域346b的几何中心由对应于区段332b的两个侧壁所决定(在各自突起对336a1和336b1,以及突起对336a2和336b2之间延伸),通过这两个突起的协助。因此,具有它们突起336的侧壁328可视为“定向特征”,这是因为它们预先确定了在它们各自自由能最小化点处域346的位置(或方向)。如图3C所示,可选择性地去除域346以形成随后可传递至下面的基板320的孔,由此形成接触孔或通孔350的图案。可将域346去除,如通过使用显像方法(如将它们在含水的碱显像剂中显像),通过将它们溶解在溶剂中,或将它们通过等离子体蚀刻去除。选择的过程去除域346的聚合物,而保留域342的聚合物。
如图3A所示,各种区段332可被视为圆的部分,其中心被各自的距离Dj所分开。确实,侧壁328的周长(在基板320的表面)可由与区段332相关的距离Dj和曲率半径rj所限定。此外,这样地定位不连续的分段的域346的几何中心,即使得相邻的分离的域被距离dj所分开,所述距离dj小于以下之和,即a)对应于(至少一个)预先确定了给定域的位置的侧壁的区段的平均曲率半径(或区段的平均曲率半径的均值(算术平均数)),和b)对应于(至少一个)预先确定了给定域的最邻近者的位置的侧壁的区段的平均曲率半径(或区段的平均曲率半径的均值)。也就是说,如果仅有一个侧壁预先确定了某些域的位置(如在域346a的情况中),则使用相应区段(332a)的平均曲率半径计算此总和,但是如果多个侧壁预先确定了某些域的位置(如在域346b的情况中),则使用对应于那些多个侧壁的区段(332b,顶部和底部)的各种曲率半径的平均值。如图3B所示:d1<r1+r2;d2<r2+r3;和d3<r3+r4。在分离的域346的中心位于对应于区段332的圆的中心的优选情况中,Dj=dj
有轮廓的侧壁328和它们的突起336可通过光学平版印刷形成,使得域346(和它们相应的通孔350)可根据预选的布局来布置。通常,不连续的,分离的域不需要沿单个轴对齐,但是它们可以以“行”、“列”、“斜线”等形式排列。因为相邻的不连续的,分离的域346由小于相应圆的平均曲率半径之和的距离所分开(如参见图3B),分离的域346(和它们相应的孔350)可以通过比若非如此所需要的较低的分辨率平版印刷以稀薄的密度“印刷”。因此,分离的域346(和它们相应的孔350)不需要符合嵌段共聚物的任何特定对称或天然周期性。例如,通过延长图3的行和形成其它行,可形成标准方格网布局。此外,相邻的不连续的分离的域346之间中心到中心的距离可由对应于区段332的侧壁或其它导向特征所预先确定。
结合上图3A所示,组成开孔324的每个较小孔具有相同的目标CD(使得理想上r1、r2、r3和r4相同),然而这些较小孔可具有显著的大小偏差(如它们平均直径的至少10%,3σ>10%CD),例如这是因为用于形成它们的平版印刷方法的局限。然而,所得通孔350的CD偏差小于初始开孔的CD偏差,且可能显著小于此。
实施例2
将聚苯乙烯(PS)和聚甲基丙烯酸甲酯的双嵌段共聚物(PS-b-PMMA,96kg/mol-35kg/mol,来自聚合物来源)的层旋涂到这样的基板上,即其包括硬化的193nm抗蚀剂(JSR 2073)图案,其具有非周期性,不连续的开孔的,其中许多包括分段的已预形成图案的开孔。(开孔在先已经通过e-beam平版印刷在基板上的抗蚀剂中产生。)图4A显示了具有这些初始开孔的此基板。将聚合物在200℃下烘烤5分钟以促进聚合物域的自组装。随后将自组装聚合物通过氧等离子体蚀刻,以此去除PMMA并产生图4B中所示的孔。可见,已预形成图案的开孔的大小和形状显著变化,无论在单个分段的预图案内以及分段的预图案之间。DSA方法产生具有较小CD(此处:平均直径)和所得孔的CD中较小百分偏差的通孔,起始于不连续的开孔或分段的已预形成图案的开孔。图4A中开孔的CD是70nm,然而所得通孔的CD是33nm。在此情况中整个SERF是0.6,这表明对于已预形成图案的开孔的CD的百分偏差,所得通孔的CD的百分偏差具有40%的降低。
3.总则
选择适于具体开孔的聚合物对产生具有合适自恢复效果的通孔(即,相对于初始开孔的CD中的百分偏差,降低所得通孔的CD中的百分偏差)可以是很重要的。嵌段共聚物的分子量和组成在它们定向自组装和自恢复行为中起作用。对于由圆形开孔组成的预图案,如果自组装材料的天然周期性接近于已预形成图案的开孔的直径,可获得较小SERF(较大恢复)。然而,如果开孔直径比自组装材料的天然周期性(中心到中心空间)大大约三倍,在开孔中产生大于1个通孔的可能性更大。另一方面,如果开孔直径显著小于天然周期性,在从基板去除少数域(minority domain)后没有显示出孔,这是因为没有少数域形成在此小开孔内。
此外,所形成通孔的自恢复行为和形状通常为嵌段共聚物的组成和分子量的函数。图5显示了由PS-b-PMMA(68kg/mol-33kg/mol)和PS-b-PMMA(96kg/mol-35kg/mol)的自组装域形成的已预图案化的基板(其中具有椭圆形开孔)和各自通孔的SEM图象。来自PS-b-PMMA(68kg/mol-33kg/mol)的所得通孔的偏心率相对于起始椭圆形开孔的偏心率增加。沿短轴观察到比沿长轴更强的自恢复效果(即较小SERF)(参见图5B)。另一方面,来自PS-b-PMMA(96kg/mol-35kg/mol)的所得通孔近似于圆形,且它们的偏心率相对于起始椭圆形开孔降低。沿长轴和短轴均观察到自恢复效果(参见图5B)。此外,此自恢复也导致接触边粗糙度(CER)的降低。也就是说,如果初始开孔偏离圆形,所得孔显示与圆形相对小的偏离,前提是选择适合的聚合物。
开孔124和324可通过平版印刷技术如e-beam或光学平版印刷形成。在电子束(electron-beam)平版印刷的情况中,这些开孔可直接在抗蚀剂上产生。如果使用光学平版印刷,抗蚀剂层在基板上形成,使用光照射通过特定掩膜曝光(如248nm或193nm),可选地烘烤,和用显像剂处理以产生具有形貌的抗蚀剂图案。曝光也可使用浸渍平版印刷进行。开孔124和324可使用单一曝光或多重曝光方法进行。DSA可在负色(negative-tone)抗蚀剂图案中直接进行。如果DSA在负色抗蚀剂图案中进行,抗蚀剂材料的一些处理是必需的,以使得抗蚀剂图案不溶于嵌段共聚物(和任何其它添加剂)的浇注溶剂。例如,可使用表面交联剂或材料处理负色抗蚀剂图案。另外,可将抗蚀剂图案传递到下面的底部抗反射涂层、硬掩膜层(如氧化物或氮化物材料)或传递层(交联的有机树脂),随后去除抗蚀剂,并可选地修饰表面。这些图案通常对嵌段共聚物浇注溶剂稳定,且无需额外的稳定化处理。
此外,开孔124和324可通过双图案形成方法形成。在一个实施方式中,使图案在第一抗蚀剂中成像并传递到下面的硬掩膜中。在去除剩余的第一抗蚀剂后,将第二抗蚀剂浇注在已形成图案的硬掩膜上并成像以形成第二抗蚀剂图案。随后将第二抗蚀剂图案传递到硬掩膜中。将来自第一和第二抗蚀剂的传递的(重叠)图案合并在硬掩膜层中,以形成单一分段的图案,其可用于引导嵌段共聚物的组装。
一旦制成图1A和3A所示的结构,可在它们上面进行双-嵌段共聚物的自组装。在此总结此方法,并详述如下。首先,制备含至少一种嵌段共聚物(BCP)的聚合物溶液。也可在溶液中使用额外的BCP、均聚物、共聚物、表面活性剂和光致酸发生剂。随后,将溶液浇注在具有分段的预图案的基板上,以形成在所需区域内的很好标识的聚合物域。增加嵌段共聚物的迁移率(如通过烘烤或溶剂蒸气处理)是某些聚合物所需的,如PS-b-PMMA(聚苯乙烯(PS)和聚甲基丙烯酸甲酯的双嵌段共聚物)。对于玻璃化转变温度小于室温的嵌段共聚物,自发的自组装会发生。可选择性地应用额外的退火(包括热退火、热梯度退火、溶剂蒸气退火或一些其它梯度区域(gradient field))以去除任何缺陷。最终,选择性地去除至少一个自组装聚合物域以产生孔,其可随后被传递到下面的基板中。例如,双层(抗蚀剂和传递层)和三层(抗蚀剂、硬掩膜层、传递层)方案均是可以的(参见,如“Introduction to Microlithography”,second edition,edited by Larry F.Thompson,C.Grant Willson and Murrae J.Bowden,American ChemicalSociety,Washington,DC,1994)。在图案显像和图案传递前,可选地将自组装聚合物化学修饰以改进图案传递所需的性质,如抗蚀刻性或某些机械性质。
本文所用的共聚物是衍生自多于一种单体的聚合物。本文所用的嵌段共聚物是包括大于一种单体的共聚物,其中所述单体以嵌段形式存在。单体的每个嵌段包括单体的重复序列。嵌段共聚物的代表性通式(1)显示如下:
(1) -(A)a-(B)b-(C)c-(D)d-......-(Z)z-
其中,A、B、C、D至Z代表单体单元,且下标“a”、“b”、“c”、“d”至“z”分别代表重复单元A、B、C、D至Z的数量。上述代表性通式不意在限制本发明所用嵌段共聚物的结构。根据本发明的方法,共聚物的上述单体可单独和组合使用。
双-嵌段共聚物具有两个不同的聚合物嵌段。双-嵌段共聚物的代表性通式(2)显示如下:
(2) -(A)m-(B)n-
其中,下标“m”和“n”分别代表重复单元A和B的数量。双-嵌段共聚物的符号可以缩写为A-b-B,其中A代表第一嵌段的聚合物,B代表第二嵌段的聚合物,且-b-代表其是嵌段A和B的双-嵌段共聚物。例如,PS-b-PMMA代表聚苯乙烯(PS)和聚甲基丙烯酸甲酯(PMMA)的双-嵌段共聚物。除了线性嵌段共聚物外,具有其它结构的嵌段共聚物也可用于DSA,如星状共聚物、支链共聚物、超支化共聚物和接枝共聚物。
嵌段通常可以是其它不同嵌段可连接的任何适合的微域形成嵌段。嵌段可衍生自不同的可聚合单体,其中所述嵌段可包括但不限于:聚烯烃,包括聚二烯,聚醚,包括聚(环氧烷)(如聚环氧乙烷、聚环氧丙烷、聚环氧丁烷,或这些的无规或嵌段共聚物),聚(甲基)丙烯酸酯、聚苯乙烯、聚酯、聚有机硅氧烷、聚有机锗烷等。
嵌段共聚物的嵌段可包括如单体C2-30烯烃单体,衍生自C1-30醇的(甲基)丙烯酸酯单体,包括基于Fe、Si、Ge、Sn、Al、Ti那些的含无机物的单体,或包括前述单体中至少一种的组合。用在嵌段中的单体可包括如C2-30烯烃单体,乙烯、丙烯、1-丁烯、1,3-丁二烯、异戊二烯、乙酸乙烯酯、二氢吡喃、降冰片烯、马来酸酐、苯乙烯、4-羟基苯乙烯、4-乙酰氧基苯乙烯、4-甲基苯乙烯或α-甲基苯乙烯。单体可包括如(甲基)丙烯酸酯单体,(甲基)丙烯酸甲酯、(甲基)丙烯酸乙酯、(甲基)丙烯酸正丙酯、(甲基)丙烯酸异丙酯、(甲基)丙烯酸正丁酯、(甲基)丙烯酸异丁酯、(甲基)丙烯酸正戊酯、(甲基)丙烯酸异戊酯、(甲基)丙烯酸新戊酯、(甲基)丙烯酸正己酯、(甲基)丙烯酸环己酯、(甲基)丙烯酸异冰片酯或(甲基)丙烯酸羟基乙酯。可使用这些单体的两个或更多个组合。本身是均聚物的嵌段可包括使用苯乙烯制备的嵌段(如聚苯乙烯嵌段)或(甲基)丙烯酸酯均聚物嵌段如聚甲基丙烯酸甲酯。无规嵌段可包括如无规共聚的苯乙烯和甲基丙烯酸甲酯的嵌段(如聚(苯乙烯-共聚-甲基丙烯酸甲酯))。交替共聚物嵌段可包括苯乙烯和马来酸酐的嵌段,其已知形成苯乙烯-马来酸酐二重重复结构,这是因为在大多数条件下马来酸酐不能均聚(如聚(苯乙烯-交替-马来酸酐))。应理解此类嵌段是示例性的,且不应理解为限制性的。
此外,可适用于本发明方法的嵌段共聚物包括二或三嵌段共聚物,如聚(苯乙烯-b-乙烯基吡啶)、聚(苯乙烯-b-丁二烯)、聚(苯乙烯-b-异戊二烯)、聚(苯乙烯-b-甲基丙烯酸甲酯)、聚(苯乙烯-b-烯基芳族化合物)、聚(异戊二烯-b-环氧乙烷)、聚(苯乙烯-b-(乙烯-丙烯))、聚(环氧乙烷-b-己内酯)、聚(丁二烯-b-环氧乙烷)、聚(苯乙烯-b-(甲基)丙烯酸叔丁酯)、聚(甲基丙烯酸甲酯-b-甲基丙烯酸叔丁酯)、聚(环氧乙烷-b-环氧丙烷)、聚(苯乙烯-b-四氢呋喃)、聚(苯乙烯-b-异戊二烯-b-环氧乙烷)、聚(苯乙烯-b-二甲基硅氧烷)、聚(甲基丙烯酸甲酯-b-二甲基硅氧烷)或包括至少一种上述嵌段共聚物的组合。
嵌段共聚物希望具有可经受进一步加工的总分子量和分子量分布。例如,嵌段共聚物可具有3,000至400,000g/mol的重均分子量(Mw)。类似地,嵌段共聚物可具有1,000至200,000的数均分子量(Mn)。嵌段共聚物也可具有1.01至6的分子量分布(Mw/Mn),且没有特别限制。分子量,Mw和Mn,均可通过如使用通用校准方法的凝胶渗透色谱来确定(用聚苯乙烯标准校准)。
可通过旋涂,如以约1rpm至约10,000rpm的旋转速度,使用或不使用后干燥方法,将嵌段共聚物配方施于基板上。可使用其它方法将嵌段共聚物配方施于基板上,如浸涂和喷涂。
如本文所用,“相分离”是指嵌段共聚物的嵌段形成不连续的微相分离的域(也称为“微域”和简称为“域”)的倾向。相同单体的嵌段聚集以形成域,且域的空间和形态取决于嵌段共聚物中不同嵌段的相互作用、体积分数和数量。嵌段共聚物的域可自发形成,同时在如旋转铸造步骤期间将它们施于基板上,或它们可通过退火步骤形成。“加热”或“焙烧”是常规方法,其中将基板和其上涂层的温度升高到大于环境温度。“退火”可包括热退火、热梯度退火、溶剂蒸气退火或其它退火方法。热退火,有时称为“热固化(thermal curing)”,用于引起相分离,且此外可用作用于减少或去除横微相分离的域的层中缺陷的方法。其通常包括在高于嵌段共聚物玻璃化转变温度的较高温度下加热一段时间(如数分钟至数天)。
可用的溶剂随各种添加剂(如果有)和嵌段共聚物组分的溶解性的需要而变化。用于这些组分和添加剂的示例性铸造溶剂包括丙二醇单甲醚乙酸酯(PGMEA)、丙酸乙氧基乙酯、茴香醚、乳酸乙酯、2-庚酮、环己酮、乙酸戊酯、γ-丁内酯(GBL)、甲苯等。
添加剂可选自以下组成:额外的聚合物(包括均聚物、星型聚合物和共聚物、超支化聚合物、嵌段共聚物、接枝共聚物、超支化共聚物、无规共聚物、可交联的聚合物和含有机物的聚合物)、小分子、纳米颗粒、金属化合物、含无机物的分子、表面活性剂、光致酸发生剂、热致酸发生剂、碱淬火剂(base quenchers)、硬化剂、交联剂、扩链剂和包括至少前述一种的组合,其中一种或多种添加剂与嵌段共聚物共组装以形成一个或多个自组装域的部分。
本文所用的基板是适用于本文所述任何方法的物理结构,包括但不必然限于用于半导体工业中的基板。其包括物理本体(如层或层板、材料等),所述物理本体上可沉积或粘附有材料(如聚合物、聚合材料、金属、氧化物、介电材料等)。本文的基板可包括半导体材料、绝缘材料、导电材料或它们的任何组合,包括多层的结构。因此,例如基板可包括半导体材料,如Si、SiGe、SiGeC、SiC、GaAs、InAs、InP和其它III/V或II/VI族化合物半导体。基板可包括如硅晶片或工艺晶片(process wafer),如在半导体制备方法中不同步骤中制备的,如集成半导体晶片。基板可包括层状基板,如Si/SiGe、Si/SiC、绝缘体上的硅片(silicon-on-insulator,SOI)或绝缘体上的硅锗片(SGOI)。基板可包括一层或多层,如介电层、用于铜的阻挡层如SiC、金属层如铜、二氧化铪层、硅层、氧化硅层等或它们的组合。基板可包括绝缘材料如有机绝缘体、无机绝缘体或它们的组合,包括多层。基板可包括导电材料,如多晶硅(polySi)、元素金属、元素金属的合金、金属硅化物、金属氮化物或它们的组合,包括多层。基板可包括离子注入(ion implant)区,如离子注入源/漏区,其具有对基板的表面具有P-型或N-型扩散活性。
本发明可以以其它具体形式实施而不背离其精神或基本特征。认为所述实施方式的全部方面仅是说明而非限制。因此,本发明的范围由所附权利要求而非前述说明书所表示。在权利要求书等同含义和范围内的全部变化包含在它们的范围内。

Claims (22)

1.一种方法,包括:
提供具有目标临界尺寸(CD)小于200nm的不连续的开孔的基板,所述开孔在它们以标准偏差σ开孔和平均CD开孔为特征的CD上具有统计学偏差,其中3σ开孔是平均CD开孔的至少10%;
将包括嵌段共聚物的聚合物层施于所述基板上,其中所述共聚物的组分彼此间不混溶;
允许所述聚合物在每个所述开孔的内部形成在周围的自组装域内的自组装中心域;和
选择性地去除所述中心域,由此形成每个中心域所在处的孔,所述孔具有以标准偏差σ和平均CD为特征的CD统计学偏差;
其中,σ/平均CD小于σ开孔/平均CD开孔的0.8倍。
2.如权利要求1所述的方法,包括将所述孔传递到所述基板中。
3.如权利要求1所述的方法,包括向所述孔中回填材料。
4.如权利要求1所述的方法,其中所述基板包括抗蚀剂、硬掩膜或抗反射涂层中的至少一种。
5.如权利要求1所述的方法,其中将所述聚合物退火,以此形成所述自组装中心域和所述周围的自组装域。
6.如权利要求1所述的方法,其中所述开孔使用光学平版印刷形成。
7.如权利要求1所述的方法,其中具有目标CD的开孔数量至少为100。
8.如权利要求1所述的方法,其中具有目标CD的开孔数量至少为1,000。
9.如权利要求1所述的方法,其中所述不连续的开孔包括圆形开孔,且所述目标临界尺寸是所述圆形开孔直径的度量。
10.如权利要求1所述的方法,其中所述不连续的开孔是椭圆形。
11.如权利要求1所述的方法,其中σ/平均CD小于σ开孔/平均CD开孔的0.7倍。
12.如权利要求1所述的方法,其中σ/平均CD小于σ开孔/平均CD开孔的0.5倍。
13.如权利要求1所述的方法,其中所述嵌段共聚物选自以下物质组成的组:聚(苯乙烯-b-乙烯基吡啶)、聚(苯乙烯-b-丁二烯)、聚(苯乙烯-b-异戊二烯)、聚(苯乙烯-b-甲基丙烯酸甲酯)、聚(苯乙烯-b-烯基芳族化合物)、聚(异戊二烯-b-环氧乙烷)、聚(苯乙烯-b-(乙烯-丙烯))、聚(环氧乙烷-b-己内酯)、聚(丁二烯-b-环氧乙烷)、聚(苯乙烯-b-(甲基)丙烯酸叔丁酯)、聚(甲基丙烯酸甲酯-b-甲基丙烯酸叔丁酯)、聚(环氧乙烷-b-环氧丙烷)、聚(苯乙烯-b-四氢呋喃)和前述嵌段共聚物的组合。
14.如权利要求1所述的方法,其中所述孔以周期性阵列排列。
15.如权利要求1所述的方法,其中所述孔不形成周期性阵列。
16.一种方法,包括:
提供多个基板,每个基板具有目标直径小于200nm的开孔,其中对于每个基板此目标直径相同,所述开孔具有这样的平均直径,即其具有以标准偏差σ开孔和平均直径开孔为特征的统计学偏差(对于所述基板中的全部所述开孔),其中3σ开孔是目标直径的至少10%;
在每个所述基板上施涂包括嵌段共聚物的聚合物层,其中所述共聚物的组分彼此间不混溶;
允许所述聚合物在每个所述基板中每个所述开孔的内部形成在周围的自组装域内的自组装中心域;和
选择性地去除每个所述基板中的所述中心域,由此形成每个中心域所在处的孔,所述孔具有各自的直径和它们直径上的统计学偏差(对于所述基板中的全部所述孔),所述统计学偏差以标准偏差σ和平均直径孔表征;
其中,σ/平均直径小于σ开孔/平均直径开孔的0.8倍。
17.如权利要求16所述的方法,其中所述方法同时用于基板。
18.如权利要求16所述的方法,其中所述方法一个基板接另一个基板地顺序用于基板。
19.一种方法,包括:
提供表面具有开孔的基板,所述开孔具有的边界等于由目标直径小于200nm的重叠孔形成的边界,所述孔具有限定侧壁相邻接的突起的侧壁,所述重叠孔具有各自的直径和它们直径上的统计学偏差,其以标准偏差σ重叠孔和平均直径重叠孔表征;
将包括嵌段共聚物的聚合物层施于所述表面上,其中所述共聚物的组分彼此间不混溶;
允许所述聚合物在对应于孔之一的各部分开孔的内部形成单个不连续的、分离的域,和
去除至少一些所述不连续的、分离的域,因此形成孔,所述形成的孔具有各自的直径和它们直径上的统计学偏差,所述统计学偏差以标准偏差σ形成的孔和平均直径形成的孔表征,其中:
i)每个不连续的、分离的域的位置由至少一个侧壁和形成所述至少一个侧壁的至少一部分的突起所预先确定,
ii)所述域具有各自的几何中心,且对于任何给定的域,其中心和给定域的最邻近者的中心相隔一段距离,所述距离小于对应于侧壁的孔的曲率的平均半径总和,所述侧壁预先确定给定域和给定域的最邻近者的位置,和
iii)σ形成的孔/平均直径形成的孔小于σ重叠孔/平均直径重叠孔
20.如权利要求19所述的方法,进一步包括将所述形成的孔传递到所述基板中。
21.如权利要求19所述的方法,其中3σ重叠孔是所述目标直径的至少10%。
22.如权利要求19所述的方法,其中σ形成的孔/平均直径形成的孔小于σ重叠孔/平均直径重叠孔的0.8倍。
CN201010185195.3A 2009-05-22 2010-05-21 使用聚合物定向自组装形成子平版印刷特征的方法 Active CN101894794B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/470,760 US8114306B2 (en) 2009-05-22 2009-05-22 Method of forming sub-lithographic features using directed self-assembly of polymers
US12/470,760 2009-05-22

Publications (2)

Publication Number Publication Date
CN101894794A true CN101894794A (zh) 2010-11-24
CN101894794B CN101894794B (zh) 2013-05-15

Family

ID=43103942

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010185195.3A Active CN101894794B (zh) 2009-05-22 2010-05-21 使用聚合物定向自组装形成子平版印刷特征的方法

Country Status (4)

Country Link
US (1) US8114306B2 (zh)
JP (1) JP5579494B2 (zh)
KR (1) KR20100126190A (zh)
CN (1) CN101894794B (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104620352A (zh) * 2012-07-10 2015-05-13 株式会社尼康 标记形成方法和器件制造方法
CN104681717A (zh) * 2013-12-02 2015-06-03 爱思开海力士有限公司 制造纳米级结构的方法和由此制造的纳米级结构
CN104870551A (zh) * 2012-12-18 2015-08-26 日产化学工业株式会社 含有苯乙烯结构的自组装膜的下层膜形成用组合物
CN104937369A (zh) * 2013-01-23 2015-09-23 株式会社日立高新技术 图案测定方法、带电粒子束装置的装置条件设定方法以及带电粒子束装置
CN105051863A (zh) * 2013-03-15 2015-11-11 Asml荷兰有限公司 用于通过嵌段共聚物的自组装在衬底上设置光刻特征的方法
CN105705997A (zh) * 2013-11-08 2016-06-22 Asml荷兰有限公司 生成用于定向自组装的引导模板的方法
CN105742236A (zh) * 2014-12-30 2016-07-06 格罗方德半导体公司 以定向自组装形成通孔及接触结构的制造集成电路的方法
CN104051452B (zh) * 2013-03-15 2017-05-17 格罗方德半导体公司 金属层致能定向自组装半导体布局设计
CN106773541A (zh) * 2016-12-20 2017-05-31 中国科学院微电子研究所 一种基于版图几何特征匹配的光刻解决方案预测方法

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8535544B2 (en) * 2010-07-26 2013-09-17 International Business Machines Corporation Structure and method to form nanopore
KR20140007797A (ko) * 2010-10-07 2014-01-20 도오꾜오까고오교 가부시끼가이샤 가이드 패턴 형성용 네거티브형 현상용 레지스트 조성물, 가이드 패턴 형성 방법, 블록 코폴리머를 포함하는 층의 패턴 형성 방법
JP5292423B2 (ja) * 2011-02-25 2013-09-18 株式会社東芝 パターンデータ生成装置、パターンデータ生成方法、及びパターン形成方法
TWI550350B (zh) 2011-06-10 2016-09-21 東京應化工業股份有限公司 溶劑顯影負型光阻組成物、光阻圖型之形成方法、含有嵌段共聚物層的圖型之形成方法
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
TWI511084B (zh) 2012-01-20 2015-12-01 Asml Netherlands Bv 可自組聚合物及用於微影之方法
JP5894445B2 (ja) 2012-01-23 2016-03-30 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JP5979660B2 (ja) 2012-02-09 2016-08-24 東京応化工業株式会社 コンタクトホールパターンの形成方法
JP2013187387A (ja) 2012-03-08 2013-09-19 Toshiba Corp パターン形成方法
JP5891075B2 (ja) * 2012-03-08 2016-03-22 東京応化工業株式会社 ブロックコポリマー含有組成物及びパターンの縮小方法
JP5973763B2 (ja) 2012-03-28 2016-08-23 東京エレクトロン株式会社 自己組織化可能なブロック・コポリマーを用いて周期パターン形成する方法及び装置
NL2010402A (en) 2012-03-28 2013-10-01 Asml Netherlands Bv Methods of providing patterned templates for self-assemblable block copolymers for use in device lithography.
WO2013152928A1 (en) 2012-04-13 2013-10-17 Asml Netherlands B.V. Methods of providing patterned epitaxy templates for self-assemblable block copolymers for use in device lithography
JP5948129B2 (ja) * 2012-04-26 2016-07-06 東京応化工業株式会社 2個以上の孤立ホールが並んでなるパターンの形成方法
US9102121B2 (en) 2012-05-03 2015-08-11 Micron Technology, Inc. Substrates and methods of forming a pattern on a substrate
KR20130124861A (ko) 2012-05-07 2013-11-15 삼성전자주식회사 패턴 형성 방법
WO2014010593A1 (ja) 2012-07-10 2014-01-16 株式会社ニコン マーク及びその形成方法、並びに露光装置
JP5902573B2 (ja) * 2012-07-18 2016-04-13 株式会社東芝 パターン形成方法
JP2014033051A (ja) * 2012-08-02 2014-02-20 Toshiba Corp パターン形成方法及び半導体装置の製造方法
JP6058940B2 (ja) * 2012-08-02 2017-01-11 旭化成株式会社 パターン形成用樹脂組成物及びパターン形成方法
TWI526777B (zh) 2012-08-06 2016-03-21 Asml荷蘭公司 用於藉由嵌段共聚物之自我組裝在一基板上提供微影特徵之方法
US8771929B2 (en) 2012-08-16 2014-07-08 International Business Machines Corporation Tone inversion of self-assembled self-aligned structures
JP5764102B2 (ja) 2012-09-05 2015-08-12 株式会社東芝 パターン形成方法
JP5818760B2 (ja) 2012-09-07 2015-11-18 株式会社東芝 パターン形成方法
JP5758363B2 (ja) 2012-09-07 2015-08-05 株式会社東芝 パターン形成方法
JP5752655B2 (ja) 2012-09-10 2015-07-22 株式会社東芝 パターン形成方法
KR101993472B1 (ko) 2012-09-12 2019-09-30 주식회사 동진쎄미켐 레지스트 패턴의 하부막 형성용 화합물, 조성물 및 이를 이용한 하부막의 형성방법
WO2014050305A1 (ja) 2012-09-27 2014-04-03 株式会社 日立ハイテクノロジーズ パターン計測装置、自己組織化リソグラフィに用いられる高分子化合物の評価方法、及びコンピュータープログラム
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
JP6088800B2 (ja) * 2012-11-07 2017-03-01 株式会社東芝 パターン形成方法
JP6088803B2 (ja) * 2012-11-16 2017-03-01 株式会社日立ハイテクノロジーズ 画像処理装置、自己組織化リソグラフィ技術によるパターン生成方法、及びコンピュータープログラム
US9107291B2 (en) * 2012-11-21 2015-08-11 International Business Machines Corporation Formation of a composite pattern including a periodic pattern self-aligned to a prepattern
US9581899B2 (en) 2012-11-27 2017-02-28 International Business Machines Corporation 2-dimensional patterning employing tone inverted graphoepitaxy
KR101993255B1 (ko) 2013-01-07 2019-06-26 삼성전자주식회사 콘택 홀 형성 방법
US8656322B1 (en) 2013-01-18 2014-02-18 International Business Machines Corporation Fin design level mask decomposition for directed self assembly
US9831062B2 (en) 2013-01-23 2017-11-28 Hitachi High-Technologies Corporation Method for pattern measurement, method for setting device parameters of charged particle radiation device, and charged particle radiation device
JP6002056B2 (ja) * 2013-02-18 2016-10-05 株式会社東芝 ガイドパターンデータ補正方法、プログラム、及びパターン形成方法
JP5758422B2 (ja) 2013-02-19 2015-08-05 株式会社東芝 パターン形成方法
US8859433B2 (en) 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
TWI672788B (zh) 2013-03-27 2019-09-21 日商尼康股份有限公司 標記形成方法、標記檢測方法、及元件製造方法
JP6029522B2 (ja) 2013-04-16 2016-11-24 東京エレクトロン株式会社 パターンを形成する方法
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
WO2014185432A1 (ja) * 2013-05-13 2014-11-20 横浜ゴム株式会社 膜、テラヘルツ波検出器、膜の製造方法
JP6059608B2 (ja) * 2013-06-12 2017-01-11 株式会社東芝 パターン形成方法
JP5981392B2 (ja) * 2013-06-19 2016-08-31 株式会社東芝 パターン形成方法
US9382444B2 (en) 2013-06-24 2016-07-05 Dow Global Technologies Llc Neutral layer polymers, methods of manufacture thereof and articles comprising the same
US9802400B2 (en) * 2013-06-24 2017-10-31 Dow Global Technologies Llc Orientation control layer formed on a free top surface of a first block copolymer from a mixture of first and second block copolymers
KR102105196B1 (ko) 2013-07-25 2020-04-29 에스케이하이닉스 주식회사 반도체 소자 제조 방법
US20150179434A1 (en) 2013-07-25 2015-06-25 SK Hynix Inc. Nano-scale structures
JP2015032815A (ja) * 2013-08-07 2015-02-16 株式会社東芝 パターン形成方法
US9136140B2 (en) 2013-09-12 2015-09-15 United Microelectronics Corp. Patterning method
JP2015084396A (ja) 2013-09-19 2015-04-30 東京エレクトロン株式会社 エッチング方法
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US9111067B2 (en) * 2013-11-18 2015-08-18 Mentor Graphics Corporation Grouping layout features for directed self assembly
JP2015115599A (ja) * 2013-12-13 2015-06-22 株式会社東芝 パターン形成方法
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
KR20150101875A (ko) 2014-02-27 2015-09-04 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
US9385026B2 (en) 2014-05-08 2016-07-05 GlobalFoundries, Inc. Sublithographic Kelvin structure patterned with DSA
KR102335109B1 (ko) * 2014-12-15 2021-12-03 삼성전자 주식회사 미세 패턴 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US9738765B2 (en) 2015-02-19 2017-08-22 International Business Machines Corporation Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
JP6503206B2 (ja) 2015-03-19 2019-04-17 東京応化工業株式会社 レジストパターン修復方法
KR102358710B1 (ko) 2015-04-06 2022-02-08 에스케이하이닉스 주식회사 선폭이 다른 패턴들 형성 방법
KR102329895B1 (ko) 2015-04-06 2021-11-24 에스케이하이닉스 주식회사 패턴 형성 방법
US9490168B1 (en) 2015-05-13 2016-11-08 International Business Machines Corporation Via formation using sidewall image transfer process to define lateral dimension
KR102346515B1 (ko) 2015-05-19 2022-01-04 삼성전자주식회사 패턴 구조물의 형성 방법
JP6594049B2 (ja) 2015-05-29 2019-10-23 東京応化工業株式会社 レジストパターン形成方法
JP6371745B2 (ja) * 2015-09-11 2018-08-08 東芝メモリ株式会社 パターン形成方法
TWI612108B (zh) * 2015-10-31 2018-01-21 Rohm And Haas Electronic Materials Llc 嵌段共聚物及圖案處理組合物以及方法
KR102402958B1 (ko) 2015-11-11 2022-05-27 삼성전자주식회사 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법
JP6643875B2 (ja) 2015-11-26 2020-02-12 東京エレクトロン株式会社 エッチング方法
JP6643876B2 (ja) 2015-11-26 2020-02-12 東京エレクトロン株式会社 エッチング方法
US9569578B1 (en) 2015-12-14 2017-02-14 International Business Machines Corporation Mask decomposition and optimization for directed self assembly
JP6788198B2 (ja) 2016-02-08 2020-11-25 Jsr株式会社 コンタクトホールパターンの形成方法及び組成物
US10056265B2 (en) 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
US9768059B1 (en) 2016-04-07 2017-09-19 International Business Machines Corporation High-chi block copolymers for interconnect structures by directed self-assembly
US10691019B2 (en) 2016-10-07 2020-06-23 Jsr Corporation Pattern-forming method and composition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10312103B2 (en) 2017-02-28 2019-06-04 International Business Machines Corporation Alternating hardmasks for tight-pitch line formation
US10103022B2 (en) 2017-03-20 2018-10-16 International Business Machines Corporation Alternating hardmasks for tight-pitch line formation
US9847232B1 (en) 2017-03-24 2017-12-19 Jsr Corporation Pattern-forming method
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10796912B2 (en) * 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10340179B2 (en) 2017-09-13 2019-07-02 International Business Machines Corporation Via formation using directed self-assembly of a block copolymer
US10830756B2 (en) * 2017-09-22 2020-11-10 Applied Materials, Inc. Method to create a free-standing membrane for biological applications
KR102678588B1 (ko) 2018-11-14 2024-06-27 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
CN114200776A (zh) 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
CN113838122B (zh) * 2021-07-26 2023-10-17 中煤科工集团沈阳研究院有限公司 一种带频域校验的圆形高温区域定位的方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101013662A (zh) * 2006-02-02 2007-08-08 国际商业机器公司 用于形成嵌段共聚物图形的方法及相应的半导体结构
US20070293041A1 (en) * 2006-06-19 2007-12-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
CN101165874A (zh) * 2006-10-19 2008-04-23 国际商业机器公司 亚光刻纳米互联结构和形成该结构的方法
US20080099845A1 (en) * 2006-10-25 2008-05-01 International Business Machines Corporation Sub-lithographic gate length transistor using self-assembling polymers

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6630404B1 (en) 2001-03-14 2003-10-07 Advanced Micro Devices, Inc. Reducing feature dimension using self-assembled monolayer
JP2005041931A (ja) * 2003-07-23 2005-02-17 Jsr Corp 重合体組成物及びナノオーダーパターン形成方法
US6989324B2 (en) 2004-01-15 2006-01-24 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
JP3926360B2 (ja) 2004-10-13 2007-06-06 株式会社東芝 パターン形成方法およびそれを用いた構造体の加工方法
US8133534B2 (en) 2004-11-22 2012-03-13 Wisconsin Alumni Research Foundation Methods and compositions for forming patterns with isolated or discrete features using block copolymer materials
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
JP4421582B2 (ja) * 2006-08-15 2010-02-24 株式会社東芝 パターン形成方法
KR100771886B1 (ko) 2006-09-27 2007-11-01 삼성전자주식회사 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법
US7514339B2 (en) 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US7675137B2 (en) 2007-07-26 2010-03-09 International Business Machines Corporation Electrical fuse having sublithographic cavities thereupon
US8105960B2 (en) 2007-10-09 2012-01-31 International Business Machines Corporation Self-assembled sidewall spacer
US8101261B2 (en) * 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7906031B2 (en) * 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101013662A (zh) * 2006-02-02 2007-08-08 国际商业机器公司 用于形成嵌段共聚物图形的方法及相应的半导体结构
US20070293041A1 (en) * 2006-06-19 2007-12-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
CN101165874A (zh) * 2006-10-19 2008-04-23 国际商业机器公司 亚光刻纳米互联结构和形成该结构的方法
US20080099845A1 (en) * 2006-10-25 2008-05-01 International Business Machines Corporation Sub-lithographic gate length transistor using self-assembling polymers

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104620352B (zh) * 2012-07-10 2017-05-10 株式会社尼康 标记形成方法和器件制造方法
CN104620352A (zh) * 2012-07-10 2015-05-13 株式会社尼康 标记形成方法和器件制造方法
CN104870551A (zh) * 2012-12-18 2015-08-26 日产化学工业株式会社 含有苯乙烯结构的自组装膜的下层膜形成用组合物
CN104937369A (zh) * 2013-01-23 2015-09-23 株式会社日立高新技术 图案测定方法、带电粒子束装置的装置条件设定方法以及带电粒子束装置
CN104051452B (zh) * 2013-03-15 2017-05-17 格罗方德半导体公司 金属层致能定向自组装半导体布局设计
CN105051863A (zh) * 2013-03-15 2015-11-11 Asml荷兰有限公司 用于通过嵌段共聚物的自组装在衬底上设置光刻特征的方法
US9666443B2 (en) 2013-03-15 2017-05-30 Asml Netherlands B.V. Methods for providing lithography features on a substrate by self-assembly of block copolymers
CN105051863B (zh) * 2013-03-15 2017-09-05 Asml荷兰有限公司 用于通过嵌段共聚物的自组装在衬底上设置光刻特征的方法
CN105705997A (zh) * 2013-11-08 2016-06-22 Asml荷兰有限公司 生成用于定向自组装的引导模板的方法
US10642152B2 (en) 2013-11-08 2020-05-05 Asml Netherlands B.V Methodology to generate a guiding template for directed self-assembly
CN104681717A (zh) * 2013-12-02 2015-06-03 爱思开海力士有限公司 制造纳米级结构的方法和由此制造的纳米级结构
CN104681717B (zh) * 2013-12-02 2018-11-27 爱思开海力士有限公司 制造纳米级结构的方法和由此制造的纳米级结构
CN105742236A (zh) * 2014-12-30 2016-07-06 格罗方德半导体公司 以定向自组装形成通孔及接触结构的制造集成电路的方法
CN105742236B (zh) * 2014-12-30 2019-05-28 格罗方德半导体公司 以定向自组装形成通孔及接触结构的制造集成电路的方法
CN106773541A (zh) * 2016-12-20 2017-05-31 中国科学院微电子研究所 一种基于版图几何特征匹配的光刻解决方案预测方法
CN106773541B (zh) * 2016-12-20 2019-10-01 中国科学院微电子研究所 一种基于版图几何特征匹配的光刻解决方案预测方法

Also Published As

Publication number Publication date
JP2010269304A (ja) 2010-12-02
US8114306B2 (en) 2012-02-14
CN101894794B (zh) 2013-05-15
JP5579494B2 (ja) 2014-08-27
KR20100126190A (ko) 2010-12-01
US20100297847A1 (en) 2010-11-25

Similar Documents

Publication Publication Date Title
CN101894794B (zh) 使用聚合物定向自组装形成子平版印刷特征的方法
CN102428022B (zh) 使用分段预图案的定向自组装嵌段共聚物
CN107210197B (zh) 用于嵌段共聚物的定向自组装的混杂形貌和化学预制图案
Jeong et al. Directed self-assembly of block copolymers for next generation nanolithography
US8030620B2 (en) System and method for nano-pantography
Seino et al. Contact hole shrink process using graphoepitaxial directed self-assembly lithography
US6946390B2 (en) Photolithographic production of trenches in a substrate
Chang et al. Diblock copolymer directed self-assembly for CMOS device fabrication
US20090117360A1 (en) Self-assembled material pattern transfer contrast enhancement
Liu et al. DSA patterning options for FinFET formation at 7nm node
JP2016173415A (ja) パターン形成方法
US9613807B2 (en) Methods for fabricating integrated circuits using directed self-assembly chemoepitaxy
Paquet et al. Spacer patterning lithography as a new process to induce block copolymer alignment by chemo-epitaxy
Liu et al. Fin formation using graphoepitaxy DSA for FinFET device fabrication
Konijn et al. Nanoimprint lithography of sub-100 nm 3D structures
Hamza et al. Direct fabrication of two-dimensional photonic crystal structures in silicon using positive and negative Hydrogen Silsesquioxane (HSQ) patterns
Blachut et al. Evolution of roughness during the pattern transfer of high-chi, 10nm half-pitch, silicon-containing block copolymer structures
Liu et al. Improved block copolymer domain dispersity on chemical patterns via homopolymer-blending and molecular transfer printing
Wuister et al. Lithography assisted self-assembly of contact holes on 300-mm wafer scale
Liu et al. DSA patterning options for logics and memory applications
Rademaker et al. Establishing a sidewall image transfer chemo-epitaxial DSA process using 193 nm immersion lithography
Doerk et al. Measurement of placement error between self-assembled polymer patterns and guiding chemical prepatterns
Delachat et al. An embedded neutral layer for advanced surface affinity control in grapho-epitaxy directed self-assembly
Pimenta-Barros et al. Precise control of template affinity achieved by UV-assisted graphoepitaxy approach on silicon nanowires applications
Bézard et al. Graphoepitaxy integration and pattern transfer of lamellar silicon-containing high-chi block copolymers

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171106

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171106

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.