CN105705997A - 生成用于定向自组装的引导模板的方法 - Google Patents

生成用于定向自组装的引导模板的方法 Download PDF

Info

Publication number
CN105705997A
CN105705997A CN201480061033.5A CN201480061033A CN105705997A CN 105705997 A CN105705997 A CN 105705997A CN 201480061033 A CN201480061033 A CN 201480061033A CN 105705997 A CN105705997 A CN 105705997A
Authority
CN
China
Prior art keywords
feature
guide template
block copolymer
width
ratio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480061033.5A
Other languages
English (en)
Other versions
CN105705997B (zh
Inventor
S·F·乌伊斯特尔
大卫·安贝斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN105705997A publication Critical patent/CN105705997A/zh
Application granted granted Critical
Publication of CN105705997B publication Critical patent/CN105705997B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70375Multiphoton lithography or multiphoton photopolymerization; Imaging systems comprising means for converting one type of radiation into another type of radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7042Alignment for lithographic apparatus using patterning methods other than those involving the exposure to radiation, e.g. by stamping or imprinting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Materials For Photolithography (AREA)

Abstract

本发明公开了一种设计特征引导模板的方法,所述特征引导模板用于引导嵌段共聚物的自组装以在用于光刻的设计布局中形成至少两个特征,所述特征引导模板包括通过瓶颈部连接的至少两个部分,所述方法包括:至少基于所述特征引导模板的几何结构的函数确定所述特征引导模板的特性,其中所述几何结构的函数包括所述部分中的至少一个部分的第一宽度值、所述瓶颈部的第二宽度值或基于第一宽度和第二宽度的值。

Description

生成用于定向自组装的引导模板的方法
相关申请的交叉引用
本申请要求于2013年11月8日递交的美国临时申请61/901,968的权益,其在此通过引用全文并入。
技术领域
本公开涉及一种设计通过嵌段共聚物的自组装形成光刻特征的方法。
背景技术
在器件制造的光刻技术中,正在期望减小光刻图案中的特征的尺寸以便提高在给定衬底区域上特征的密度。具有纳米级临界尺寸(CD)的较小特征的图案允许更大的器件或电路结构的集中度,得到在电子和其他器件的尺寸减小和制造成本方面的潜在的改进。在投影光刻技术中,对更小特征的推动导致例如浸没光刻和极紫外(EUV)光刻术等技术的发展。
作为替代方案,所谓的压印光刻通常涉及使用“压印器”(通常称为压印模板)以将图案转移至衬底上。压印光刻术的优点在于,特征的分辨率不受到例如辐射源的发射波长或投影系统的数值孔径的限制。替代地,分辨率主要受限于压印模板上的图案密度。
嵌段共聚物的自组装
对于投影光刻术和压印光刻术,期望提供表面的高分辨图案化,例如器件衬底、压印模板或其他衬底的表面的高分辨图案化。已经考虑使用嵌段共聚物(BCP)的自组装作为用于将特征分辨率提高至比通过现有技术的光刻方法能够获得的分辨率更小的值的潜在方法或作为在先光刻方法(诸如用于制备器件衬底或压印模板的电子束光刻)的替代方案。
可自组装嵌段共聚物是在纳米制造中有用的化合物,因为它们在冷却至特定温度(有序-无序转变温度T0/d)以下时会经受有序-无序转变,导致不同化学性质的共聚物嵌段的相分离,以便形成有序的、化学区分的尺寸为几十纳米或甚至小于10nm的域。域的尺寸和形状可以通过操纵不同嵌段类型的共聚物的分子量和成分来控制。域之间的界面可以具有约1-5nm量级的线宽度粗糙度,并且可以通过改变共聚物的嵌段的化学成分来操纵。
Chaikin和Register等人在Science276,1401(1997)中的文章阐明了使用嵌段共聚物的薄膜作为自组装模板用于形成图案的可行性。具有20nm尺寸的点和孔的密集阵列从聚(苯乙烯-嵌段-橡胶基质)的薄膜转移至氮化硅衬底。
嵌段共聚物包括不同的嵌段,每个嵌段通常包括一个或多个相同的单体,并且沿聚合物链并排布置。每个嵌段可以包括其相应类型的多个单体。因而,例如,A-B嵌段共聚物可以具有在所述(或每个)A嵌段中的多个A型单体和在所述(或每个)B嵌段中的多个B型单体。合适的嵌段共聚物的示例是例如具有聚苯乙烯(PS)单体(疏水嵌段)和聚甲基丙烯酸甲酯(PMMA)单体(亲水嵌段)的共价键链接的多个嵌段的聚合物。具有不同疏水性/亲水性的嵌段的其他嵌段共聚物可以是有用的。例如,三嵌段共聚物(诸如A-B-C嵌段共聚物)可以是有用的,因为可以是交替的或周期性的嵌段共聚物(例如[-A-B-A-B-A-B-]n或[-A-B-C-A-B-C]m,其中n和m是整数)。这些嵌段彼此通过共价键以线性或支链(例如星形或分支配置)的方式连接。
依赖于多个嵌段的体积分数、每个嵌段类型内的聚合度(即,每个相应嵌段内每个相应类型的单体的数量)、溶剂的可选使用以及表面相互作用,嵌段共聚物在自组装时可以形成多种不同的相。当在薄膜中应用时,几何限制可能引起附加的边界条件,这可能限制所述形成的相。通常,在自组装嵌段共聚物的薄膜中实际观察到球形(例如立方体)、圆柱形(例如四边形或六边形)以及层状相(即,具有立方体、六边形或层状间隔填充对称性的自组装相)。
观察到的相类型可以依赖于不同聚合物嵌段的相对分子体积分数。例如,80∶20的分子体积比将提供布置在较高体积嵌段的连续域中的低体积嵌段的不连续球形域的立方体相。当体积比减小到70∶30时,将形成圆柱形相,不连续域是较低体积嵌段的圆柱。在50∶50的比例下,形成层状相。在30∶70的比例下,可能形成相反的圆柱形相,而在20∶80的比例下,可能形成相反的立方体相。
用作可自组装聚合物的合适的嵌段共聚物包括但不限于聚(苯乙烯-b-甲基丙烯酸甲酯)、聚(苯乙烯-b-2-乙烯基吡啶)、聚(苯乙烯-b-丁二烯)、聚(苯乙烯-b-二茂铁二甲基硅烷)、聚(苯乙烯-b-环氧乙烯)、聚(环氧乙烯-b-橡胶基质)。符号“b”表示“嵌段”。虽然这些是双嵌段共聚物的示例,但是应该清楚,自组装也可以采用三嵌段共聚物、四嵌段共聚物或其他多嵌段共聚物。
用于将引导或定向聚合物(诸如嵌段共聚物)自组装到衬底表面上的一种方法已知为图形外延。该方法涉及使用抗蚀剂构造的一个或多个特征(或者从抗蚀剂转移到衬底表面上的一个或多个特征,或者转移到沉积在衬底表面上的薄膜叠层上的一个或多个特征)、通过衬底上的形貌或拓扑预图案化引导嵌段共聚物的自组织。预图案化用于形成包括衬底基底和抗蚀剂侧壁(例如,一对相对的侧壁)的包围结构或“凹陷”(或者形成在薄膜中的侧壁,或者形成在衬底中的侧壁)。
典型地,图形外延引导模板的特征的高度具有嵌段共聚物层的厚度的量级,因此例如可以是从大约20nm至大约150nm量级。
层状自组装的嵌段共聚物可以形成光刻特征的平行线性图案,其中不同的聚合物嵌段域的相邻的线处于凹陷中。例如,如果嵌段共聚物是具有在聚合物链中的A和B嵌段的双嵌段共聚物,则嵌段共聚物可以自组装成每个凹陷中的有序层,所述层包括规则间隔开的第一A嵌段域,与第二B嵌段域交替。
类似地,圆柱形自组装嵌段共聚物能够形成包括被第二连续域包围的圆柱形不连续第一域的光刻特征的有序图案。例如,如果嵌段共聚物是具有在聚合物链中的A和B嵌段的二嵌段共聚物,则A嵌段可以组装成在圆形凹陷中的圆柱形不连续域并且被B嵌段的连续域包围。可替换地,A嵌段可以组装成在线性凹陷中规则地间隔开的圆柱形不连续域并且被B嵌段的连续域包围。
因此,图形外延可以用于引导层状或圆柱形相的自组织,使得嵌段共聚物图案能够将凹陷的侧壁的间隔细分成离散的共聚物图案的域。
在纳米制造中使用嵌段共聚物自组装的过程中,衬底可以被修改以具有中性取向控制层,作为图形外延引导模板的一部分,以便引入相对于衬底的自组装图案的优选取向。对于在可自组装聚合物层中使用的某些嵌段聚合物,在多个嵌段之一和衬底表面之间可以存在导致取向的优先的相互作用。例如,对于聚苯乙烯(PS)-b-PMMA嵌段共聚物,PMMA嵌段将优先浸润氧化物表面(即,与氧化物表面具有高化学亲和性),并且这可以用以引入自组装图案以大致平行于表面的平面而被取向放置。可以引入大致垂直取向,例如通过将中性取向层沉积到表面上,导致衬底表面对两个嵌段都是中性的,换句话说,中性取向层具有对每个嵌段类似的化学亲和性,使得两个嵌段以相似的方式在表面处浸润中性取向层。通过“垂直取向”,意味着每个嵌段的域将被并排定位在衬底表面,其中不同嵌段的相邻域之间的界面区域基本上垂直于表面的平面布置。
在用于对准具有A和B嵌段的双嵌段共聚物的图形外延引导模板中,其中A的属性是亲水的,B的属性是疏水的,图形外延图案可以包括具有在疏水特征之间的中性取向基底的疏水侧壁特征。B域可以优先沿疏水特征的旁边组装,其中若干个A和B嵌段的交替域在图形外延引导模板的嵌塞特征之间的中性取向区域上被对准。
可以例如通过使用通过羟基端基或某些其他反应端基与衬底表面处的氧化物的反应共价地链接到衬底的随机的共聚物刷(brush)产生中性取向层。在用于形成中性取向层的其他布置中,可交联随机共聚物或适当的硅烷(即,具有替换的反应硅烷的分子,诸如(3)氯代硅烷端基或(3)甲氧基硅烷端基,也称为甲硅烷基端基)通过用作衬底表面和可自组装聚合物的层之间的中间层,可以用以导致表面中性。这样的硅烷基中性取向层将通常作为单层,而可交联聚合物通常不作为单层给出并且可以具有通常小于或等于大约40nm的层厚度,或者小于或等于大约20nm的层厚度。
可自组装嵌段聚合物的薄层可以如上所述那样被沉积在具有图形外延引导模板的衬底上。用于可自组装聚合物的沉积的合适方法是旋涂,因为该过程能够提供良好地限定的、均匀一致的可自组装聚合物薄层。沉积的可自组装聚合物膜的合适的层厚度是大约10nm至150nm。
在沉积嵌段共聚物膜之后,膜可能仍然是无序的或者仅仅部分是有序的,并且可能需要一个或多个附加的步骤来促进和/或完成自组装。例如,在自组装之前,可自组装共聚物可以被沉积作为溶剂里的溶液,其中例如通过蒸发去除溶剂。
嵌段共聚物的自组装是多种小成分(嵌段共聚物)的组装导致形成较大的更复杂的结构(自组装图案中的纳米级尺寸特征)的过程。由于物理控制聚合物的自组装自然会产生缺陷。通过A-B嵌段共聚物的A/A、B/B和A/B(或B/A)嵌段对之间的相互作用的差(即,相互的化学亲和性的差)驱动自组装,其中考虑对应于系统的Flory-Huggins(弗洛里-哈金斯)理论描述的用于相分离的驱动力。使用图形外延可以极大地减少缺陷形成。Flory-Huggins(弗洛里-哈金斯)相互作用的参数(x值)以及嵌段共聚物嵌段的聚合程度(N值)是影响相分离的嵌段共聚物的参数以及特定嵌段共聚物的自组装发生所具有的尺寸。
对于经历自组装的聚合物,可自组装聚合物将显示有序-无序温度To/d。To/d可以通过任何合适的用于评估聚合物的有序/无序状态的技术,例如差分扫描量热法(DSC),而被测量。如果在该温度之下发生层的形成,则分子将被驱动以自组装。在温度To/d之上,将形成无序层,其中来自无序A/B域的熵贡献超过层内的相邻A-A和B-B嵌段对之间的有利的相互作用产生的熵贡献。可自组装聚合物还可以显示玻璃转变温度Tg,在该温度之下聚合物有效地固化,高于该温度则共聚物分子将仍然相对于相邻共聚物分子在层内改变取向。玻璃转变温度适于通过差分扫描量热法(DSC)测量。
在如上所述的有序化期间形成的缺陷可以通过退火部分地去除。诸如旋转位移(该缺陷为违反旋转对称的线缺陷,例如在导向器的取向上存在缺陷)等缺陷可以通过配对另一缺陷或相反符号的旋转位移来消除。可自组装聚合物的链活动性可以是用于确定缺陷迁移和消除的因素,并且因此可以在链活动性高且自组装有序图案不损失的温度条件下执行退火。这表示达到聚合物的有序/无序温度To/d之上或之下几摄氏度的温度。
有序化和缺陷消除可以结合在单个退火过程中,或可以使用多个过程以便提供自组装聚合物(诸如嵌段共聚物)的层,具有(不同嵌段类型的域的)不同化学类型的域的有序图案。
为了将诸如器件架构或形貌等图案从自组装聚合物层转移到沉积有自组装聚合物的衬底中,通常,将通过所谓的贯通蚀刻(breakthroughetching)去除第一域类型,以在衬底表面上提供第二域类型的图案,其中在第二域类型的特征之间衬底裸露。可以使用干蚀刻或者反应离子蚀刻技术蚀刻具有平行圆柱形相域的图案。除了那些适于平行圆柱形相域的蚀刻的技术之外,或者作为那些适于平行圆柱形相域的蚀刻的技术的替换方案,具有层状相域的图案使用湿蚀刻技术。
在贯通蚀刻之后,可以通过使用被第二域类型对抗并因此在表面已经裸露的衬底表面中形成凹陷的蚀刻装置的所谓的转移蚀刻来转移图案。
虽然文中讨论的内容集中在图形外延引导模板上,然而可以理解,引导模板可以涉及除图形外延之外的其他技术,或者除了图形外延之外还可以涉及其他技术。例如,引导模板可以是涉及引导模板的化学表面改变的化学外延模板,其中化学改变便于自组装的引导。例如,在用于对准具有A和B嵌段的双嵌段共聚物的化学外延引导模板中,其中A的性质是亲水的、B的性质是疏水的,模板的表面可以包括在疏水特征之间具有中性取向基底的一个或多个疏水带。疏水带具有与图形外延引导模板的多个疏水壁中的一个疏水壁类似的功能。
光学邻近校正(OPC)
作为示例,光学邻近校正引起这样的事实:投影到衬底上的设计图案的图像的一个或多个特征的最终尺寸和布置将不同于设计图案的一个或多个特征在图案形成装置处的尺寸和布置,或者投影到衬底上的设计图案的图像的一个或多个特征的最终尺寸和布置仅仅依赖于设计图案的一个或多个特征在图案形成装置处的尺寸和布置。应该指出的是,术语“掩模”、“掩模版”、“图案形成装置”在文中可互换使用。并且,掩模和掩模版可以广义地用术语“图案形成装置”表示。此外,本领域普通技术人员将认识到,尤其在光刻术模拟和最优化的情形中,术语“掩模”、“图案形成装置”和“设计图案”可以互换使用,如在光刻术模拟和最优化中,物理的图案形成装置不一定被使用,但是设计图案可以用于代表物理的图案形成装置。对于呈现在某个设计图案上的小特征尺寸和/或高特征密度,给定特征的特定边缘的位置可能由于其他相邻特征的存在或不存在而一定程度地被影响。这些邻近效应源于从一个特征耦合至另一特征的小量辐射和/或诸如衍射和干涉等非几何光学效应。类似地,邻近效应可能源于后曝光烘焙(PEB)、抗蚀剂显影和蚀刻过程中的通常伴随光刻术的漫射和其他化学效应。
在PCT专利申请出版物No.WO2010/059954中描述了一种源和图案形成装置的最优化方法和系统,所述方法和系统允许使用没有约束条件的成本函数、在可行的时间量内同时最优化所述源和图案形成装置,其中所述专利文献通过引用全文合并于此。
在美国专利申请出版物No.2010/0315614中描述了另一种源和掩模的最优化方法和系统,所述方法和系统涉及通过调整源的像素来最优化所述源。
发明内容
根据一实施例,提供一种设计用于引导嵌段共聚物的自组装、以在用于光刻的设计布局中形成至少两个特征的特征引导模板的方法,所述特征引导模板包括通过瓶颈部结合的至少两个部分,所述方法包括:至少基于所述特征引导模板的几何结构的函数确定所述特征引导模板的特性,其中所述特征引导模板的几何结构的函数包括所述瓶颈部的宽度的值,或者包括基于所述部分中的至少一个部分的宽度和所述瓶颈部的宽度的值,或者包括包含所述部分中的至少一部分的宽度和所述瓶颈部的宽度的值。
根据一实施例,提供一种确定用于引导嵌段共聚物的自组装以在用于光刻的设计布局中形成至少两个特征的特征引导模板的特性的方法,所述方法包括:对应所述至少两个特征中的每个特征确定引导模板,而不考虑所述至少两个特征中的其他特征;并且至少基于所述特征引导模板的几何结构的函数确定所述特征引导模板的特性,所述特征引导模板是对应两个或多个特征的引导模板中的每个引导模板的结合件。
根据一实施例,提供一种方法,包括至少基于特征引导模板的几何结构的函数设计所述特征引导模板的几何特性,所述特征引导模板用于引导嵌段共聚物的自组装、以在用于光刻的设计布局中形成至少两个特征,所述特征引导模板包括通过瓶颈部结合的至少两个部分。
根据一实施例,提供一种计算机可读非瞬时介质,指令存储于所述计算机可读非瞬时介质中,所述计算机可读非瞬时介质被配置用于使计算机执行如文中所述的方法。
根据一实施例,提供一种计算机设备,包括:物理存储器,用于存储处理器可读的指令;和物理处理器,所述物理处理器被布置用于读取和执行存储在物理存储器中的指令,其中所述物理处理器可读指令包括被布置用于控制计算机以执行文中所述方法的指令。
根据一实施例,提供一种特征引导模板,使用文中所述的发明的实施例设计所述特征引导模板。根据一实施例,提供一种集成电路,利用使用文中所述的发明的实施例设计的特征引导模板生成所述集成电路。
根据一实施例,提供一种特征引导模板,所述特征引导模板包括连接至一个或多个元件的两个或多个部分,其中所述一个或多个元件的宽度与所述两个或多个部分中的一个部分的宽度的比值在0.3至0.5之间。所述两个或多个部分中的所述一个部分的宽度可以在30至60nm之间。所述一个或多个元件的宽度可以在9至30nm之间。所述两个或多个部分中的两个部分的中心-中心距离可以在22至66nm之间。
在对于本领域普通技术人员来说合适的情况下,文中所公开的一个或多个方面可以与文中所描述的任何一个或多个其他方面相组合,和/或可以与文中所描述的任何一个或多个特征相组合。
附图说明
本发明的具体实施例将参考附图进行描述,其中:
图1A-1E示意性地示出通过引导模板在衬底上定向A-B嵌段共聚物的自组装;
图2示意性地示出在衬底上的多个A-B嵌段共聚物特征;
图3是诸如如图1所示的嵌段共聚物特征的形成的示意性实例;
图4示意性地示出在图案形成装置处的两个特征以及与这些特征相关的引导模板的实例;
图5示意性地示出用于在闭合空间邻近中两个特征(例如,接触孔)的基础特征的示例性群组的引导模板的形状;
图6示意性地示出具有不同BN/CN比率的一系列引导模板;
图7示出在图6中的一系列引导模板中的A类型共聚物域的位置误差(PE);
图8是根据一实施例的方法的流程图;
图9是根据一实施例的方法的流程图;
图10示意性地示出用于几个特征的引导模板被OPC最优化成用于图案形成装置的图案的实例;
图11是根据一实施例的方法的流程图;
图12是设计自组装过程及其组成的方法的示意性示图;和
图13是适于实现实施例的计算机的示意性示图。
具体实施方式
图1A和1B示出使用嵌段共聚物的自组装的光刻工艺应用于其上的衬底1的一部分的平面视图和截面视图。抗反射涂层可以设置在衬底1的表面上。抗反射涂层(如果设置有)可以是有机材料,诸如例如来自密苏里州的Rolla市的BrewerScience股份有限公司的ARC29。抗反射涂层可以是无机材料,诸如例如SiC或者SiON。中性层可以设置在抗反射涂层上。抗蚀剂层2涂覆于衬底1上。抗蚀剂层2可以例如是光致抗蚀剂。在一实施例中,抗蚀剂是负色调显影过的抗蚀剂(通过有机显影剂被显影的抗蚀剂)。这种类型的抗蚀剂通常与在嵌段共聚物的旋涂中使用的有机溶剂兼容。抗蚀剂层2图案化为形成接触孔(通孔)凹陷5,用于嵌段共聚物的自组装中。凹陷5可以通过光致光刻、压印光刻或其他光刻过程来形成。出于自组装的目的,凹陷5不需要在抗蚀剂中。例如,凹陷5可以通过例如经过抗蚀剂2中蚀刻开口而被形成在下层中(诸如在图1A和1B中所示)。下文中,为了方便,凹陷5被描述和图示为在抗蚀剂2中,但是这并不是必须的。
在图1C中,嵌段共聚物层6已经被沉积在衬底1和抗蚀剂2上。嵌段共聚物层6被示出,在凹陷5内以及抗蚀剂2的顶部上具有均匀的厚度。在图1D和1E中,分别示出已经被热退火的嵌段共聚物层6(由此使得嵌段共聚物材料的自组装发生)的横截面图和平面视图。热退火过程使得嵌段共聚物材料重新分布,一些嵌段共聚物材料从抗蚀剂2之上的区域转移到凹陷5中。为了简单,所有的嵌段共聚物材料已经被示出为转移到凹陷5中。然而,在实际中,一起嵌段共聚物材料可能保留在抗蚀剂2之上的区域中。代替热退火,可以使用溶剂退火(溶剂膨胀扩张swell嵌段共聚物,从而增大它的移动性,使得能够重新布置嵌段共聚物)。
使用嵌段共聚物材料允许组分聚合物材料的多个域自组装到嵌段共聚物特征中。例如,能够看到沉积在凹陷5中的嵌段共聚物已经形成聚合物的相异的域。A类型聚合物域8被形成为例如圆柱并且被连续B类型聚合物域9包围。A类型聚合物域8和B类型聚合物域9在凹陷5内形成嵌段共聚物特征7。在一实施例中,B类型聚合物域9形成为例如圆柱并且被连续A类型聚合物域8包围。
如上所述的,中性取向层可以设置在衬底上。对于A类型聚合物嵌段和B类型聚合物嵌段,中性取向层可以具有类似的化学亲和性或亲和力,使得两种嵌段以类似的方式浸润中性取向层。这可以促进A类型和B聚合物的域的形成,使得A类型和B聚合物的域具有正常的取向(即,大致垂直于衬底的表面)。中性取向层的表面能可以大于两种聚合物域8和9中的一种域的表面能,而小于两种聚合物域8和9中的另一种域的表面能。
为了引导自组装,横向尺寸例如通过一个或多个壁的间隔控制。凹陷5的宽度(例如,直径)可以例如大致为70纳米。凹陷5的宽度可以例如在大约20nm与大约100nm之间的范围内。在凹陷5内的A类型聚合物域8的宽度(例如,直径)可以例如是大约30nm。在凹陷5内的A类型聚合物域8的宽度(例如,直径)可以例如在大约5nm与大约50nm之间的范围内。
嵌段共聚物材料厚度还影响自组装过程。在凹陷5内的嵌段共聚物层的厚度可以被优化用于A类型和B类型共聚物的相异的域的形成。
多个嵌段共聚物特征7可以形成在单个衬底1上。例如,多个圆柱形嵌段共聚物特征(诸如图1中示出的圆柱形嵌段共聚物特征7)的形成可以是形成在衬底1上。图2示意性示出已经于其上应用使用嵌段共聚物的自组装的光刻工艺的衬底1的较大部分的平面视图。抗蚀剂2层涂覆至衬底上。抗蚀剂2层图案化有多个凹陷5。嵌段共聚物层沉积在衬底1上,并且对嵌段共聚物层应用热退火工艺。相应的嵌段共聚物特征7形成在每个凹陷5中。每个嵌段共聚物特征7包括A类型聚合物域8和B类型聚合物域9。A类型聚合物域8形成为例如圆柱并且被连续的B类型聚合物域9包围。A类型聚合物域8可以例如包括聚甲基丙烯酸甲酯(PMMA)单体的嵌段。B类型聚合物域9可以例如包括聚苯乙烯(PS)单体。用作可自组装聚合物的适当的嵌段共聚物包括、但不限于聚(苯乙烯-b-甲基丙烯酸甲酯)、聚(苯乙烯-b-2-乙烯基吡啶)、聚(苯乙烯-b-丁二烯)、聚(苯乙烯-b-二茂铁二甲基硅烷)、聚(苯乙烯-b-环氧乙烯)、聚(环氧乙烯-b-橡胶基质)、聚(苯乙烯-b-二甲基硅氧烷)、聚(苯乙烯-b-乳酸)、聚(二甲基硅氧烷-b-乳酸)。符号“b”表示“嵌段”。虽然这些前述嵌段共聚物是双嵌段共聚物,但是自组装也可以采用三嵌段共聚物、四嵌段共聚物或其他多嵌段共聚物。
嵌段共聚物特征7还可以包括围绕B类型共聚物域9的周边定位的第二A类型共聚物域(例如,PMMA单体的嵌段)。第二A类型聚合物域可以例如与凹陷5的壁接触。为了简单起见,第二A类型聚合物域在图中没有示出,然而,可以理解:除了示出的那些,一个或多个附加的聚合物域可以出现在任何给定的嵌段共聚物特征7中。
A类型聚合物域定位在衬底上的精度是使用自组装嵌段共聚物制造器件过程中的重要因素。例如,可以通过将多个第一特征图案化在衬底1上来制造器件。多个第一特征例如可以与图2中示出的A类型聚合物相对应。这可以例如通过使抗蚀剂2、A类型聚合物域8和B类型聚合物域9经历刻蚀工艺来实现。刻蚀工艺可以去除A类型聚合物域8。因此,刻蚀工艺曝露衬底1的处于A类型聚合物域8之下的区域。抗蚀剂2和B类型聚合物域9可以抵抗刻蚀工艺并且可以保持在适当位置。可以实施另一刻蚀工艺,以刻蚀衬底的被曝露的区域,从而将与A类型聚合物域8相对应的特征图案化到衬底中。这些特征可以例如形成集成电路的接触孔。
抗蚀剂2和B类型聚合物域9可以顺序地从衬底去除,并且可以沉积新的抗蚀剂2层到衬底1上。然后,通过在新的抗蚀剂2层中形成多个第二凹陷5而将多个第二特征图案化到衬底1上。然后,嵌段共聚物层6可以沉积到衬底1上并且暴露于退火工艺,由此形成包括多个第二A类型聚合物域8的多个第二嵌段共聚物特征7。然后,可以执行一个或多个刻蚀工艺,以将多个第二特征图案化到衬底1上。多个第二特征与多个第二A类型聚合物域8相对应。
当使用嵌段共聚物制造器件时,例如期望将多个特征中的一个特征相对于多个特征中的另一个特征精确地对准。附加地或者作为替代,例如期望多个第一特征相对于多个第二特征精确地对准。
一般地,可以使用除了上述内容之外的其他方法或特征来制造器件。例如,可以将具有除了图1和2中所示均匀布置的圆柱形状之外的其他形状和/或构造的嵌段共聚物特征图案化到衬底上。实际上,虽然本说明书采用接触孔的形成作为示例(例如,接触孔收缩,在接触孔收缩的情况中通过光刻技术印制的接触孔形状被填充有嵌段共聚物,以形成较小的接触孔),其中接触孔可以用作逻辑应用和/或切割掩模应用中的通孔,但是文中所述实施例的应用不限于接触孔的形成。
嵌段共聚物特征7可以被模拟为热力学系统,并且嵌段共聚物特征的一个或多个宏观性质可以通过统计力学来确定。例如,没有通过任何理论限制,图3是单个嵌段共聚物特征的形成(诸如图1中所示)的示例性化学属性的示意性实例。在这种情况中,图3示意性示出A类型聚合物域8,A类型被形成为在凹陷5中的例如圆柱并且被连续的B类型聚合物域9包围。A类型聚合物域8可以例如包括聚甲基丙烯酸甲酯(PMMA)单体。B类型聚合物域9可以例如包括聚苯乙烯(PS)单体。在这种情况中,第二A类型聚合物域(例如PMMA)围绕B类型聚合物域9的外周定位。第二A类型聚合物域与凹陷5的壁接触。
在光刻工艺中使用自组装嵌段共聚物能够受益于确定引导模板的一个或多个特性(例如,高度、形状、特征间隔、材料、侧壁角和/或表面化学等)以形成将要转移到衬底上的期望的图案的计算上不昂贵的方法。使用图1作为例子,A类型聚合物域8可以通过刻蚀去除,衬底的处于A类型聚合物域8之下的区域被曝露用于进一步的处理(例如刻蚀、沉积),由此将A类型聚合物域8的形状转移到衬底上。凹陷5(在本实例中是引导模板)的一个或多个特性影响A类型聚合物域8的位置和形状,因此影响转移到衬底1上的图案。在使用给定的嵌段聚合物的给定光刻过程中(例如,辐射源形状、波长、在光刻投影设备中的投影光学装置、抗蚀剂、后曝光烘焙、抗蚀剂显影、刻蚀、沉积等),为了使转移到衬底1上的图案成为期望的图案,凹陷5(在本实例中是引导模板)应该具有一个或多个合适的特性。
然而,确定引导模板的期望或理想特性(例如,期望或理想的形状)是困难的。这对于相对来说非常邻近的多个基础特征来说尤其是这样。对于这样的特征群组,每个特征可以在其自己的单独的引导模板结构中形成,或者可以在公共的引导模板结构(例如,细长形状)中形成。在混合情形中,引导模板结构可以主要包括用于每个特征的部分和用于将这些部分连接在一起的一个或多个基础部(例如,一个或多个瓶颈部)。
实际上,不知道对于一组基础特征(诸如接触孔等)来说什么是引导模板的理想特性。哑铃或花生状形状(如在下面更详细描述的)可能适于用于一组基础特征的引导模板。但是,例如,并不清楚需要什么尺寸的多个部分和/或什么尺寸的瓶颈部使得能够将多个特征的位置/布置误差保持为合理的小。由此,期望具有能够用于确定这种引导模板的特性(例如,形状)的因素或函数以及用于确定特性和/或设计引导模板的方法,期望通过这样的因素或函数以及方法使得引导模板能够相对容易地被形成(例如,使用光刻印制)并且能够实现被自组装的嵌段共聚物特征的小的位置/布置误差。
根据转移到衬底上的期望图案确定引导模板的特性(并且因此设计引导模板的特性)的一个方法依赖于引导模板中的自组装的迭代第一原理计算。该方法可以是精确的,但是消耗时间且在计算上来看是昂贵的,到了潜在地牵连不切实际的量的计算资源的程度。
通过使用基于规则的方法可以减少这种推导的时间和计算成本。具体地,在各种条件下的用于基础特征群组的引导模板的一个或多个特性可以通过使用任何适当的方法来计算,包括严格法(诸如迭代第一原理计算等)和/或文中描述的方法中的任一种。基础特征群组可以包括经常包含在设计布局中的图案和/或设计布局中的重复图案,诸如接触孔、隔离沟槽、通孔、引线、掩模切割线、栅电极等等。
对基础特征群组的引导模板的特性的计算可以考虑许多因素,诸如在衬底处的基础特征群组的可制造性。在图4中所示的实例中,如果两个特征34(在该实例中是接触孔)之间的距离35太短而在衬底处不能被可靠地制造,则用于这些特征34的引导模板可以被并入特征(或结合)引导模板36中,使得在特征(或结合)引导模板36上没有曲率大于最大曲率37。最大曲率κmax=(4NA)/λ,其中NA是用于将引导模板的图案投影到衬底上的投影光学装置的数值孔径,λ是用于投影图案的辐射的波长。在使用193nm的深紫外辐射(DUV)光刻术中,1/κmax(例如,曲线的最小半径)通常是大约36nm(对于1.35的NA)。在使用13.5nm的极紫外辐射(EUV)光刻术中,1/κmax(例如,曲线的最小半径)通常是大约10nm(对于0.33的NA)。
引导模板的特性的计算中可以考虑进去的其他因素可以包括特征中的至少一个特征的位置/布置误差、嵌段共聚物的化学成分、嵌段共聚物的结构(例如线性的、星形等)、嵌段共聚物的厚度、嵌段共聚物的退火温度、嵌段共聚物的退火率和/或用于嵌段共聚物的溶剂。
其他特征可以应用于文中的实施例中,例如最大曲率、嵌段共聚物的化学成分(例如,嵌段长度)、来自最小能量位置的位移、位置/布置误差等等。更具体的细节可以在美国专利申请No.61/874,854、61/874,875和61/680,042中找到,这些专利申请文件通过参考全部并入本文中。
根据一实施例,根据文中任一方法的特性的计算可以考虑引导模板的几何结构的函数。根据一实施例,引导模板的几何结构的功能可以具有约束。
图5示意性地示出例如用于空间紧密相邻的两个接触孔的示例性基础特征群组的引导模板43的形状。引导模板43的形状大致类似于哑铃或花生,并且包括由一个或多个瓶颈部结合的至少两个部分(例如,圆形部分)。
注意到图5,在确定引导模板的特性时,几何结构的函数可以是例如一个或多个部分的宽度(例如直径)(CD)44(例如,与接触孔的外表面的宽度相对应或者是从引导模板部分的内表面的一个部分至内表面的另一个部分的宽度)、至少两个部分(例如,对应接触孔的引导模板凹陷部分)之间的瓶颈部45的宽度(BN)、至少两个部分(例如,对应接触孔的引导模板凹陷部分)的中心-中心距离(CC)46和/或宽度45与宽度44的函数(例如,比率)。在一实施例中,宽度CD可以是模板的临界尺寸。在一实施例中,函数是宽度45与宽度44的比率,这是通过用CD除BN(即,BN/CN比率)而获得的无量纲值,宽度45和宽度44属于同一特征。在一实施例中,各个部分具有大致相同的CD值。在一实施例中,多个部分中的一个部分的CD值可以在所述多个部分中的另一部分的CD值的95-100%内、90-100%内或者85-100%内。
图6示意性示出具有不同BN/CN比率、不同CC值、但是具有10nm的恒定最小半径和恒定CD=44nm的一系列引导模板。诸如51指示的椭圆形特征示意性标示在各个引导模板中通过嵌段共聚物的自组装形成的A类型聚合物域的位置。
图7示出图6中一系列引导模板中的A类型聚合物域的位置/布置误差(PE)(空心圆,CD具有44nm值),以及具有不同BN/CN比率、不同CC值、但是具有10nm的恒定最小半径和恒定CD=54nm的一系列引导模板中的A类型聚合物域的PE(空心方块,CD具有54nm值),其中基于引导模板在形状上是刚性的假设通过模拟确定各个PE。该假设对于非刚性材料(例如抗蚀剂层)形成的引导模板来说可能不是真实的,但是对于形成在衬底中的引导模板来说应该是有效的。对于非刚性引导模板材料,考虑非刚性的因素应该被引入。在该示例中,用于单个接触孔(闭合圆柱)的位置/布置误差是大约1.3nm(3δ)。
注意到图7,当比率BN/CD大于0.5时,PE快速增大。因此,具有大于0.5的比率BN/CD的引导模板是不期望的。例如通过光刻术分辨率(即,最大曲率)和/或随机效应(例如,散粒噪声)设置比率BN/CD的较低边界。在一实施例中,比率BN/CD的较低边界是0.3。
没有任何理论限制,可以相信:图7中所示位置/布置误差的增大受引导模板的几何结构驱动。较小的CD、嵌段共聚物或非线性嵌段共聚物中的较短的聚合物可能导致较小的位置/布置误差,尽管绝对趋势看来好像是保持同一特性,即,位置/布置误差在比率BN/CD大于0.5时显著增大。
因此,多个部分中(例如,对应接触孔的引导模板凹陷部分)的至少两个部分之间的瓶颈部45的宽度和/或宽度45和宽度44的函数(例如比率)可以是引导模板形状的有效设计参数。它可以由于位置/布置误差需求而提供可印制的引导模板形状的约束或限制。在一实施例中,参数是BN/CD比率。在一实施例中,BN/CD比率选自0.3-0.5的范围。在一实施例中,BN/CD比率选自0.48-0.52的范围。在一实施例中,BN/CD比率选自0.49-0.51的范围。在一实施例中,BN/CD比率选自0.46-0.53的范围。在一实施例中,BN/CD比率选自0.45-0.53的范围。根据一实施例,至少两个部分具有大致相同的宽度。这些范围趋于有益于光刻工艺,例如给出具有较大的工艺窗口。
如文中所述,这种参数可以用于基于规则的方法中,用于确定引导模板的特性(例如,设计)。如文中所述,这种参数可以与优化-类型过程中的一个或多个其他参数结合使用。例如,这种参数可以用于实现其中特征的临界尺寸被最大化的引导模板形状。
根据一实施例,确定用于设计布局的两个或多个空间邻近特征的引导模板的一个或多个特性的方法示出在图8的流程图中。在步骤801中,获得包括两个或多个空间邻近特征的设计布局。例如,全芯片设计可以被分析用于接触孔结构,这可以通过适当的算法被提取。在步骤802中,确定用于两个或多个空间邻近特征中的每个特征的引导模板的特性,而不考虑两个或多个空间邻近特征中的其他特征。在步骤803中,根据用于两个或多个空间邻近特征的每个引导模板的特性确定特征(或结合)引导模板的特性。例如,用于两个或多个空间邻近特征中的每个特征的引导模板可以被结合到特征(或结合)引导模板中。在一实施例中,在生成特征(或结合)引导模板过程中,两个或多个空间邻近特征的各个引导模板之间的间隙可以桥接,并且可以使两个或多个空间邻近特征的各个引导模板之间的曲率平滑。在一实施例中,在特征(或结合)引导模板上没有曲率会超过最大曲率,其中最大曲率是用在光刻术中的波长和数值孔径的函数,用于形成特征(或结合)引导模板。在一实施例中,计算机算法基于(1)用于生成引导模板的光刻工艺支持的特征之间的最小间隙、(2)通过自组装过程限定的引导模板临界尺寸(CD)和(3)光刻过程的波长和数值孔径,产生特征(或结合)引导模板布局。在一实施例中,特征(或结合)引导模板基于如文中讨论的引导模板的几何结构的函数。如下面进一步讨论的,引导模板布局可以用在OPC工艺中。在一实施例中,例如可以通过在表中查询(如在图5和6中所示的)来确定特征(或结合)引导模板。类似地,特征(或结合)引导模板可以是两个或多个特征(或结合)引导模板的组合,这可以例如通过在表中查询(如在图5和6中所示的)来确定。在可选的步骤804中,使用包括第一原理计算的任何适当方法使特征(或结合)引导模板的特性有效,以确定两个或多个空间邻近特征之间的差以及将被形成在特征(或结合)引导模板中的嵌段共聚物特征。如果所述差大于阈值,则可以调整特征(或结合)引导模板的特性。由于引导模板的先进属性以及涉及小的面积,因此,有效化不是耗时的并且计算强度不大。
根据一实施例,通过图9的流程图解释一种设计设计布局、引导模板和/或用于引导模板的图案形成装置的图案的方法。在步骤901中,获得设计布局。例如,全芯片设计可以被分析用于接触孔结构,这可以通过适当的算法被提取。在步骤902中,使用诸如文中所公开的方法和/或第一原理计算等任何适当的方法,针对设计布局的一个或多个特征确定引导模板的一个或多个特性。在步骤903中,设计布局、引导模板的一个或多个特性和/或用于形成引导模板的图案形成装置的图案经历OPC。在一实施例中,OPC包括模拟使用引导模板的嵌段共聚物的自组装。因此,在一实施例中,OPC成本函数是“知道”自组装过程的,例如OPC基于校正的光刻术和自组装模型。即使使用OPC最优化引导模板,通过使用如文中所述的方法限定名义引导模板形状,也能够减小全芯片OPC运行时间,其中名义引导模板形状接近最终的优化引导模板形状。在可选的步骤904中,在OPC之后,设计布局、引导模板的一个或多个特性和/或用于形成引导模板的图案形成装置的图案被校验。在一实施例中,校验包括模拟使用引导模板的嵌段共聚物的自组装。在图10所示的实例中,如所设计的用于特征1001的引导模板1002通过OPC被优化成用于图案形成装置的图案1003。自组装的模拟示出在OPC被应用于图案1003以形成引导模板1002之后特征1001的位置1004。
根据一实施例,通过图11中的流程图示出一种设计设计布局、引导模板和/或用于引导模板的图案形成装置的图案的方法。在步骤1101中,获得设计布局。例如,全芯片设计可以被分析用于接触孔构造,这可以通过适当的算法被提取。在步骤1102中,使用诸如文中所公开的方法和/或第一原理计算等任何适当的方法,确定用于设计布局的一个或多个特征的引导模板的一个或多个特性。在步骤1103中,设计布局、引导模板的一个或多个特性和/或用于形成引导模板的图案形成装置的图案经历OPC。在该方法中,OPC不需要包括模拟使用引导模板的嵌段共聚物的自组装。在可选的步骤1104中,在OPC之后,设计布局、引导模板的一个或多个特性和/或用于形成引导模板的图案形成装置的图案被校验。在一实施例中,校验包括模拟使用引导模板的嵌段共聚物的自组装。
参考图12,设计过程的实施例被描绘为使用可自组装嵌段共聚物设计和/或制造部件(例如,器件)。在该过程中,在进行制造之前,可以先预测嵌段共聚物与被用于引导嵌段共聚物的自组装的图案(例如,印制的光刻图案)是如何相互作用。此外,该过程可以使得能够进行逆问题的计算,即知道嵌段共聚物特征应该被定位在哪里、确定例如应该被使用的嵌段共聚物的类型和/或将被用于引导嵌段共聚物的自组装的图案。
在步骤300,提供一个或多个自组装的嵌段共聚物特征的期望的布置/图案的初始设计。还可以提供关于在所述过程期间初始设计可以以什么样的方式被重新设计的多个约束(规则)中的一个。这样的一个或多个规则可以例如通过多少特定的嵌段共聚物特征可以移动且仍然能令人满意地被定位来指示。在步骤300处,可以提供将被使用的特定嵌段共聚物。还可以提供关于在所述过程期间嵌段共聚物可以以什么样的方式被重新设计的多个约束(规则)中的一个。这样的一个或多个规则可以例如通过嵌段共聚物中的一个聚合物相对于嵌段共聚物中的另一聚合物的比率可能发生多少改变来指示,或者通过是否可以添加一个或多个聚合物至嵌段共聚物、从嵌段共聚物去除或者在嵌段共聚物中替代一个或多个聚合物等等来指示。
在步骤302处,确定衬底上用于引导嵌段共聚物的自组装的引导模板的设计。定向的自组装嵌段共聚物模型被用于将初始设计转化为定向的自组装嵌段共聚物引导模板,诸如文中所讨论的一个或多个方法/模型。可以设置关于在所述过程期间引导模板可以以什么样的方式被设计或重新设计可以的多个约束(规则)中的一个。这样的一个或多个规则可以例如通过引导模板中的凹陷的壁的部分可能靠近所述壁的另一部分或靠近另一壁多少来指示,或者通过引导模板的几何结构的功能等等来指示。在一个实施例中,用于引导模板设计的定向自组装嵌段共聚物模型是不显著地依赖于计算量繁重的模拟的快速模型或一组规则。在用于引导模板设计的快速模型或一组规则的实施例中,模型可以包括多个不同的标准嵌段共聚物特征图案和用于每个这样的图案以便形成该图案的相关的引导模板凹陷。然而,模型可以分析输入的嵌段共聚物特征图案,以识别多个不同的标准嵌段共聚物特征图案中的一个或多个,然后将相关的引导模板凹陷形状添加至整个的引导模板凹陷形状设计。例如,如在图12中所看到,在步骤300处的设计中的隔离开的接触孔可以被识别,并且相关的圆的引导模板在步骤302处被添加至引导模板设计。类似地,在步骤300处的设计中的成对的相邻接触孔可以被识别,并且特征(或结合)引导模板(如文中讨论的)的布置可以在步骤302处被添加至引导模板设计。在一实施例中,用于引导模板设计的快速模型或一组规则不实施任何模拟,而是排他地依赖于查表和/或公式计算。在一实施例中,用于引导模板设计的快速模型或一组规则是基于规则的模型,即,它类似于基于规则的OPC是依赖于简单的几何规则的,以确定将被应用以区别于依赖大量光刻模拟和迭代来进行设计和/或变更(像基于模型的OPC)的那些设计和/或变更方案。
在步骤304处,确定被用于在衬底上生成用于引导嵌段共聚物的自组装的引导模板的图案形成装置(例如,印制模板、光学光刻掩模、电子束书写器等等)的图案。并且,在步骤306处,图案形成装置的图案的转移和印制被模拟/计算,以在衬底上得到被用于引导嵌段共聚物的自组装的被印制引导模板。一个或多个已知的计算光刻产品,诸如ASML的超光速粒子计算光刻软件产品,可以用于计算图案和/或模拟/计算图案的转移和印制。例如,在图案形成装置是光学光刻掩模的情况中,可以使用光学邻近校正产品计算用于掩模的的掩模图案,包括应用一个或多个掩模版增强技术(RET),诸如散射条、衬线等,并且源-掩模优化(SMO)可以基于掩模图案优化照射参数或使照射参数与掩模图案匹配,所有这些目的瞄准将图案形成装置的图案印制到在衬底上用于引导嵌段共聚物模板的自组装的期望的引导模板中。可以设置关于在所述过程期间图案形成装置的图案和/或图案形成装置的转移和印制(例如,抗蚀剂选择、照射等等)可以设计或重新设计的方式的多个约束(规则)中的一个。
在步骤308,校验在步骤306处被确定的印制的图案。例如,可以为应用于在步骤306处被确定的印制图案的嵌段共聚物的模拟/计算自组装实施位置/布置误差校验分析。在一实施例中,使用例如美国专利申请US61/862853(2013年8月6日申请)和/或美国专利申请US61/829118(2013年5月30日申请)中描述的方法中的一个或多个方法计算和/或模拟一个或多个嵌段共聚物特征的位置/布置误差(其中上述两个美国专利申请文件通过引用全文合并于此),并且与阈值相比,以确定一个或多个嵌段共聚物特征的位置/布置是否可以接受。在一实施例中,位置/布置误差阈值小于或等于5nm、小于或等于4nm、小于或等于3nm、小于或等于2nm、或者小于或等于1nm。定向自组装嵌段共聚物被用于量化和验证印制的一个或多个嵌段共聚物特征,并且可选地用于确定一个或多个自组装嵌段共聚物特征的预期尺寸。在一实施例中,定向自组装嵌段共聚物模型是不显著地依赖于计算量繁重的模拟的快速模型或一组规则。在快速模型或一组规则的实施例中,基于针对各个给定嵌段共聚物的严格和/或衬底模拟来校准各个单个嵌段共聚物特征(例如尺寸变化的接触孔)的位置/布置误差和/或尺寸。
在点310处,如果确定印制的图案是可接受的(例如,在阈值内,诸如位置/布置误差在阈值内),则所述设计和一个或多个相关的自组装参数(例如,嵌段共聚物类型、自组装引导模板设计,等等)可以在312处被接受,并且例如可以使用针对引导模板的设计、设计过程期间所选择的嵌段共聚物等使自组装继续进行。
如果确定在步骤306处确定的印制的图案是不可接受的,则可以在314处修改所述设计和/或一个或多个相关的自组装参数(例如,嵌段共聚物类型、自组装引导模板设计,等等)。修改可以采用多种形式并且可以在图12所示的计算设计过程中的各个节点处进行。在一实施例中,两个或多个参数调整可能需要被共同优化,并且那些参数中的一个或多个可以比其他的参数更重地被加权。例如,调整可以涉及引导模板的形状的调整和嵌段共聚物的物理参数的调整,因此,任一参数被调整的量可以使用任何已知的优化技术被执行,并且其中比嵌段共聚物的物理参数的调整高或低地加权引导模板的形状的调整,或者并不会高于或低于嵌段共聚物的物理参数的调整而加权引导模板的形状的调整。可以基于例如嵌段共聚物特征的位置/布置误差的量或相关的参数(例如,聚合物类型的偏移量和/或长度)进行这些调整中的任一调整。
在一实施例中,所述设计和/或一个或多个相关自组装参数的调整可以包括调整嵌段共聚物的物理参数。例如,调整物理参数可以包括调整嵌段共聚物中第一聚合物类型和第二聚合物类型的量之间的比率。在一实施例中,调整物理参数包括将另一聚合物类型添加至嵌段共聚物或者从嵌段共聚物去除聚合物类型。在一实施例中,调整物理参数可以包括调整嵌段共聚物的聚合物类型的长度。在一实施例中,调整物理参数可以包括调整第一聚合物类型与第二聚合物类型之间的抵抗性或者第二聚合物类型与引导模板的、用于引导嵌段共聚物特征的嵌段共聚物的自组装的部分之间抵抗性。对于嵌段共聚物的调整可以例如在步骤308处进行,然后过程从步骤308、使用调整后的嵌段共聚物继续进行。可替代地,如果模板设计步骤涉及嵌段共聚物的物理属性,则对于嵌段共聚物的调整可以例如在步骤302处进行,然后过程从步骤302、使用调整后的嵌段共聚物继续进行。
在一实施例中,设计和/或一个或多个相关自组装参数的调整可以包括调整用于在衬底上生成用于引导嵌段共聚物的自组装的图案形成装置的图案的设计(例如,压印模板、光学光刻掩模、电子束写入器等的图案)。例如,对于光学掩模,一个或多个掩模版增强技术,诸如衬线或散射条等,可以被应用于掩模图案。附加地或者替换地,设计和/或一个或多个相关自组装参数的调整可以包括调整图案形成装置的转移和印制的参数。例如,对于光学掩模,可以调整照射形状、照射强度等。这些调整例如可以在步骤304和/或306处进行,然后从该步骤处、使用可应用的调整继续进行所述过程。
在一实施例中,设计和/或一个或多个相关自组装参数的调整可以包括调整引导模板的设计以引导嵌段共聚物特征的嵌段共聚物的自组装。在一实施例中,调整引导模板包括调整模板的凹陷部分的形状。例如,一个或多个模板增强技术,类似于掩模版增强技术,可以应用于引导模板图案。可替换地或者附加地,不同的基础引导模板形状可以应用于除之前所应用的嵌段共聚物特征之外的特定嵌段共聚物特征。例如,针对步骤300中所示的所述设计的隔离的接触孔,可以在步骤302处将重叠程度大的双圆形凹陷引导模板形状或方形凹陷形状应用于引导模板设计中,代替在步骤302处模板设计中所示的圆形凹陷形状。这些调整可以例如在步骤302处进行,然后从该步骤处、使用可应用的调整继续进行所述过程。
在一实施例中,设计和/或一个或多个相关自组装参数的调整可以包括调整步骤300处所示的嵌段共聚物特征的图案的设计。换言之,嵌段共聚物特征的基础设计可能需要被重新设计,因为也许特征彼此太靠近而实际上不能被生成。因此,例如,针对特征尺寸、特征节距、特征布局等方面,嵌段共聚物特征的图案的布局可以被改变。这些调整可以例如在步骤300处进行,然后从该步骤处、使用可应用的调整继续进行所述过程。
在一实施例中,设计和/或一个或多个相关自组装参数的调整可以包括调整引导模板的、用于引导嵌段共聚物特征的嵌段共聚物的自组装的部分对于第一聚合物类型和/或第二聚合物类型的抵抗性。
虽然针对实现自组装的嵌段共聚物特征中的一个特征的期望布置/图案的方面,已经描述了设计过程,然而过程可以被扩大进一步包括诸如刻蚀等后续工艺步骤的模拟和模型化。这些进一步的工艺步骤的结果可以反馈或前馈到所述过程中,如对于进一步精化初始设计、嵌段共聚物的类型、用于引导嵌段共聚物的自组装的引导模板等所适合的。
美国专利申请出版物No.2012/0331428中描述的技术和/或特征中的一个或多个(通过引用将该文献的全文合并于此)可以被结合到文中所描述的方法中。
术语“A类型聚合物域”不应该被限于特定类型的聚合物域。术语“A类型聚合物域”和“B类型聚合物域”仅仅被用于相对于附图区分不同的聚合物域。
除了如上所述的圆柱形外,根据一实施例可以使用(例如模拟和设计)凹陷、BCP特征和/或第一域形状。例如,可以使用特征内聚合物域的定位中的不确定性来模拟或设计球形、椭圆形、矩形、薄片状、立方体形、四角形或六角形特征。可以使用聚合物域特征位置不确定性模拟和设计被线性的沟槽状特征连接的圆柱形特征。
如上所述,使用抗蚀剂形成凹陷的侧壁是一个示例,而不是限定特征。例如,凹陷可以通过图案化衬底本身来设置,或者通过图案化沉积或生长在衬底上的层来设置。凹陷本身可以通过嵌段共聚物材料的自组装而被设置。
图13示出计算机60。计算机60可以实施文中所述方法中的任一方法,包括进行嵌段共聚物的模拟和/或使用文中所述方法中的任一方法计算引导模板。计算机60包括CPU(中央处理单元)61(例如,物理处理器),所述CPU被构造用于读取或执行存储在存储器62(例如,物理存储器)中的指令,存储器可以采用随机存取存储器的形式。存储器62存储CPU61执行的执行和被这些指令所使用的数据。例如,在使用中,嵌段共聚物特征和/或相关参数(例如,嵌段共聚物类型、嵌段共聚物特征设计形状等等)的数字表示可以与适用于使计算机执行文中所述方法的指令一起存储在存储器62中。
计算机60还可以包括例如硬盘驱动器63形式的存储装置。嵌段共聚物特征和/或相关的参数的数字表示可以存储在硬盘驱动器63上。计算机60还可以包括I/O(输入/输出)接口64,用于与计算机60连接的一个或多个外围装置连接至所述I/O接口。例如,可以设置显示器65,以便显示来自计算机60的输出。显示器65例如可以显示嵌段共聚物特征的表示。附加地,显示器65可以显示通过处理模拟的嵌段共聚物特征产生的一个或多个图像,或者显示诸如如图2中示出的衬底的设计。一个或多个输入装置可以连接至接口64。这种输入装置可以包括键盘66和/或鼠标67,这些装置允许用户与计算机60之间的交互。
可以设置网络接口68,用于允许计算机60连接至适当的计算机网络,以便从其他计算装置接收数据和/或将数据传输至其他计算装置。CPU61、存储器62、存储装置63、I/O接口64和网络接口68通过总线69被连接在一起。
本发明的各个方面可以以任何便利的形式实施。例如,可以通过一个或多个适当的计算机程序实现一实施例,其中一个或多个适当的计算机程序可以在适当的载体介质上执行,其中载体介质可以是有形的载体介质(例如盘)或者无形的载体介质(例如通信信号)。可以使用合适的设备实现本发明的实施例,所述合适的设备具体可以采用可编程计算机的形式,其中可编程计算机运行被布置用于实现文中所述方法的计算机程序。
尽管以上已经描述了本发明的具体实施例,但应该认识到,本发明可以以与上述不同的方式来实现。以上的描述是说明性的,而不是限制性的。因此,本领域的技术人员应当理解,在不背离所附的权利要求的保护范围的条件下,可以对本发明进行修改。

Claims (37)

1.一种设计特征引导模板的方法,所述特征引导模板用于引导嵌段共聚物的自组装以在用于光刻的设计布局中形成至少两个特征,所述特征引导模板包括通过瓶颈部连接的至少两个部分,所述方法包括:
至少基于所述特征引导模板的几何结构的函数确定所述特征引导模板的特性,其中所述几何结构的函数包括所述瓶颈部的宽度值、或者包括基于所述部分中的至少一个部分的宽度和所述瓶颈部的宽度两者的值、或者包括包含所述部分中的至少一个部分的宽度和所述瓶颈部的宽度两者的值。
2.一种确定特征引导模板的特性的方法,所述特征引导模板用于引导嵌段共聚物的自组装以在用于光刻的设计步布局中形成至少两个特征,所述方法包括步骤:
确定用于所述至少两个特征中的每个特征的引导模板,并且确定时不考虑所述至少两个特征中的其他特征;和
至少基于所述特征引导模板的几何结构的函数确定所述特征引导模板的特性,所述特征引导模板是用于所述两个或更多个特征的所述引导模板中的每个引导模板的结合。
3.根据权利要求1或2所述的方法,其中所述特性包括从以下各项中选出的一项或多项:高度、形状、特征间隔、材料、侧壁角和/或表面化学。
4.根据权利要求1至3中任一项所述的方法,其中所述至少两个特征包括从以下各项中选出的一项或多项:接触孔、隔离沟槽、过孔、引线、掩模切割线和/或栅电极。
5.根据权利要求1至4中任一项所述的方法,其中确定所述特性的步骤还基于从以下各项中选出的一项或多项:所述特征中的至少一个特征的位置/布置误差、嵌段共聚物中的至少一种聚合物的化学成分、嵌段共聚物的结构、嵌段共聚物的厚度、嵌段共聚物的退火温度、嵌段共聚物的退火率和/或用于嵌段共聚物的溶剂。
6.根据权利要求2至5中任一项所述的方法,其中所述特征引导模板包括通过瓶颈部连接的至少两个部分。
7.根据权利要求2至6中任一项所述的方法,其中所述几何结构的函数包括所述部分中的至少一个部分的宽度和/或所述瓶颈部的宽度。
8.根据权利要求1至6中任一项所述的方法,其中所述几何结构的函数包括所述部分中的至少一个部分的宽度与所述瓶颈部的宽度之间的比率。
9.根据权利要求8所述的方法,其中所述比率是所述瓶颈部的宽度与所述部分中的至少一个部分的宽度的比率。
10.根据权利要求9所述的方法,其中所述比率不大于0.5。
11.根据权利要求9或10所述的方法,其中所述比率不小于0.3。
12.一种方法,包括至少基于特征引导模板的几何结构的函数设计所述特征引导模板的几何特性,所述特征引导模板用于引导嵌段共聚物的自组装、以在用于光刻的设计布局中形成至少两个特征,并且所述特征引导模板包括通过瓶颈部连接的至少两个部分。
13.根据权利要求12所述的方法,其中所述特性包括从以下各项中选出的一项或多项:高度、形状、侧壁角和/或特征间隔。
14.根据权利要求12或13所述的方法,其中所述至少两个特征包括从以下各项中选出的一个或多个特征:接触孔、隔离沟槽、过孔、引线、掩模切割线和/或栅电极。
15.根据权利要求12至14中任一项所述的方法,其中设计所述特性还基于从以下各项中选出的一项或多项:所述特征中的至少一个特征的位置/布置误差、嵌段共聚物中的至少一种聚合物的化学成分、嵌段共聚物的结构、嵌段共聚物的厚度、嵌段共聚物的退火温度、嵌段共聚物的退火率和/或用于嵌段共聚物的溶剂。
16.根据权利要求12至15中任一项所述的方法,其中所述几何结构的函数包括所述部分中的至少一个部分的宽度和/或所述瓶颈部的宽度。
17.根据权利要求12至16中任一项所述的方法,其中所述几何结构的函数包括所述瓶颈部的宽度值、或者包括基于所述部分中的至少一个部分的宽度和所述瓶颈部的宽度两者的值、或包括包含所述部分中的至少一个部分的宽度和所述瓶颈部的宽度两者的值。
18.根据权利要求17所述的方法,其中所述值是所述部分中的至少一个部分的宽度与所述瓶颈部的宽度之间的比率。
19.根据权利要求18所述的方法,其中所述比率是所述瓶颈部的宽度与所述部分中的至少一个部分的宽度的比率。
20.根据权利要求19所述的方法,其中所述比率不大于0.5。
21.根据权利要求19或20所述的方法,其中所述比率不小于0.3。
22.根据权利要求19所述的方法,其中所述比率从0.48至0.52中选出。
23.一种计算机可读非瞬时介质,指令存储于其中,并且被配置用于使计算机执行根据权利要求1-22中任一项所述的方法。
24.一种计算机设备,包括:
物理存储器,用于存储处理器可读指令;和
物理处理器,所述物理处理器被布置用于读取或执行存储在物理存储器中的指令,其中所述物理处理器可读指令包括被布置用于控制计算机、使其执行根据权利要求1至22中任一项所述的方法的指令。
25.一种使用前述权利要求中任一项所述的发明设计的特征引导模板。
26.一种使用权利要求25所述的特征引导模板制造的集成电路。
27.一种特征引导模板,包括连接至元件的两个或更多个部分,其中所述元件的宽度与所述两个或更多个部分中的至少一个部分的宽度的比率从0.3至0.5中选出。
28.根据权利要求27所述的特征引导模板,其中所述两个或更多个部分中的至少一个部分的宽度从30至60nm中选出。
29.根据权利要求27或28所述的特征引导模板,其中所述一个或更多个元件的宽度从9至30nm中选出。
30.根据权利要求27-29中任一项所述的特征引导模板,其中所述两个或更多个部分中的至少两个部分的中心对中心距离从22至66nm中选出。
31.根据权利要求27-30中任一项所述的特征引导模板,其中所述比率是大约0.5。
32.根据权利要求27-30中任一项所述的特征引导模板,其中所述比率从0.49至0.51中选出。
33.根据权利要求27-30中任一项所述的特征引导模板,其中所述比率从0.48至0.52中选出。
34.根据权利要求27-30中任一项所述的特征引导模板,其中所述比率从0.47至0.53中选出。
35.根据权利要求27-30中任一项所述的特征引导模板,其中所述比率从0.46至0.53中选出。
36.根据权利要求27-30中任一项所述的特征引导模板,其中所述比率从0.45至0.53中选出。
37.根据权利要求27-30中任一项所述的特征引导模板,其中所述两个或更多个部分具有大致相同的宽度。
CN201480061033.5A 2013-11-08 2014-10-10 生成用于定向自组装的引导模板的方法 Active CN105705997B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361901968P 2013-11-08 2013-11-08
US61/901,968 2013-11-08
PCT/EP2014/071814 WO2015067433A1 (en) 2013-11-08 2014-10-10 Methodology to generate a guiding template for directed self-assembly

Publications (2)

Publication Number Publication Date
CN105705997A true CN105705997A (zh) 2016-06-22
CN105705997B CN105705997B (zh) 2020-01-17

Family

ID=51690390

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480061033.5A Active CN105705997B (zh) 2013-11-08 2014-10-10 生成用于定向自组装的引导模板的方法

Country Status (5)

Country Link
US (1) US10642152B2 (zh)
KR (1) KR20160084437A (zh)
CN (1) CN105705997B (zh)
TW (1) TWI587074B (zh)
WO (1) WO2015067433A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113361553A (zh) * 2020-03-06 2021-09-07 株式会社理光 图像处理方法、图像处理装置、存储介质和系统

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150380299A1 (en) * 2013-03-15 2015-12-31 Asml Netherlands B.V. Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers
US9857676B2 (en) * 2013-05-27 2018-01-02 International Business Machines Corporation Method and program product for designing source and mask for lithography
US9738765B2 (en) * 2015-02-19 2017-08-22 International Business Machines Corporation Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
JP6267143B2 (ja) * 2015-03-05 2018-01-24 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US10311165B2 (en) * 2015-03-30 2019-06-04 Mentor Graphics Corporation Guiding patterns optimization for directed self-assembly
US9881793B2 (en) * 2015-07-23 2018-01-30 International Business Machines Corporation Neutral hard mask and its application to graphoepitaxy-based directed self-assembly (DSA) patterning
US10192018B1 (en) * 2016-03-31 2019-01-29 Cadence Design Systems, Inc. Method and system for implementing efficient trim data representation for an electronic design

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070175859A1 (en) * 2006-02-02 2007-08-02 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
CN101578232A (zh) * 2007-02-08 2009-11-11 美光科技公司 使用嵌段共聚物自组装进行亚光刻图案化的方法
CN101681812A (zh) * 2007-06-04 2010-03-24 美光科技公司 使用自组装材料的间距倍增
CN101894794A (zh) * 2009-05-22 2010-11-24 国际商业机器公司 使用聚合物定向自组装形成子平版印刷特征的方法
US20110209106A1 (en) * 2010-02-19 2011-08-25 International Business Machines Corporation Method for designing optical lithography masks for directed self-assembly
CN102428022A (zh) * 2009-05-19 2012-04-25 国际商业机器公司 使用分段预图案的定向自组装嵌段共聚物
CN103187245A (zh) * 2011-12-30 2013-07-03 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI248630B (en) * 2004-05-19 2006-02-01 Prec Machinery Res & Dev Cen Method for fabricating cathode of carbon nanotube field effect emission display and the carbon nanotube field effect emission display
TWI262530B (en) * 2005-09-12 2006-09-21 Prec Machinery Res & Dev Cen Field emitting two-sided monitor, two-sided back light module, multi- surface liquid-crystal display, two-sided lighting equipment and manufacturing methods thereof
WO2010059954A2 (en) 2008-11-21 2010-05-27 Brion Technologies Inc. Fast freeform source and mask co-optimization method
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
TWI526777B (zh) 2012-08-06 2016-03-21 Asml荷蘭公司 用於藉由嵌段共聚物之自我組裝在一基板上提供微影特徵之方法
WO2014191163A1 (en) 2013-05-30 2014-12-04 Asml Netherlands B.V. Method of simulating formation of lithography features by self-assembly of block copolymers
WO2015018590A1 (en) 2013-08-06 2015-02-12 Asml Netherlands B.V. Method of designing lithography features by self-assembly of block copolymer
WO2015032588A1 (en) 2013-09-06 2015-03-12 Asml Netherlands B.V. Methodology to generate guiding templates for directed self-assembly

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070175859A1 (en) * 2006-02-02 2007-08-02 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
CN101578232A (zh) * 2007-02-08 2009-11-11 美光科技公司 使用嵌段共聚物自组装进行亚光刻图案化的方法
CN101681812A (zh) * 2007-06-04 2010-03-24 美光科技公司 使用自组装材料的间距倍增
CN102428022A (zh) * 2009-05-19 2012-04-25 国际商业机器公司 使用分段预图案的定向自组装嵌段共聚物
CN101894794A (zh) * 2009-05-22 2010-11-24 国际商业机器公司 使用聚合物定向自组装形成子平版印刷特征的方法
US20110209106A1 (en) * 2010-02-19 2011-08-25 International Business Machines Corporation Method for designing optical lithography masks for directed self-assembly
CN103187245A (zh) * 2011-12-30 2013-07-03 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113361553A (zh) * 2020-03-06 2021-09-07 株式会社理光 图像处理方法、图像处理装置、存储介质和系统
CN113361553B (zh) * 2020-03-06 2024-02-02 株式会社理光 图像处理方法、图像处理装置、存储介质和系统

Also Published As

Publication number Publication date
CN105705997B (zh) 2020-01-17
TWI587074B (zh) 2017-06-11
US10642152B2 (en) 2020-05-05
WO2015067433A1 (en) 2015-05-14
KR20160084437A (ko) 2016-07-13
TW201527867A (zh) 2015-07-16
US20160266486A1 (en) 2016-09-15

Similar Documents

Publication Publication Date Title
CN105705997A (zh) 生成用于定向自组装的引导模板的方法
US10884333B2 (en) Method of designing lithography features by self-assembly of block copolymer
Stoykovich et al. Remediation of line edge roughness in chemical nanopatterns by the directed assembly of overlying block copolymer films
US9836556B2 (en) Optical proximity correction for directed-self-assembly guiding patterns
TWI439327B (zh) 於壓印微影中產生對液滴容積及液滴配置具容許變異之液滴圖案的穩健最佳化技術
TWI526777B (zh) 用於藉由嵌段共聚物之自我組裝在一基板上提供微影特徵之方法
US9208275B2 (en) Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
JP2012506600A (ja) エッジ加重による液滴パターン生成
US10339260B2 (en) Methodology to generate guiding templates for directed self-assembly
CN105051863A (zh) 用于通过嵌段共聚物的自组装在衬底上设置光刻特征的方法
US10418245B2 (en) Method for integrated circuit manufacturing with directed self-assembly (DSA)
TWI810679B (zh) 決定半導體或平板顯示器製造之形狀的方法與系統
Drewniok et al. Minimal Design of SiDB Gates: An Optimal Basis for Circuits Based on Silicon Dangling Bonds
Cherala et al. Extending the resolution limits of nanoshape imprint lithography using molecular dynamics of polymer crosslinking
US10127336B2 (en) Method of simulating formation of lithography features by self-assembly of block copolymers
Petruškevičius et al. E-beam lithography of computer generated holograms using a fully vectorial 3D beam propagation method
US20160085896A1 (en) Method for designing topographic patterns for directing the formation of self-assembled domains at specified locations on substrates
Delony Block Copolymer Directed Self-Assembly: Exploring the Efficacy of Applications in Semiconductor Fabrication
KR102171207B1 (ko) 라멜라 패턴을 갖는 블록-공중합체 박막 중의 결함에 대한 정량적 측정방법
KR102172425B1 (ko) 라멜라 패턴을 갖는 블록-공중합체 박막 중의 결함에 대한 정량적 측정방법
Latypov et al. The inverse directed self-assembly problem
Raptis et al. Simulation of electron beam exposure and resist processing for nano-patterning
Torres Modelling and analysis of large-scale, template self-assembly manufacturing techniques
Yi Directed Self-Assembly for Nanofabrication and Device Integration
JP6171424B2 (ja) インプリントモールドの製造方法及び設計方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant