TWI587074B - 設計特徵引導模板之方法、判定特徵引導模板之特性之方法、設計特徵引導模板之幾何特性之方法、特徵引導模板、電腦可讀非暫時性媒體、電腦裝置及積體電路 - Google Patents

設計特徵引導模板之方法、判定特徵引導模板之特性之方法、設計特徵引導模板之幾何特性之方法、特徵引導模板、電腦可讀非暫時性媒體、電腦裝置及積體電路 Download PDF

Info

Publication number
TWI587074B
TWI587074B TW103137479A TW103137479A TWI587074B TW I587074 B TWI587074 B TW I587074B TW 103137479 A TW103137479 A TW 103137479A TW 103137479 A TW103137479 A TW 103137479A TW I587074 B TWI587074 B TW I587074B
Authority
TW
Taiwan
Prior art keywords
template
feature
features
width
block copolymer
Prior art date
Application number
TW103137479A
Other languages
English (en)
Other versions
TW201527867A (zh
Inventor
珊德 弗瑞德瑞克 威斯特
大維迪 安布希
Original Assignee
Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml荷蘭公司 filed Critical Asml荷蘭公司
Publication of TW201527867A publication Critical patent/TW201527867A/zh
Application granted granted Critical
Publication of TWI587074B publication Critical patent/TWI587074B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70375Multiphoton lithography or multiphoton photopolymerization; Imaging systems comprising means for converting one type of radiation into another type of radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7042Alignment for lithographic apparatus using patterning methods other than those involving the exposure to radiation, e.g. by stamping or imprinting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)

Description

設計特徵引導模板之方法、判定特徵引導模板之特性之方法、設計特徵引導模板之幾何特性之方法、特徵引導模板、電腦可讀非暫時性媒體、電腦裝置及積體電路
本發明係關於一種設計藉由嵌段共聚物之自組裝而進行之微影特徵之形成的方法。
在用於器件製造之微影中,一直需要縮減微影圖案中之特徵之大小,以便增加給定基板區域上之特徵之密度。具有處於奈米尺度之臨界尺寸(critical dimension,CD)之較小特徵的圖案允許器件或電路結構之較大集中,從而在電子器件及其他器件之大小縮減及製造成本方面得到潛在改良。在投影光微影中,針對較小特徵之推進已引起諸如浸潤微影及極紫外線(extreme ultraviolet,EUV)微影之技術之開發。
所謂壓印微影之一替代例通常涉及使用「印模(stamp)」(常常被稱作壓印模板(imprint template))以將圖案轉印至基板上。壓印微影之優點為:特徵之解析度不受到(例如)輻射源之發射波長或投影系統之數值孔徑限制。取而代之,解析度主要限於壓印模板上之圖案密度。
嵌段共聚物自組裝
對於投影光微影及對於壓印微影兩者,需要提供(例如)壓印模板之器件基板或其他基板之表面之高解析度圖案化。嵌段共聚物(BCP)之自組裝之使用已被認為用於將特徵解析度增加至比藉由先前微影方法可獲得的尺寸小的尺寸之潛在方法,或被認為對諸如用於製備器件 基板或壓印模板之電子束微影之先前微影方法的替代例。
可自組裝BCP為有用於奈米製作之化合物,此係因為其可在低於某一溫度(有序-無序轉變溫度To/d)的情況下冷卻時經歷有序-無序轉變,從而引起具有不同化學性質之共聚物嵌段之相分離以形成尺寸為數十奈米或甚至小於10奈米之有序化學相異域。可藉由操控共聚物之不同嵌段類型之分子量及組成物來控制該等域之大小及形狀。該等域之間的界面可具有大約1奈米至5奈米之線寬粗糙度,且可藉由對共聚物之嵌段之化學組成物進行改質來操控該等界面。
將BCP之薄膜用作自組裝模板以用於圖案形成之可行性係由Chaikin及Register等人(Science 276,1401(1997年))論證。將尺寸為20奈米之圓點及孔緻密陣列自聚(苯乙烯-嵌段-異戊二烯)薄膜轉印至氮化矽基板。
BCP包含不同嵌段,每一嵌段通常包含一或多個相同單體且沿著聚合物鏈並排地配置。每一嵌段可含有其各別類型之許多單體。因此,舉例而言,A-B BCP可具有該(或每一)A嵌段中之複數個類型A單體,及該(或每一)B嵌段中之複數個類型B單體。舉例而言,合適BCP之實例為具有聚苯乙烯(PS)單體(疏水性嵌段)及聚甲基丙烯酸甲酯(PMMA)單體(親水性嵌段)之共價鍵聯式嵌段之聚合物。具有疏水性/親水性不同之嵌段之其他BCP可有用。舉例而言,諸如(A-B-C)BCP之三嵌段共聚物可有用,如可為交替或週期性BCP,例如,[-A-B-A-B-A-B-]n或[-A-B-C-A-B-C]m,其中n及m為整數。該等嵌段可藉由共價鍵以直鏈或分支鏈方式(例如,星形或分支鏈組態)相互連接。
BCP可在自組裝後就形成許多不同相,此取決於嵌段之體積分率、每一嵌段類型內之聚合度(亦即,每一各別嵌段內之每一各別類型之單體的數目)、溶劑之選用用途,及表面相互作用。當在薄膜中應用幾何限制時,幾何限制可引起可限制所形成相之額外邊界條件。 一般而言,實務上在自組裝式BCP薄膜中觀測到球體(例如,立方體)相、圓柱形(例如,四邊形或六邊形)相及層狀相(亦即,具有立方體、六邊形或層狀空間填充對稱性之自組裝式相)。
所觀測之相類型可取決於不同聚合物嵌段之相對分子體積分率。舉例而言,80:20之分子體積比率將提供配置於較高體積嵌段之連續域中的低體積嵌段之不連續球體域的立方體相。隨著體積比率縮減至70:30,將形成圓柱形相,其中不連續域為較低體積嵌段之圓柱。在50:50之比率下,形成層狀相。在比率為30:70的情況下,可形成倒轉圓柱形相,且在20:80之比率下,可形成倒轉立方體相。
用作可自組裝聚合物之合適BCP包括但不限於聚(苯乙烯-b-甲基丙烯酸甲酯)、聚(苯乙烯-b-2-乙烯吡啶酮)、聚(苯乙烯-b-丁二烯)、聚(苯乙烯-b-二茂鐵基二甲基甲矽烷)、聚(苯乙烯-b-環氧乙烷)、聚(環氧乙烷-b-異戊二烯)。符號「b」表示「嵌段」。儘管此等嵌段共聚物為二嵌段共聚物實例,但將顯而易見,自組裝亦可使用三嵌段、四嵌段或其他多嵌段共聚物。
一種用以將聚合物(諸如,BCP)之自組裝引導或定向至基板表面上的方法被稱為表面起伏磊晶(graphoepitaxy)。此方法涉及藉由在使用由抗蝕劑建構之一或多個特徵(或自抗蝕劑轉印至基板表面上之一或多個特徵,或轉印至沈積於基板表面上之膜堆疊上之一或多個特徵)的情況下在基板上進行拓撲預圖案化而引導之BCP的自組織。使用預圖案化以形成罩殼或「凹座」,罩殼或「凹座」包含基板基底,及抗蝕劑之側壁,例如,一對對置側壁(或形成於膜中之側壁,或形成於基板中之側壁)。
通常,表面起伏磊晶引導模板之特徵之高度大約為待排序之BCP層之厚度,因此可為(例如)約20奈米至約150奈米。
層狀自組裝式RCP可形成微影特徵之平行線性圖案,其具有凹座 中之不同聚合物嵌段域之鄰近線。舉例而言,若BCP為在聚合物鏈內具有A嵌段及B嵌段之二嵌段共聚物,則BCP可在每一凹座中自組裝成一有序層,該層包含A嵌段之規則間隔的第一域,其與B嵌段之第二域交替。
相似地,圓柱形自組裝式BCP可形成微影特徵之有序圖案,其包含由第二連續域環繞之圓柱形不連續第一域。舉例而言,若BCP為在聚合物鏈內具有A嵌段及B嵌段之二嵌段共聚物,則A嵌段可組裝成在圓形凹座內且由B嵌段之連續域環繞的圓柱形不連續域。替代地,A嵌段可組裝成橫越線性凹座規則地間隔且由B嵌段之連續域環繞的圓柱形不連續域。
因此,表面起伏磊晶可用以引導層狀相或圓柱形相之自組織,使得BCP圖案將凹座之側壁之間隔再分成離散共聚物圖案之域。
在用以實施BCP自組裝在奈米製作中之使用的程序中,作為表面起伏磊晶引導模板之部分,可運用中性取向控制層(neutral orientation control layer)而對基板進行改質,以誘發自組裝圖案相對於基板之較佳取向。對於用於可自組裝聚合物層中之一些BCP,在該等嵌段中之一者與基板表面之間可存在可引起取向之優先相互作用。舉例而言,對於聚苯乙烯(PS)-b-PMMA BCP,PMMA嵌段將優先地濕潤氧化物表面(亦即,具有與氧化物表面之高化學親和性),且此情形可用以誘發自組裝式圖案被取向成實質上平行於該表面之平面。舉例而言,可藉由如下操作來誘發實質上垂直取向:將中性取向層沈積至表面上,從而致使基板表面對嵌段兩者呈中性,換言之,中性取向層針對每一嵌段具有相似化學親和性,使得嵌段兩者以相似方式來濕潤該表面處之中性取向層。「垂直取向」意謂每一嵌段之域將並排地定位於基板表面處,其中不同嵌段之鄰近域之間的界面區實質上垂直於該表面之平面。
在用於使具有A嵌段及B嵌段(其中A具親水性性質且B具疏水性性質)之二嵌段共聚物對準之表面起伏磊晶引導模板中,表面起伏磊晶圖案可包含疏水性側壁特徵,其中中性取向基底係在該等疏水性特徵之間。B域可優先地橫靠疏水性特徵而組裝,其中A嵌段及B嵌段之若干交替域係遍及表面起伏磊晶引導模板之牽制特徵之間的中性取向區而對準。
舉例而言,可藉由使用藉由羥基末端基或某一其他反應性端基之反應而共價地鍵聯至基板以在基板表面處氧化的無規共聚物刷來產生中性取向層。在用於中性取向層形成之其他配置中,可使用可交聯無規共聚物或適當矽烷(亦即,具有諸如(三)氯矽烷或(三)甲氧基矽烷之經取代反應性矽烷(亦被稱為矽烷基)端基之分子)以藉由充當基板表面與可自組裝聚合物層之間的中間層而致使表面呈中性。此矽烷基中性取向層通常將作為單層而存在,而可交聯聚合物通常不作為單層而存在,且可具有通常小於或等於約40奈米或小於或等於約20奈米之層厚度。
可自組裝BCP薄層可沈積至具有如上文所闡明之表面起伏磊晶引導模板之基板上。用於沈積可自組裝聚合物之合適方法為旋塗,此係因為此程序能夠提供經良好界定之均一可自組裝聚合物薄層。經沈積之可自組裝聚合物膜之合適層厚度為大約10奈米至150奈米。
在BCP膜之沈積之後,該膜仍可無序或僅部分地有序,且可需要一或多個額外步驟以增進及/或完成自組裝。舉例而言,可自組裝聚合物可在自組裝之前在溶劑中沈積為溶液,其中溶劑係(例如)藉由蒸發而移除。
BCP之自組裝為許多小組份(BCP)之組裝引起較大更複雜結構(自組裝式圖案中之奈米大小特徵)之形成的程序。缺陷自然地起因於控制聚合物之自組裝之物理學。自組裝受到A-B BCP之A/A、B/B及A/B (或B/A)嵌段對之間的相互作用差(亦即,相互化學親和性差)驅動,其中用於相分離之驅動力係由針對在考慮中之系統之佛-赫(Flory-Huggins)理論描述。表面起伏磊晶之使用可極大地縮減缺陷形成。佛-赫相互作用參數(chi值)及BCP嵌段之聚合度(N值)為影響相分離及供發生特定BCP之自組裝之尺寸的BCP之參數。
對於經歷自組裝之聚合物,可自組裝聚合物將展現有序-無序溫度To/d。可藉由用於評估聚合物之有序/無序狀態之任何合適技術(諸如,差示掃描熱量測定(differential scanning calorimetry,DSC))來量測To/d。若在低於此溫度的情況下發生層形成,則分子將經驅動以自組裝。在高於溫度To/d的情況下,將形成無序層,其中來自無序A/B域之熵貢獻勝過起因於該層中之相鄰A-A嵌段對與B-B嵌段對之間的有利相互作用之焓貢獻。可自組裝聚合物亦可展現玻璃轉變溫度Tg,在低於Tg的情況下聚合物有效地不動,且在高於Tg的情況下共聚物分子仍可在層內相對於相鄰共聚物分子而重新取向。合適地藉由差示掃描熱量測定(DSC)來量測玻璃轉變溫度。
可藉由退火部分地移除如上文所闡明的在有序化期間形成之缺陷。諸如向錯(其為違反旋轉對稱性之線缺陷,例如,其中在指向矢(director)之取向上存在缺陷)之缺陷可藉由與具有相反正負號之另一其他缺陷或向錯配對予以消減。可自組裝聚合物之鏈行動性可為用於判定缺陷遷移及消減之因素,且因此,可在鏈行動性高但自組裝式有序圖案不會丟失的溫度下進行退火。此溫度暗示比用於聚合物之有序/無序溫度To/d高或低至多幾℃的溫度。
可將有序化及缺陷消減組合成單一退火程序,或可使用複數個程序,以便提供具有不同化學類型之域(不同嵌段類型之域)之有序圖案的自組裝式聚合物(諸如,BCP)層。
為了將諸如器件架構或拓撲之圖案自自組裝式聚合物層轉印至 經沈積有自組裝式聚合物之基板中,通常將藉由所謂突破性蝕刻(breakthrough etching)來移除第一域類型以將第二域類型之圖案提供於基板之表面上,其中基板裸露於第二域類型之特徵之間。可使用乾式蝕刻或反應性離子蝕刻技術來蝕刻具有平行圓柱形相域之圖案。除了適合於平行圓柱形相域之蝕刻之技術以外,或作為對適合於平行圓柱形相域之蝕刻之技術的替代例,具有層狀相域之圖案亦可利用濕式蝕刻技術。
在突破性蝕刻之後,可藉由使用蝕刻方式之所謂轉印蝕刻(transfer etching)來轉印圖案,該蝕刻方式受到第二域類型抵抗且因此在基板表面中形成已使該表面裸露之凹座。
雖然本文之論述聚焦於表面起伏磊晶引導模板,但應瞭解,引導模板可涉及不同於表面起伏磊晶或除了表面起伏磊晶以外的技術。舉例而言,引導模板可為涉及引導模板之化學表面改質的化學磊晶模板,其中化學改質促進自組裝之引導。舉例而言,在用於使具有A嵌段及B嵌段(其中A具親水性性質且B具疏水性性質)之二嵌段共聚物對準之化學磊晶引導模板中,該模板之表面可包含一或多個疏水性條帶,其中中性取向基底係在該等疏水性特徵之間。該等條帶具有與表面起伏磊晶引導模板之更多個疏水性壁中之一者相似的功能。
光學近接校正(OPC)
作為一實例,OPC處理如下事實:投影於基板上之設計圖案之影像之一或多個特徵的最終大小及置放將不相同於或簡單地僅取決於圖案化器件處之該設計圖案之該一或多個特徵的大小及置放。應注意,術語「光罩」、「比例光罩」、「圖案化器件」在本文中可被互換地利用。此外,光罩及比例光罩可被廣泛地稱為「圖案化器件」。另外,熟習此項技術者將認識到,尤其在微影模擬及最佳化之內容背景中,術語「光罩」、「圖案化器件」及「設計圖案」可被互換地使用,此係 因為在微影模擬及最佳化中,未必使用實體圖案化器件,而是可使用設計圖案以表示實體圖案化器件。對於存在於某一設計圖案上之小特徵大小及/或高特徵密度,給定特徵之特定邊緣之位置可在某種程度上受到其他鄰近特徵之存在或不存在的影響。此等近接效應起因於自一個特徵耦合至另一特徵的微小量之輻射及/或諸如繞射及干涉之非幾何光學效應。相似地,近接效應可起因於在通常跟隨微影之曝光後烘烤(post-exposure bake,PEB)、抗蝕劑顯影及蝕刻期間之擴散及其他化學效應。
全文據此以引用方式併入本文中的PCT專利申請公開案第WO 2010/059954號描述允許在無約束的情況下且在可實行時間量內使用成本函數來同時最佳化源及圖案化器件的源及圖案化器件最佳化方法及系統。
全文據此以引用方式併入本文中的美國專利申請公開案第2010/0315614號描述涉及藉由調整源之像素而最佳化源的另一源及光罩最佳化方法及系統。
根據一實施例,提供一種設計一特徵引導模板之方法,該特徵引導模板用於引導嵌段共聚物之自組裝以在用於微影之一設計佈局中形成至少兩個特徵,該特徵引導模板包含由一瓶頸(bottleneck)接合之至少兩個部分,該方法包含:基於該特徵引導模板之幾何形狀之至少一函數來判定該特徵引導模板之一特性,該函數包含該瓶頸之一寬度之一值,或基於或包含該等部分中之至少一者之一寬度及該瓶頸之該寬度兩者之一值。
根據一實施例,提供一種判定一特徵引導模板之一特性之方法,該特徵引導模板用於引導嵌段共聚物之自組裝以在用於微影之一設計佈局中形成至少兩個特徵,該方法包含:判定用於該至少兩個特 徵中每一者之一引導模板,而不考量該至少兩個特徵中之其他特徵;及基於該特徵引導模板之幾何形狀之至少一函數來判定該特徵引導模板之一特性,該特徵引導模板為用於該兩個或兩個以上特徵之該等引導模板中每一者之接合物(joining)。
根據一實施例,提供一種方法,其包含:基於一特徵引導模板之幾何形狀之至少一函數來設計該特徵引導模板之一幾何特性,該特徵引導模板用於引導嵌段共聚物之自組裝以在用於微影之一設計佈局中形成至少兩個特徵且包含由一瓶頸接合之至少兩個部分。
根據一實施例,提供一種儲存有指令之電腦可讀非暫時性媒體,其經組態以使一電腦進行如本文所描述之一方法。
根據一實施例,提供一種電腦裝置,其包含:一實體記憶體,其儲存處理器可讀指令;及一實體處理器,其經配置以讀取及執行儲存於該實體記憶體中之指令,其中該等實體處理器可讀指令包含經配置以控制該電腦以進行如本文所描述之一方法之指令。
根據一實施例,提供一種特徵引導模板,其係使用如本文所描述本發明之一實施例予以設計。根據一實施例,提供一種積體電路,其係使用如本文所描述本發明之一實施例而設計之特徵引導模板予以生產。
根據一實施例,提供一種特徵引導模板,其包含連接至一或多個元件之兩個或兩個以上部分,其中該一或多個元件之一寬度對該兩個或兩個以上部分中之一者之一寬度的一比率為自0.3至0.5。該兩個或兩個以上部分中之該部分之該寬度可為自30奈米至60奈米。該一或多個元件之該寬度可為自9奈米至30奈米。該兩個或兩個以上部分中之兩者之中心至中心距離可為自22奈米至66奈米。
本發明之一或多項態樣可在對熟習此項技術者適當時與本文所描述之任一或多個其他態樣及/或本文所描述之任一或多個特徵組 合。
1‧‧‧基板
2‧‧‧抗蝕劑層/抗蝕劑
5‧‧‧接觸孔凹座
6‧‧‧嵌段共聚物(BCP)層
7‧‧‧圓柱形嵌段共聚物(BCP)特徵
8‧‧‧類型A聚合物域
9‧‧‧類型B聚合物域
34‧‧‧特徵
35‧‧‧距離
36‧‧‧引導模板
37‧‧‧最大曲率
43‧‧‧引導模板
44‧‧‧寬度(CD)
45‧‧‧瓶頸/寬度
46‧‧‧中心至中心距離(CC)
51‧‧‧橢圓形特徵
60‧‧‧電腦
61‧‧‧中央處理單元(CPU)
62‧‧‧記憶體
63‧‧‧硬碟機
64‧‧‧輸入/輸出(I/O)介面
65‧‧‧顯示器
66‧‧‧鍵盤
67‧‧‧滑鼠
68‧‧‧網路介面
69‧‧‧匯流排
300‧‧‧步驟
302‧‧‧步驟
304‧‧‧步驟
306‧‧‧步驟
308‧‧‧步驟
310‧‧‧步驟
312‧‧‧步驟
314‧‧‧步驟
801‧‧‧步驟
802‧‧‧步驟
803‧‧‧步驟
804‧‧‧步驟
901‧‧‧步驟
902‧‧‧步驟
903‧‧‧步驟
904‧‧‧步驟
1001‧‧‧特徵
1002‧‧‧引導模板
1003‧‧‧圖案
1004‧‧‧位置
1101‧‧‧步驟
1102‧‧‧步驟
1103‧‧‧步驟
1104‧‧‧步驟
將參看附圖來描述本發明之特定實施例,在該等圖中:圖1A至圖1E示意性地描繪A-B嵌段共聚物在基板上藉由引導模板之定向自組裝;圖2示意性地描繪一基板上之複數個A-B嵌段共聚物特徵;圖3為諸如圖1所展示之嵌段共聚物特徵之形成的示意性實例;圖4示意性地描繪圖案化器件處之兩個特徵及與彼等特徵相關聯之一引導模板的實例;圖5示意性地描繪用於空間緊鄰之兩個特徵(例如,接觸孔)之基本特徵之例示性群組的引導模板之形狀;圖6示意性地描繪具有不同BN/CD比率之一系列引導模板;圖7展示圖6中之該系列引導模板中之類型A聚合物域的置放誤差(placement error,PE);圖8為根據一實施例之方法的流程圖;圖9為根據一實施例之方法的流程圖;圖10示意性地描繪其中用於若干特徵之引導模板係藉由OPC而最佳化至用於圖案化器件之圖案中的實例;圖11為根據一實施例之方法的流程圖;圖12為設計自組裝程序及其組份之方法的示意圖;及圖13為適合於實施一實施例之電腦的示意性描繪。
圖1A及圖1B分別以平面圖及橫截面展示被應用使用BCP之自組裝之微影程序的基板1之部分。抗反射塗層可存在於基板1之表面上。抗反射塗層(若存在)可為有機材料,諸如,來自密蘇里州羅拉的布魯爾科技公司之ARC 29。抗反射塗層可為無機材料,諸如,SiC或 SiON。中性層可提供於抗反射塗層上。抗蝕劑層2經施加至基板1。抗蝕劑層2可(例如)為光阻。在一實施例中,抗蝕劑為負型色調經顯影抗蝕劑(由有機顯影劑顯影之抗蝕劑)。此類型之抗蝕劑通常與用於嵌段共聚物之旋塗中之有機溶劑相容。抗蝕劑層2經圖案化以形成接觸孔(通孔)凹座5以用於嵌段共聚物之自組裝中。凹座5可藉由光微影、壓印微影或另一微影程序而形成。出於自組裝之目的,凹座5無需處於抗蝕劑中。舉例而言,凹座5可藉由(例如)蝕刻通過抗蝕劑2中之開口而形成於下部層中(諸如,圖1A及圖1B所展示)。在下文中,為方便起見,凹座5被描述且被描繪為在抗蝕劑2中,但其無需在抗蝕劑2中。
在圖1C中,BCP層6已沈積至基板1及抗蝕劑2上。BCP層6被展示為在凹座5內且在抗蝕劑2之頂部上具有均一厚度。在分別展示橫截面圖及平面圖之圖1D及圖1E中,BCP層6已被熱退火(藉此造成發生BCP材料之自組裝)。熱退火程序造成BCP材料之再分佈,其中BCP材料係自抗蝕劑2上方之區輸送至凹座5中。為簡單起見,所有BCP材料已被描繪為經輸送至凹座5中。然而,實務上,一些BCP材料可保持於抗蝕劑2上方之區中。代替熱退火,可使用溶劑退火(溶劑使嵌段共聚物膨脹,藉此增加其遷移率使得嵌段共聚物之重排係可能的)。
使用BCP材料會允許組份聚合物材料之域自組裝於BCP特徵內。舉例而言,可看出經沈積於凹座5內之BCP已形成聚合物之相異域。類型A聚合物域8形成為(例如)圓柱且由連續類型B聚合物域9環繞。類型A聚合物域8及類型B聚合物域9在凹座5內形成BCP特徵7。在一實施例中,類型B聚合物域9形成為(例如)圓柱且由連續類型A聚合物域8環繞。
如上文所提及,中性取向層可提供於基板上。中性取向層可針對類型A聚合物嵌段及類型B聚合物嵌段具有相似化學親和性,使得 嵌段兩者以相似方式來濕潤該中性取向層。此情形可增進形成具有垂直取向(亦即,實質上垂直於基板之表面)之類型A及B聚合物之域。中性取向層可具有大於該兩個聚合物域8及9中之一者之表面能量且小於該兩個聚合物域8及9中之另一者之表面能量的表面能量。
為了引導自組裝,藉由(例如)一或多個壁之間隔來控制側向尺寸。凹座5之寬度(例如,直徑)可(例如)為大約70奈米。凹座5之寬度可(例如)在大約20奈米與大約100奈米之間的範圍內。凹座5內部之類型A聚合物域8之寬度(例如,直徑)可(例如)為大約30奈米。凹座5內部之類型A聚合物域8之寬度(例如,直徑)可(例如)在大約5奈米與大約50奈米之間的範圍內。
BCP材料厚度亦影響自組裝程序。凹座5內之BCP層之厚度可經最佳化以用於形成類型A及類型B聚合物之相異域。
複數個BCP特徵7可形成於單一基板1上。舉例而言,複數個圓柱形BCP特徵(諸如,圖1所描繪之圓柱形BCP特徵7)之形成可形成於基板1上。圖2以平面圖示意性地描繪已被應用使用BCP之自組裝之微影程序的基板1之較大部分。抗蝕劑層2經施加至基板1。抗蝕劑層2係運用複數個凹座5而圖案化。BCP層經沈積於基板1上,且熱退火程序應用於該BCP層。各別BCP特徵7形成於凹座5中每一者內。BCP特徵7各自包含類型A聚合物域8及類型B聚合物域9。類型A聚合物域8形成為(例如)圓柱且由連續類型B聚合物域9環繞。類型A聚合物域8可(例如)包含聚甲基丙烯酸甲酯(PMMA)單體之嵌段。類型B聚合物域9可(例如)包含聚苯乙烯(PS)單體之嵌段。供用作可自組裝聚合物之合適嵌段共聚物包括(但不限於)聚(苯乙烯-b-甲基丙烯酸甲脂)、聚(苯乙烯-b-2-乙烯吡啶酮)、聚(苯乙烯-b-丁二烯)、聚(苯乙烯-b-二茂鐵基二甲基甲矽烷)、聚(苯乙烯-b-環氧乙烷)、聚(環氧乙烷-b-異戊二烯)、聚(苯乙烯-b二甲基矽氧烷)、聚(苯乙烯-b-乳酸)、聚(二甲基矽氧烷-b-乳 酸)。符號「b」表示「嵌段」。儘管此等前述嵌段共聚物為二嵌段共聚物,但自組裝亦可使用三嵌段、四嵌段或其他多嵌段共聚物。
BCP特徵7可進一步包含定位於類型B聚合物域9之周邊之周圍的第二類型A聚合物域(例如,PMMA單體之嵌段)。舉例而言,該第二類型A聚合物域可與凹座5之壁接觸。為簡單起見,第二類型A聚合物域在諸圖中未被描繪,然而,應瞭解,除了所描繪之彼等聚合物域以外的一或多個額外聚合物域可存在於任何給定BCP特徵7中。
類型A聚合物域定位於基板上之準確度為使用自組裝嵌段共聚物之器件製造中之顯著因素。舉例而言,可藉由將第一複數個特徵圖案化至基板1上來製造器件。第一複數個特徵可(例如)對應於圖2所描繪之類型A聚合物域8。此可(例如)藉由將抗蝕劑2、類型A聚合物域8及類型B聚合物域9曝光至蝕刻程序來達成。蝕刻程序可移除類型A聚合物域8。因此,蝕刻程序曝光類型A聚合物域8下方的基板1之區。抗蝕劑2及類型B聚合物域9可抵抗蝕刻程序且可保持於適當位置。可執行另外蝕刻程序以蝕刻基板之經曝光區,藉此將對應於類型A聚合物域8之特徵圖案化至基板上。此等特徵可(例如)形成積體電路之接觸孔。
可隨後自基板移除抗蝕劑2及類型B聚合物域9,且可將新抗蝕劑層2沈積至基板1上。可接著藉由在新抗蝕劑層2中形成第二複數個凹座5而將第二複數個特徵圖案化至基板1上。可接著將BCP層6沈積至基板1上且使其曝光至退火程序,藉此形成包含第二複數個類型A聚合物域8之第二複數個BCP特徵7。可接著執行一或多個蝕刻程序以將第二複數個特徵圖案化至基板1上。第二複數個特徵對應於第二複數個類型A聚合物域8。
當使用BCP製造器件時,舉例而言,需要使複數個特徵之一特徵相對於該複數個特徵之另一特徵準確地對準。另外或替代地,舉例而 言,需要使第一複數個特徵相對於第二複數個特徵準確地對準。
一般而言,可使用除了上文所描述之彼等方法及特徵以外的方法及特徵來製造器件。舉例而言,相比於圖1及圖2所描繪之經均一配置圓柱形形狀具有另一形狀及/或組態的BCP特徵可經圖案化至基板上。實際上,雖然本發明之描述考慮接觸孔形成之實例(例如,在運用微影技術而印刷之接觸孔形狀填充有嵌段共聚物以形成較小接觸孔的情況下之接觸孔收縮),該接觸孔可充當邏輯中及/或切割光罩應用中之通孔,但本文所論述之實施例之應用不限於接觸孔形成。
BCP特徵7可經模擬為熱力學系統,且可經由統計機制來判定BCP特徵之一或多個巨觀屬性。舉例而言,在不受到任何理論束縛的情況下,圖3為諸如圖1所展示的單嵌段共聚物特徵之形成之實例化學性質的示意性實例。在此狀況下,圖3示意性地描繪形成為(例如)圓柱且由凹座5內之連續類型B聚合物域9環繞之類型A聚合物域8。類型A聚合物域8可(例如)包含聚甲基丙烯酸甲酯(PMMA)單體。類型B聚合物域9可(例如)包含聚苯乙烯(PS)單體。在此狀況下,第二類型A聚合物域(例如,PMMA)定位於類型B聚合物域9之周邊周圍。該第二類型A聚合物域係與凹座5之壁接觸。
將BCP之自組裝用於微影程序中可受益於判定引導模板之一或多個特性(例如,高度、形狀、特徵間隔、材料、側壁角及/或表面化學反應等等)以形成待轉印至基板上之所要圖案的計算上便宜之方法。在將圖1用作一實例的情況下,可藉由蝕刻來移除類型A聚合物域8,且可曝光類型A聚合物域8下方之基板之區域以用於進一步處理(例如,蝕刻、沈積),藉此將類型A聚合物域8之形狀轉印至基板上。凹座5--此實例中之引導模板之一或多個特性--影響類型A聚合物域8之位置及形狀,且因此影響轉印至基板1上之圖案。在使用給定BCP之給定微影程序(例如,輻射源形狀、波長、微影投影裝置中之投影 光學件、抗蝕劑、曝光後烘烤、抗蝕劑顯影、蝕刻、沈積等等)中,為了使轉印至基板1上之圖案為所要圖案,凹座5--此實例中之引導模板--應佔有一或多個合適特性。
然而,判定引導模板之所要或理想特性(例如,所要或理想形狀)係困難的。對於相對緊鄰之複數個基本特徵而言尤其如此。對於特徵之此群組,每一特徵可在其自有分離引導模板結構中形成,或可在共同引導模板結構中形成(例如,伸長形狀)。在混合物中,引導模板結構可基本上包含用於每一特徵之部分及用以將該等部分連接在一起之一或多個元件(例如,一或多個瓶頸)。
實際上,什麼為(例如)用於基本特徵(諸如,接觸孔)之群組之引導模板的理想特性尚未為吾人所知。類啞鈴或花生形狀(如下文中更詳細地描述)可適合於用於基本特徵之群組之引導模板。但舉例而言,為將特徵之置放誤差保持合理地小而需要部分及/或瓶頸之大小為多少並不顯而易見。因此,將需要具有可用以判定此引導模板之特性(例如,形狀)之因素或函數,且需要用以判定引導模板之特性及/或設計之方法,其中理想地,引導模板可相對容易形成(例如,使用微影印刷)且實現自組裝式嵌段共聚物特徵之小置放誤差。
一種自轉印至基板上之所要圖案判定引導模板之特性(且因此設計該引導模板)之方法依賴於引導模板中之自組裝之反覆第一原理演算。此方法可準確,但在潛在地涉及不切實際計算資源量之程度上可耗時且計算上昂貴。
可藉由使用以規則為基礎之途徑來縮減此導出之時間及/或計算成本。具體言之,可使用任何合適方法(包括諸如反覆第一原理演算之嚴密方法,及/或本文所描述之方法中任一者)來演算在多種條件下用於基本特徵之群組之引導模板的一或多個特性。基本特徵之群組可包括常常包括於設計佈局中之圖案及/或設計佈局中之反覆圖案,諸 如,接觸孔、隔離溝槽、通孔、引線、光罩切割線、閘電極,等等。
用於基本特徵之群組之引導模板之特性的演算可考量許多因素,諸如,基板處之基本特徵之群組之可製造性。在圖4示意性地描繪之實例中,若兩個特徵34(此實例中為接觸孔)之間的距離35太短而不能在基板處被可靠地製造,則用於此等特徵34之引導模板可合併成一特徵(或接合式)引導模板36,使得該特徵(或接合式)引導模板36上之曲率不大於最大曲率37。最大曲率為κ max =,其中NA為用以將引導模板之圖案投影至基板上之投影光學件之數值孔徑,且λ為用以投影圖案之輻射之波長。使用193奈米之深紫外線輻射(DUV)微影中之1/κ max (例如,曲線之最小半徑)通常為約36奈米(對於為1.35之NA)。使用13.5奈米之極紫外線輻射(EUV)微影中之1/κ max (例如,曲線之最小半徑)通常為約10奈米(對於為0.33之NA)。
可在引導模板之特性之演算時考量之其他因素可包括特徵中之至少一者之置放誤差、嵌段共聚物之化學組成物、嵌段共聚物之結構(例如,線性、星形,等等)、嵌段共聚物之厚度、嵌段共聚物之退火溫度、嵌段共聚物之退火速率,及/或用於嵌段共聚物之溶劑。
其他特徵可應用於本文中之實施例中,其他特徵例如,最大曲率、BCP之化學組成物(例如,嵌段長度)、自最小能量位置之位移,置放誤差。可在美國專利申請案第61/874,854號、第61/874,875號及第61/680,042號中得知更多細節,該等申請案中每一者之全文據此以引用方式併入本文中。
根據一實施例,根據本文之方法中任一者之特性的演算可考量引導模板之幾何形狀之函數。根據一實施例,引導模板之幾何形狀之函數可具有約束。
圖5示意性地描繪用於(例如)空間緊鄰之兩個接觸孔之基本特徵之例示性群組的引導模板43之形狀。引導模板43在形狀方面大體上類 似於啞鈴或花生,且包含由一或多個瓶頸接合之至少兩個部分(例如,圓形部分)。
關於圖5,在判定引導模板之特性時,幾何形狀之函數可為(例如)部分中之一或多者之寬度(例如,直徑)(CD)44(例如,對應於接觸孔之外部表面之寬度或為自引導模板部分之內部表面之一部分至該內部表面之另一部分的寬度)、部分(例如,用於接觸孔之引導模板凹座部分)中之至少兩者之間的瓶頸45之寬度(BN)、部分(例如,用於接觸孔之引導模板凹座部分)中之至少兩者之中心至中心距離(CC)46,及/或寬度45及寬度44之函數(例如,比率)。在一實施例中,寬度CD可為模板之臨界尺寸。在一實施例中,函數為寬度45對寬度44之比率,其為藉由將BN除以CD而獲得的無因次數(亦即,BN/CD比率),BN與CD兩者屬於同一特徵。在一實施例中,該等部分具有CD之實質上同一值。在一實施例中,部分中之一者之CD之值可在該等部分中之另一者之CD之值的95%至100%、90%至100%或85%至100%內。
圖6示意性地描繪具有不同BN/CD比率、具有不同CC值但具有為10奈米之恆定最小半徑及恆定CD=44奈米的一系列引導模板。諸如51之橢圓形特徵在各別引導模板中示意性地標記藉由BCP之自組裝而形成的類型A聚合物域之位置。
圖7展示圖6中之該系列引導模板中之類型A聚合物域的置放誤差(PE)(空心圓圈,其具有關於CD為44奈米之值),及具有不同BN/CD比率、具有不同CC值但具有為10奈米之恆定最小半徑及恆定CD=54奈米的一系列引導模板中之類型A聚合物域之PE(敞開正方形,其具有關於CD為54奈米之值),如在引導模板在其形狀方面為剛性的情況下藉由模擬所判定。此假定對於形成於非剛性材料(例如,抗蝕劑層)中之引導模板可能不真實,但應對形成於基板中之引導模板有效。對於非剛性引導模板材料,應引入用以考量非剛性之因素。在此實例 中,單一接觸孔(封閉圓柱)之置放誤差為大約1.3奈米(3均方偏差)。
關於圖7,當比率BN/CD大於0.5時,PE快速增加。因此,比率BN/CD大於0.5的引導模板不理想。比率BN/CD之下限係藉由(例如)微影解析度(亦即,最大曲率)及/或隨機效應(例如,散粒雜訊)設定。在一實施例中,比率BN/CD之下限為0.3。
在不受到任何理論束縛的情況下,咸信圖7所展示之置放誤差之增加係由引導模板之幾何形狀驅使。較小CD、嵌段共聚物中之較短聚合物或非線性嵌段共聚物可導致較小置放誤差,但絕對趨勢呈現為保持相同,亦即,置放誤差在比率BN/CD大於0.5時顯著增加。
因此,部分(例如,用於接觸孔之引導模板凹座部分)中之至少兩者之間的瓶頸45之寬度(BN)及/或寬度45與寬度44之函數(例如,比率)可為用於引導模板形狀之有效設計參數。其可提供對歸因於置放誤差要求之可印刷引導模板形狀之約束或限定。在一實施例中,參數為BN/CD比率。在一實施例中,BN/CD比率係選自0.3至0.5之範圍。在一實施例中,BN/CD比率係選自0.48至0.52之範圍。在一實施例中,BN/CD比率係選自0.49至0.51之範圍。在一實施例中,BN/CD比率係選自0.47至0.53之範圍。在一實施例中,BN/CD比率係選自0.46至0.53之範圍。在一實施例中,BN/CD比率係選自0.45至0.53之範圍。根據一實施例,該至少兩個部分具有實質上相同寬度。此等範圍傾向於使微影程序受益,例如,給出較大程序窗(process window)。
如本文所論述,此參數可用於以規則為基礎之途徑中以判定引導模板之特性(例如,設計)。如本文所論述,此參數可結合最佳化類型程序中之一或多個其他參數而使用。舉例而言,此參數可用以實現其中特徵之臨界尺寸得以最大化的引導模板形狀。
根據一實施例,圖8中之流程圖展示判定用於一設計佈局之兩個或兩個以上空間最接近特徵之引導模板之一或多個特性的方法。在步 驟801中,獲得包含兩個或兩個以上空間最接近特徵之設計佈局。舉例而言,可分析全晶片設計之接觸孔建構,其可藉由合適演算法予以提取。在步驟802中,判定用於兩個或兩個以上空間最接近特徵中每一者之引導模板之特性,而不考量該兩個或兩個以上空間最接近特徵之其他者。在步驟803中,自用於兩個或兩個以上空間最接近特徵之每一引導模板之特性來判定特徵(或接合式)引導模板之特性。舉例而言,用於兩個或兩個以上空間最接近特徵中每一者之引導模板可接合(例如,合併)成一特徵(或接合式)引導模板。在一實施例中,在產生特徵(或接合式)引導模板時,可橋接兩個或兩個以上空間最接近特徵之各別引導模板之間的間隙,且可使兩個或兩個以上空間最接近特徵之各別引導模板之間的曲率平滑。在一實施例中,特徵(或接合式)引導模板上之曲率不超過最大曲率,最大曲率為為了形成特徵(或接合式)引導模板而用於微影中之波長及數值孔徑之函數。在一實施例中,電腦演算法基於(1)由微影程序支援以產生引導模板之特徵之間的最小間隙、(2)由自組裝程序界定之引導模板臨界尺寸(CD)及(3)微影程序之波長及數值孔徑而產生特徵(或接合式)引導模板佈局。在一實施例中,特徵(或接合式)引導模板佈局係基於引導模板之幾何形狀之函數,如本文所論述。如下文進一步所論述,引導模板佈局可用於OPC程序中。在一實施例中,可(例如)藉由諸如圖5及圖6中之表中之查找來判定特徵(或接合式)引導模板。相似地,特徵(或接合式)引導模板可為可(例如)藉由諸如圖5及圖6中之表中之查找予以判定的兩個或多個特徵(或接合式)引導模板之組合。在選用步驟804中,使用包括第一原理演算之任何合適方法來驗證特徵(或接合式)引導模板之特性,以判定兩個或兩個以上空間最接近特徵與待形成於特徵(或接合式)引導模板中之一BCP特徵之間的差。若該差大於一臨限值,則可調整特徵(或接合式)引導模板之特性。該驗證可由於所涉及之小區域及引導 模板之進階性質而不耗時且計算上不密集。
根據一實施例,設計設計佈局、引導模板及/或用於引導模板之圖案化器件圖案之方法係由圖9中之流程圖加以說明。在步驟901中,獲得設計佈局。舉例而言,可分析全晶片設計之接觸孔建構,其可藉由合適演算法予以提取。在步驟902中,使用諸如本文所揭示之方法及/或第一原理演算之任何合適方法來判定用於設計佈局之一或多個特徵之引導模板的一或多個特性。在步驟903中,設計佈局、引導模板之一或多個特性及/或用以形成引導模板之圖案化器件圖案經歷OPC。在一實施例中,OPC包括使用引導模板對BCP之自組裝之模擬。因此,在一實施例中,OPC成本函數「意識到(aware)」自組裝程序,例如,OPC係基於經校準微影及自組裝模型。儘管使用OPC來最佳化引導模板,但可藉由使用如本文所論述之方法界定接近最終經最佳化引導模板形狀之標稱引導模板形狀來減低全晶片OPC執行時間。在選用步驟904中,驗證在OPC之後之設計佈局、引導模板之一或多個特性及/或用以形成引導模板之圖案化器件圖案。在一實施例中,驗證包括使用引導模板對BCP之自組裝之模擬。在圖10所展示之實例中,如所設計之用於特徵1001之引導模板1002係藉由OPC而最佳化至用於圖案化器件之圖案1003中。自組裝之模擬展示在OPC應用於圖案1003以形成引導模板1002之後的特徵1001之位置1004。
根據一實施例,設計設計佈局、引導模板及/或用於引導模板之圖案化器件圖案之方法係由圖11中之流程圖加以說明。在步驟1101中,獲得設計佈局。舉例而言,可分析全晶片設計之接觸孔建構,其可藉由合適演算法予以提取。在步驟1102中,使用諸如本文所揭示之方法及/或第一原理演算之任何合適方法來判定用於設計佈局之一或多個特徵之引導模板的一或多個特性,且該引導模板的一或多個特性可包括如本文所論述之引導模板之幾何形狀之函數。在步驟1103中, 設計佈局、引導模板之一或多個特性及/或用以形成引導模板之圖案化器件圖案經歷OPC。在此方法中,OPC無需包括使用引導模板對BCP之自組裝之模擬。在選用步驟1104中,驗證在OPC之後之設計佈局、引導模板之一或多個特性及/或用以形成引導模板之圖案化器件圖案。在一實施例中,驗證包括使用引導模板對BCP之自組裝之模擬。
參看圖12,描繪使用可自組裝嵌段共聚物之組件(例如,器件)之設計及/或製造之設計程序的實施例。在此程序中,可在製造之前預測嵌段共聚物如何與用以引導該嵌段共聚物之自組裝之圖案(例如,經印刷微影圖案)相互作用。另外,該程序可使能夠演算逆問題,即:知道嵌段共聚物特徵應位於何處;判定(例如)應使用之嵌段共聚物之類型及/或待用以引導嵌段共聚物之自組裝之圖案。
在步驟300處,提供一或多個自組裝式嵌段共聚物特徵之所要配置/圖案之初始設計。亦可提供關於在該程序期間可以何種方式重新設計初始設計之多個約束(規則)中之一者。舉例而言,此一或多個規則可指示特定嵌段共聚物特徵可移位多少且仍令人滿意地定位。在步驟300處,可提供待使用之特定嵌段共聚物。亦可提供關於在該程序期間可以何種方式重新設計嵌段共聚物之多個約束(規則)中之一者。舉例而言,此一或多個規則可指示嵌段共聚物之一聚合物可相對於嵌段共聚物之另一聚合物改變多少比率,而不管是可將一或多個聚合物添加至該嵌段共聚物、自該嵌段共聚物移除一或多個聚合物,或是一或多個聚合物在該嵌段共聚物中被取代,等等。
在步驟302處,判定用於基板上之用以引導嵌段共聚物之自組裝之引導模板的設計。定向自組裝嵌段共聚物模型係用以將初始設計轉譯成定向自組裝嵌段共聚物引導模板,諸如本文所論述之一或多個方法/模型。可存在關於可在該程序期間以何種方式設計或重新設計引 導模板之多個約束(規則)中之一者。舉例而言,此一或多個規則可指示引導模板之凹座之壁之一部分可接近該壁或另一壁之另一部分的程度、引導模板之幾何形狀之函數,等等。在一實施例中,用於引導模板設計之定向自組裝嵌段共聚物模型為不顯著依賴於計算上重模擬之快速模型或規則集合。在用於引導模板設計之快速模型或規則集合之一實施例中,模型可包括複數個不同標準嵌段共聚物特徵圖案及針對每個此圖案之用以形成彼圖案之一關聯引導模板凹座形狀。該模型可接著分析輸入所要嵌段共聚物特徵圖案以識別複數個不同標準嵌段共聚物特徵圖案中之一或多者且接著將關聯引導模板凹座形狀添加至總引導模板凹座形狀設計。舉例而言,如圖12中看出,可識別步驟300處之設計中之經隔離接觸孔,且將相關聯圓形引導模板添加至步驟302處之引導模板設計。相似地,可識別步驟300處之設計中之鄰近接觸孔對,且可將特徵(或接合式)引導模板(如本文所論述)之配置添加至步驟302處之引導模板設計。在一實施例中,用於引導模板設計之快速模型或規則集合並不執行任何模擬,而是實情為獨佔地依賴於查找及/或公式演算。在一實施例中,用於引導模板設計之快速模型或規則集合為以規則為基礎之模型,亦即,其(相似於以規則為基礎之OPC)依賴於簡單幾何規則以判定待應用之設計及/或修改,以區別於依賴於廣泛微影模擬及反覆以進行設計及/或修改(比如以模型為基礎之OPC)。
在步驟304處,判定用以在基板上產生用以引導嵌段共聚物之自組裝之引導模板的(例如,壓印模板、光學微影光罩、電子束寫入器等等之)圖案化器件之圖案。且在步驟306處,模擬/演算圖案化器件圖案之轉印及印刷以獲得基板上之用以引導嵌段共聚物之自組裝之經印刷引導模板。一或多個已知計算微影產品(諸如,ASML超光速粒子計算微影軟體產品)可用以演算圖案且模擬/演算圖案之轉印及印刷。 舉例而言,在圖案化器件為光學微影光罩的情況下,光學近接校正產品可用以演算用於光罩之光罩圖案,包括應用一或多個光罩增強技術(RET)(諸如,散射長條(scatter bar),襯線(serif)等等);且源光罩最佳化(SMO)可最佳化照明參數或使照明參數與光罩圖案匹配,此皆旨在將圖案化器件之圖案印刷至基板上之用以引導嵌段共聚物模板之自組裝之所要引導模板中。可存在關於可在該程序期間設計或重新設計圖案化器件之圖案及/或圖案化器件圖案之轉印及印刷(例如,抗蝕劑選擇、照明等等)之方式的多個約束(規則)中之一者。
在步驟308處,驗證步驟306處所判定之經印刷圖案。舉例而言,可對施加至步驟306處所判定之經印刷圖案之嵌段共聚物之經模擬/所演算自組裝來執行置放誤差驗證分析。在一實施例中,使用(例如)全文各自以引用方式併入本文中的2013年8月6日申請之美國專利申請案US 61/862,853及/或2013年5月30日申請之美國專利申請案US 61/829,118所描述之方法中之一或多者來模擬及/或演算一或多個嵌段共聚物特徵之置放誤差,且將一或多個嵌段共聚物特徵之置放誤差與一臨限值比較以判定該一或多個嵌段共聚物特徵之置放是否可接受。 在一實施例中,置放誤差臨限值小於或等於5奈米、小於或等於4奈米、小於或等於3奈米、小於或等於2奈米,或小於或等於1奈米。定向自組裝嵌段共聚物模型係用以量化及驗證經印刷一或多個嵌段共聚物特徵,且視情況判定該一或多個自組裝式嵌段共聚物特徵之預期尺寸。在一實施例中,定向自組裝嵌段共聚物模型為不顯著依賴於計算上重模擬之快速模型或規則集合。在快速模型或規則集合之一實施例中,基於用於各種給定嵌段共聚物之嚴密及/或基板模擬來校準各種單嵌段共聚物特徵(例如,具有變化之大小之接觸孔)之置放誤差及/或尺寸。
在點310處,若判定出經印刷圖案可接受(例如,在一臨限值內, 諸如,置放誤差係在一臨限值內),則設計及一或多個相關聯自組裝參數(例如,嵌段共聚物類型、自組裝引導模板設計,等等)可在312處被接受,且可使用(例如)用於引導模板之設計、在設計程序期間所選擇之嵌段共聚物等等來繼續進行自組裝。
若判定出步驟306處所判定之經印刷圖案不可接受,則可在314處修改設計及/或一或多個相關聯自組裝參數(例如,嵌段共聚物類型、自組裝引導模板設計,等等)。該等修改可採取眾多形式,且在圖12所描繪之計算設計程序中之各種接面處。在一實施例中,可需要共最佳化兩個或兩個以上參數調整,且彼等參數中之一或多者可比另一者更重地加權。舉例而言,調整可涉及引導模板之形狀之調整及嵌段共聚物之實體參數之調整,且因此,可在或不在將引導模板之形狀之調整加權高於或低於嵌段共聚物之實體參數之調整的情況下使用任何已知最佳化技術來執行任一參數被調整之量。可基於(例如)BCP特徵之置放誤差之量或相關聯參數(例如,偏移量及/或聚合物類型之長度)來進行此等調整中任一者。
在一實施例中,設計及/或一或多個相關聯自組裝參數之調整可包含調整嵌段共聚物之實體參數。舉例而言,調整實體參數可包含調整嵌段共聚物中之第一聚合物類型與第二聚合物類型之量之間的比率。在一實施例中,調整實體參數包含將一另外聚合物類型添加至嵌段共聚物或自嵌段共聚物移除一聚合物類型。在一實施例中,調整實體參數可包含調整嵌段共聚物之聚合物類型之長度。在一實施例中,調整實體參數可包含調整第一聚合物類型與第二聚合物類型之間的排斥性或第二聚合物類型與用以引導嵌段共聚物特徵之嵌段共聚物之自組裝之引導模板之一部分之間的排斥性。可(例如)在步驟308處進行對嵌段共聚物之調整,且接著程序使用經調整嵌段共聚物而自步驟308繼續進行。替代地,若模板設計步驟涉及嵌段共聚物之實體性 質,則可(例如)在步驟302處進行對嵌段共聚物之調整,且接著程序使用經調整嵌段共聚物而自步驟302繼續進行。
在一實施例中,設計及/或一或多個相關聯自組裝參數之調整可包含調整用以在基板上產生用以引導嵌段共聚物之自組裝之引導模板的(例如,壓印模板、光學微影光罩、電子束寫入器等等之)圖案化器件之圖案之設計。舉例而言,對於光學光罩,諸如襯線或散射長條等等之一或多個光罩增強技術可應用於光罩圖案。另外或替代地,設計及/或一或多個相關聯自組裝參數之調整可包含調整圖案化器件圖案之轉印及印刷之參數。舉例而言,對於光學光罩,可調整照明形狀、照明強度等等。可(例如)在步驟304及/或306處進行此等調整,且接著程序使用適用調整而自其繼續進行。
在一實施例中,設計及/或一或多個相關聯自組裝參數之調整可包含調整用以引導嵌段共聚物特徵之嵌段共聚物之自組裝之引導模板之設計。在一實施例中,調整引導模板包含調整模板之凹座部分之形狀。舉例而言,相似於光罩增強技術之一或多個模板增強技術可應用於引導模板圖案。替代地或另外,可將與先前所施加之基本引導模板形狀不同的基本引導模板形狀施加至特定嵌段共聚物特徵。舉例而言,可針對步驟300所展示之設計之經隔離接觸孔而將大量重疊之雙圓凹座引導模板形狀或正方形凹座形狀應用於步驟302處之引導模板設計中,來代替如步驟302處之模板設計所展示圓形凹座形狀。可(例如)在步驟302處進行此等調整,且接著程序使用適用調整而自其繼續進行。
在一實施例中,設計及/或一或多個相關聯自組裝參數之調整可包含調整步驟300處所展示之嵌段共聚物特徵之圖案之設計。換言之,可需要重新設計嵌段共聚物特徵之基本設計,此係因為可能該等特徵彼此太接近而實務上不能被產生。因此,舉例而言,嵌段共聚物 特徵之圖案之佈局可在特徵大小、特徵間距、特徵佈局等等方面改變。可(例如)在步驟300處進行此等調整,且接著程序使用適用調整而自其繼續進行。
在一實施例中,設計及/或一或多個相關聯自組裝參數之調整可包含將用以引導嵌段共聚物特徵之嵌段共聚物之自組裝的引導模板之一部分之排斥性調整至第一聚合物類型及/或第二聚合物類型。
雖然設計程序已在實現自組裝式嵌段共聚物特徵中之一者之所要配置/圖案方面予以描述,但該程序可擴充成進一步包括諸如蝕刻等等之後續程序步驟之模擬及/或模型化。此等另外程序步驟之結果可在適當時後饋或前饋至該程序中,以進一步改進初始設計、嵌段共聚物之類型、用以引導嵌段共聚物之自組裝之引導模板,等等。
全文以引用方式併入本文中之美國專利申請公開案第2012/0331428號所描述之技術及/或特徵中之一或多者可併入於本文所描述之方法中。
術語類型A聚合物域應不限於聚合物域之特定類型。術語類型A聚合物域及類型B聚合物域係僅僅用以在參看諸圖之不同聚合物域之間進行區分。
可根據一實施例使用(例如,模擬及設計)如上文所描述的凹座、BCP特徵及/或除圓柱形以外的第一域形狀。舉例而言,可使用特徵內之聚合物域之定位之不確定度來模擬及設計球體、橢圓形、矩形、層狀、立方體、四邊形或六邊形特徵形狀。可使用聚合物域特徵位置不確定度來模擬及設計由類線性渠溝特徵接合之圓柱形特徵。
如上文所提及,用以形成凹座之側壁之抗蝕劑之使用意欲為一實例,而非一限制性特徵。舉例而言,可藉由基板自身之圖案化或經沈積或經生長至基板上之層之圖案化來提供凹座。凹座自身可藉由BCP材料之自組裝提供。
圖13展示電腦60。該電腦60可實施本文所描述之方法中任一者,包括實施BCP特徵之模擬及/或使用本文所描述之方法中任一者來演算引導模板。該電腦60包含中央處理單元(CPU)61(例如,實體處理器),該CPU 61經組態以讀取及執行儲存於記憶體62(例如,實體記憶體)中之指令,該記憶體62可採取隨機存取記憶體之形式。記憶體62儲存供CPU 61執行之指令及由彼等指令使用之資料。舉例而言,在使用中,BCP特徵及/或相關聯參數(例如,嵌段共聚物類型、BCP特徵設計形狀等等)之數位表示可連同適合於使電腦進行如本文所描述之方法之指令儲存於記憶體62中。
電腦60可進一步包含(例如)呈硬碟機63之形式的儲存器。BCP特徵及/或關聯之參數之數位表示可儲存於硬碟機63上。電腦60可進一步包含一輸入/輸出(input/output,I/O)介面64,結合該電腦60而使用的一或多個周邊器件連接至該I/O介面64。舉例而言,可提供顯示器65以便顯示自電腦60之輸出。舉例而言,顯示器65可顯示BCP特徵之表示。另外,顯示器65可顯示藉由經模擬BCP特徵之處理或諸如圖2所展示之基板之設計產生的一或多個影像。一或多個輸入器件可連接至介面64。此輸入器件可包括允許使用者與電腦60互動之鍵盤66及/或滑鼠67。
可提供網路介面68以允許電腦60待連接至適當電腦網路以便自其他計算器件接收資料及/或將資料傳輸至其他計算器件。CPU 61、記憶體62、儲存器63、I/O介面64及網路介面68係由匯流排69連接在一起。
本發明之態樣可以任何方便形式予以實施。舉例而言,一實施例可由一或多個適當電腦程式實施,該一或多個適當電腦程式可在可為有形載體媒體(例如,磁碟)或無形載體媒體(例如,通信信號)之適當載體媒體上進行。可使用可具體採取可程式化電腦之形式的合適裝 置來實施本發明之實施例,該可程式化電腦執行經配置以實施如本文所描述之方法之電腦程式。
雖然上文已描述本發明之特定實施例,但應瞭解,可以與所描述之方式不同的其他方式來實踐本發明。以上描述意欲為說明性而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離隨附申請專利範圍之範疇的情況下對所描述之本發明進行修改。

Claims (30)

  1. 一種設計一特徵引導模板(feature guiding template)之方法,該特徵引導模板用於引導嵌段共聚物(block copolymer)之自組裝以在用於微影之一設計佈局中形成至少兩個特徵,該特徵引導模板包含由一瓶頸接合之至少兩個部分,該方法包含:基於該特徵引導模板之幾何(geometry)之至少一函數(function)來判定該特徵引導模板之一特性,該至少一函數包含該瓶頸之一寬度之一值,或基於或包含該等部分中之至少一者之一寬度及該瓶頸之該寬度兩者之一值,其中該比率不大於0.5。
  2. 一種判定一特徵引導模板之一特性之方法,該特徵引導模板用於引導嵌段共聚物之自組裝以在用於微影之一設計佈局中形成至少兩個特徵,該方法包含:判定用於該至少兩個特徵中每一者之一引導模板,而不考量該至少兩個特徵中之其他特徵;及基於該特徵引導模板之幾何之至少一函數來判定該特徵引導模板之一特性,該特徵引導模板為用於該兩個或兩個以上特徵之該等引導模板中每一者之接合物(joining),其中該比率不大於0.5。
  3. 如請求項1或2之方法,其中該特性包含選自如下各者之一或多者:高度、形狀、特徵間隔、材料、側壁角及/或表面化學反應。
  4. 如請求項1或2之方法,其中該至少兩個特徵包含選自如下各者之一或多個特徵:一接觸孔、一隔離渠溝、一通孔、一引線、一光罩切割線,及/或一閘電極。
  5. 如請求項1或2之方法,其中判定該特性係進一步基於選自如下各者之一或多者:該等特徵中之至少一者之置放誤差、該嵌段共聚物之至少一聚合物之化學組成物、該嵌段共聚物之結構、該嵌段共聚物之厚度、該嵌段共聚物之退火溫度、該嵌段共聚物之退火速率,及/或用於該嵌段共聚物之一溶劑。
  6. 如請求項2之方法,其中該特徵引導模板包含由一瓶頸接合之至少兩個部分。
  7. 如請求項2之方法,其中幾何形狀之該函數包含該等部分中之至少一者之一寬度及/或該瓶頸之一寬度。
  8. 如請求項1或2之方法,其中幾何形狀之該函數包含該等部分中之至少一者之該寬度與該瓶頸之該寬度之間的一比率。
  9. 如請求項8之方法,其中該比率為該瓶頸之該寬度對該等部分中之至少一者之該寬度的一比率。
  10. 如請求項9之方法,其中該比率不小於0.3。
  11. 一種基於一特徵引導模板之幾何之至少一函數來設計該特徵引導模板之一幾何特性之方法,該特徵引導模板用於引導嵌段共聚物之自組裝以在用於微影之一設計佈局中形成至少兩個特徵且包含由一瓶頸接合之至少兩個部分,其中該比率不大於0.5。
  12. 如請求項11之方法,其中該特性包含選自如下各者之一或多者:高度、形狀、側壁角及/或特徵間隔。
  13. 如請求項11或12之方法,其中該至少兩個特徵包含選自如下各者之一或多個特徵:一接觸孔、一隔離渠溝、一通孔、一引線、一光罩切割線,及/或一閘電極。
  14. 如請求項11或12之方法,其中設計該特性係進一步基於選自如下各者之一或多者:該等特徵中之至少一者之置放誤差、該嵌段 共聚物之至少一聚合物之化學組成物、該嵌段共聚物之結構、該嵌段共聚物之厚度、該嵌段共聚物之退火溫度、該嵌段共聚物之退火速率,及/或用於該嵌段共聚物之一溶劑。
  15. 如請求項11或12之方法,其中幾何形狀之該函數包含該等部分中之至少一者之一寬度及/或該瓶頸之一寬度。
  16. 如請求項11或12之方法,其中幾何形狀之該函數包含該瓶頸之一寬度之一值,或基於或包含該等部分中之至少一者之一寬度及該瓶頸之該寬度兩者之一值。
  17. 如請求項16之方法,其中該值為該等部分中之至少一者之該寬度與該瓶頸之該寬度之間的一比率。
  18. 如請求項17之方法,其中該比率為該瓶頸之該寬度對該等部分中之至少一者之該寬度的一比率。
  19. 如請求項18之方法,其中該比率不小於0.3。
  20. 如請求項18之方法,其中該比率係選自0.48至0.52。
  21. 一種儲存有指令之電腦可讀非暫時性媒體,其經組態以使一電腦進行一如請求項1至20中任一項之方法。
  22. 一種電腦裝置,其包含:一實體記憶體,其儲存處理器可讀指令;及一實體處理器,其經配置以讀取及執行儲存於該實體記憶體中之指令,其中該等實體處理器可讀指令包含經配置以控制該電腦以進行如請求項1至20中任一項之方法之指令。
  23. 一種特徵引導模板,其係使用如前述請求項1至20中任一項之方法予以設計。
  24. 一種積體電路,其係使用如請求項23之特徵引導模板予以生產。
  25. 一種特徵引導模板,其包含連接至一瓶頸之兩個或兩個以上部 分,其中該瓶頸之一寬度對該兩個或兩個以上部分中之至少一者之一寬度的一比率係選自0.3至0.5。
  26. 如請求項25之特徵引導模板,其中該兩個或兩個以上部分中之至少一者之該寬度係選自30奈米至60奈米。
  27. 如請求項25或26之特徵引導模板,其中該瓶頸之該寬度係選自9奈米至30奈米。
  28. 如請求項25或26之特徵引導模板,其中該兩個或兩個以上部分中之至少兩者之一中心至中心距離係選自22奈米至66奈米。
  29. 如請求項25或26之特徵引導模板,其中該比率為約0.5。
  30. 如請求項25或26之特徵引導模板,其中該兩個或兩個以上部分具有實質上相同寬度。
TW103137479A 2013-11-08 2014-10-29 設計特徵引導模板之方法、判定特徵引導模板之特性之方法、設計特徵引導模板之幾何特性之方法、特徵引導模板、電腦可讀非暫時性媒體、電腦裝置及積體電路 TWI587074B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201361901968P 2013-11-08 2013-11-08

Publications (2)

Publication Number Publication Date
TW201527867A TW201527867A (zh) 2015-07-16
TWI587074B true TWI587074B (zh) 2017-06-11

Family

ID=51690390

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103137479A TWI587074B (zh) 2013-11-08 2014-10-29 設計特徵引導模板之方法、判定特徵引導模板之特性之方法、設計特徵引導模板之幾何特性之方法、特徵引導模板、電腦可讀非暫時性媒體、電腦裝置及積體電路

Country Status (5)

Country Link
US (1) US10642152B2 (zh)
KR (1) KR20160084437A (zh)
CN (1) CN105705997B (zh)
TW (1) TWI587074B (zh)
WO (1) WO2015067433A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150380299A1 (en) * 2013-03-15 2015-12-31 Asml Netherlands B.V. Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers
US9857676B2 (en) * 2013-05-27 2018-01-02 International Business Machines Corporation Method and program product for designing source and mask for lithography
US9738765B2 (en) * 2015-02-19 2017-08-22 International Business Machines Corporation Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
JP6267143B2 (ja) * 2015-03-05 2018-01-24 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US9836556B2 (en) * 2015-03-30 2017-12-05 Mentor Graphics Corporation Optical proximity correction for directed-self-assembly guiding patterns
US9881793B2 (en) * 2015-07-23 2018-01-30 International Business Machines Corporation Neutral hard mask and its application to graphoepitaxy-based directed self-assembly (DSA) patterning
US10192018B1 (en) * 2016-03-31 2019-01-29 Cadence Design Systems, Inc. Method and system for implementing efficient trim data representation for an electronic design
CN113361553B (zh) * 2020-03-06 2024-02-02 株式会社理光 图像处理方法、图像处理装置、存储介质和系统

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200539223A (en) * 2004-05-19 2005-12-01 Prec Machinery Res & Dev Ct Method for fabricating cathode plate of carbon nanotube field emission display and carbon nanotube field emission display
TW200710912A (en) * 2005-09-12 2007-03-16 Prec Machinery Res & Dev Ct Field emitting two-sided monitor, two-sided back light module, multi- surface liquid-crystal display, two-sided lighting equipment and manufacturing methods thereof
US20070175859A1 (en) * 2006-02-02 2007-08-02 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US20110209106A1 (en) * 2010-02-19 2011-08-25 International Business Machines Corporation Method for designing optical lithography masks for directed self-assembly

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8114306B2 (en) * 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
CN103187245B (zh) 2011-12-30 2015-06-17 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法
TWI526777B (zh) 2012-08-06 2016-03-21 Asml荷蘭公司 用於藉由嵌段共聚物之自我組裝在一基板上提供微影特徵之方法
WO2014191163A1 (en) 2013-05-30 2014-12-04 Asml Netherlands B.V. Method of simulating formation of lithography features by self-assembly of block copolymers
US10884333B2 (en) 2013-08-06 2021-01-05 Asml Netherlands B.V. Method of designing lithography features by self-assembly of block copolymer
US10339260B2 (en) 2013-09-06 2019-07-02 Asml Netherlands B.V. Methodology to generate guiding templates for directed self-assembly

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200539223A (en) * 2004-05-19 2005-12-01 Prec Machinery Res & Dev Ct Method for fabricating cathode plate of carbon nanotube field emission display and carbon nanotube field emission display
TW200710912A (en) * 2005-09-12 2007-03-16 Prec Machinery Res & Dev Ct Field emitting two-sided monitor, two-sided back light module, multi- surface liquid-crystal display, two-sided lighting equipment and manufacturing methods thereof
US20070175859A1 (en) * 2006-02-02 2007-08-02 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US20110209106A1 (en) * 2010-02-19 2011-08-25 International Business Machines Corporation Method for designing optical lithography masks for directed self-assembly

Also Published As

Publication number Publication date
TW201527867A (zh) 2015-07-16
CN105705997B (zh) 2020-01-17
CN105705997A (zh) 2016-06-22
KR20160084437A (ko) 2016-07-13
US10642152B2 (en) 2020-05-05
US20160266486A1 (en) 2016-09-15
WO2015067433A1 (en) 2015-05-14

Similar Documents

Publication Publication Date Title
TWI587074B (zh) 設計特徵引導模板之方法、判定特徵引導模板之特性之方法、設計特徵引導模板之幾何特性之方法、特徵引導模板、電腦可讀非暫時性媒體、電腦裝置及積體電路
TWI568664B (zh) 藉由嵌段共聚物的自我組裝設計微影特徵之方法
TWI529816B (zh) 藉嵌段共聚物之自組裝而在一基板上提供具間隔的微影特徵之方法
TWI526777B (zh) 用於藉由嵌段共聚物之自我組裝在一基板上提供微影特徵之方法
TWI546617B (zh) 藉由嵌段共聚物之自組裝而在基板上提供微影特徵之方法
JP5579494B2 (ja) ポリマの指向性自己組織化を利用するサブリソグラフィ構造の形成方法
US9836556B2 (en) Optical proximity correction for directed-self-assembly guiding patterns
TWI587073B (zh) 用於產生定向自組裝之引導模板之方法
US9244343B2 (en) Pattern forming method and mask pattern data
US10418245B2 (en) Method for integrated circuit manufacturing with directed self-assembly (DSA)
US10127336B2 (en) Method of simulating formation of lithography features by self-assembly of block copolymers
Nicaise et al. Self-assembly of block copolymers by graphoepitaxy
TWI546616B (zh) 藉由嵌段共聚物之自組裝而在基板上提供間隔的微影特徵之方法
Ginzburg et al. Field-theoretic simulations and self-consistent field theory for studying block copolymer directed self-assembly
TWI569094B (zh) 藉由嵌段共聚物之自組裝而在基板上提供微影特徵之方法
Tung Block Copolymer Directed Self-Assembly for Patterning Memory and Logic
Yi Directed Self-Assembly for Nanofabrication and Device Integration
Torres Modelling and analysis of large-scale, template self-assembly manufacturing techniques
JP6171424B2 (ja) インプリントモールドの製造方法及び設計方法