CN101630639A - 半导体器件制造方法 - Google Patents

半导体器件制造方法 Download PDF

Info

Publication number
CN101630639A
CN101630639A CN200910161174A CN200910161174A CN101630639A CN 101630639 A CN101630639 A CN 101630639A CN 200910161174 A CN200910161174 A CN 200910161174A CN 200910161174 A CN200910161174 A CN 200910161174A CN 101630639 A CN101630639 A CN 101630639A
Authority
CN
China
Prior art keywords
film
mask pattern
droplet
substrate
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200910161174A
Other languages
English (en)
Other versions
CN101630639B (zh
Inventor
前川慎志
藤井严
城口裕子
森末将文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN101630639A publication Critical patent/CN101630639A/zh
Application granted granted Critical
Publication of CN101630639B publication Critical patent/CN101630639B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/201Filters in the form of arrays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • H01L27/1274Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor
    • H01L27/1285Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor using control of the annealing or irradiation parameters, e.g. using different scanning direction or intensity for different transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/1292Multistep manufacturing methods using liquid deposition, e.g. printing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
    • G03F7/0007Filters, e.g. additive colour filters; Components for display devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66765Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/122Pixel-defining structures or layers, e.g. banks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/17Passive-matrix OLED displays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/17Passive-matrix OLED displays
    • H10K59/173Passive-matrix OLED displays comprising banks or shadow masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/40Thermal treatment, e.g. annealing in the presence of a solvent vapour

Abstract

本发明提供一种通过简单的步骤制造具有包括绝缘膜、半导体膜、导电膜等的膜图案的基板的方法,以及以高产量制造低成本的半导体器件的方法。根据本发明,在基板上形成具有低润湿性的第一保护膜之后,在第一掩模图案的外缘上施加或排放具有高润湿性的材料,从而可形成膜图案和具有膜图案的基板。

Description

半导体器件制造方法
本发明专利申请是2005年1月17日提交的申请号为200510004768.7、名称为“具有膜图案的基板及其制造方法以及半导体器件制造方法”发明专利申请的分案申请。
技术领域
本发明涉及具有通过使用以喷墨方法为代表的微滴排放方法形成的半导体元件的半导体器件的制造方法,以及涉及形成半导体元件的每个部分的掩模图案、接触孔以及膜的技术。
背景技术
据调查,使用微滴排放装置来形成用于半导体元件的薄膜图案和布线,以便实现低成本设备,并简化制造半导体器件中的过程。
通过光刻处理形成半导体元件的接触孔,其中,将抗蚀剂施加到基板的整个表面,进行预烘干,通过掩模图案将紫外线等照射到基板上,通过显影形成光刻图案。然后,通过利用抗蚀剂图案作为掩模图案进行蚀刻,去除要成为接触孔的部分上形成的绝缘膜,从而形成接触孔。
此外,通过使用光刻图案来蚀刻半导体膜、绝缘膜、金属膜等,形成所希望的形状的膜图案。
[专利文档献]:日本专利特许公开号2000-89213。
发明内容
然而,在传统的用于形成膜图案、具有接触孔的绝缘膜等的过程中,膜图案的大量材料和抗蚀剂被浪费,并且要求大量的步骤来形成掩模图案,这降低了产出。
在开启接触孔时不充分地控制抗蚀剂的施加量以及基膜的表面条件的情况下,抗蚀剂扩展到接触孔上,可产生有缺陷的接触。
鉴于上述问题作出本发明,以提供通过简单的步骤制造具有绝缘膜、半导体膜、导电膜等的膜图案的基板的方法,以及以高产量制造低成本的半导体器件的方法。
根据本发明,在基板上形成具有低润湿性的第一保护膜(下文中称为掩模图案)之后,在第一掩模图案的外缘上施加或排放高润湿性材料,以形成膜图案和具有膜图案的基板。
根据本发明,在基板上形成具有低润湿性的第一掩模图案之后,在除了第一掩模图案的区域上施加或排放高润湿性材料,以形成膜图案和具有膜图案的基板。
根据本发明,在基板上形成具有低润湿性的第一掩模图案之后,在不形成第一掩模图案的区域上施加或排放高润湿性材料,以形成膜图案和具有膜图案的基板。
根据本发明,在薄膜或构件上形成具有低润湿性的第一掩模图案之后,形成具有高润湿性的第二掩模图案,去除第一掩模图案以及覆盖有第一掩模图案的薄膜或构件,形成具有膜图案或接触孔的绝缘膜。注意,可在稍后去除第二掩模图案。
当液体在具有高润湿性的第二掩模图案上扩展时,具有低润湿性的第一掩模图案容易排斥液体。诸如用于第二掩模图案的材料之类的液体溶液以半球形状被排斥在在第一掩模图案的表面上,因此,可以自对准的方式形成第二掩模图案。
可通过向绝缘层照射等离子氟化物来形成具有低润湿性的第一掩模图案。可在氟化物或氟化物气体中产生等离子氟化物,或可通过使用具有包括氟塑料的电介质的电极来产生。
对于形成具有低润湿性的第一掩模图案,可在预定位置上排放或施加具有低润湿性的材料。例如,具有低润湿性的材料是含碳氟化合物链的化合物。
较佳的是,具有低润湿性的第一掩模图案的接触角大于具有高润湿性的第二掩模图案的接触角,接触角之间的差为30°,更佳的为40°或以上。结果,由于第二掩模图案的材料以半球形状被PC在第一掩模图案的表面上,可以自对准的方式形成每个掩模图案。
第二掩模图案较佳地用于形成膜图案的掩模。
膜图案是具有所希望的形状的绝缘膜、半导体膜、导电膜、或具有接触孔的绝缘膜。典型地,使用栅极绝缘膜、层间绝缘膜、保护膜、诸如具有接触孔的绝缘膜的绝缘膜、沟道形成区域、源极区域和漏极区域的半导体膜、以及诸如源极电极、漏极电极、布线、栅极电极、像素电极以及天线的导电膜。在去除掩模图案之后,掩模图案的化合物仍然存在于膜图案的周围中(形成掩模图案的区域)。
通过使用液相方法或印刷方法形成具有低润湿性的第一掩模图案。液相方法代表性地包括微滴排放方法、喷墨方法等。
使用液相方法形成具有高润湿性的第二掩模图案。液相方法代表性地包括微滴排放方法、喷墨方法、旋涂方法、辊涂方法、槽隙涂敷(slot coating)方法等。
根据本发明,使用通过使用具有低润湿性的第一掩模图案和具有高润湿性的第二掩模图案形成的膜图案或构件来形成半导体元件。半导体元件例如是TFT、场效应晶体管(FET)、MOS晶体管、双极型晶体管、有机半导体晶体管、MIM元件、存储元件、二极管、光电变换器、电容器、电阻器等。
根据本发明,提供具有通过使用具有低润湿性的第一掩模图案和具有高润湿性的第二掩模图案形成的膜图案的半导体器件、具有膜图案的基板或半导体元件、及其制造方法。半导体器件例如是由半导体元件形成的集成电路、显示器、无线标签、IC标签、IC卡等。显示器代表性地包括液晶显示器、发光显示器、DMD(数字微镜设备)、PDP(等离子显示屏)、FED(场发射显示器)、电泳显示器(电子纸)等。TFT例如是参差TFT、反向参差TFT(沟道蚀刻型TFT或沟道保护型TFT)、上栅极共面TFT、下栅极共面TFT等。
在本发明中,显示器指的是使用显示元件的器件,即图像显示器。此外,诸如柔性印刷电路(FPC)或TAB(带自动接合)带或TCP(带载送封装)之类的连接器与显示屏相连接的模块,IC(集成电路)和CPU直接通过COG(玻璃上芯片)方法安装在显示元件上的模块都包含于显示器中。
本发明提供上述膜图案、具有膜图案的基板、半导体元件、或具有半导体器件的液晶电视机或EL电视机。
根据本发明,在亲液表面上通过使用用于形成排斥液体表面的材料形成掩模图案之后,通过使用亲液材料在掩模图案的外缘上形成膜图案和具有膜图案的基板。
根据本发明,在亲液表面上通过使用用于形成排斥液体表面的材料形成掩模图案之后,通过使用亲液材料在除了掩模图案之外的区域中形成膜图案和具有膜图案的基板。
根据本发明,在亲液表面上通过使用用于形成排斥液体表面的材料形成掩模图案之后,通过使用亲液材料在不形成掩模图案的区域中形成膜图案和具有膜图案的基板。
根据本发明,在具有亲液表面的薄膜或构件上通过使用用于形成排斥液体表面的材料形成第一掩模图案之后,通过使用亲液材料形成第二掩模图案,去除第一掩模图案和覆盖有第一掩模图案的膜或构件以形成膜图案或具有接触孔的绝缘膜。注意,也可去除第二掩模图案。
膜图案是具有所希望的形状的绝缘膜、半导体膜、导电膜或具有接触孔的绝缘膜。典型地,使用栅极绝缘膜、层间绝缘膜、保护膜、诸如具有接触孔的绝缘膜的绝缘膜、沟道形成区域、源极区域和漏极区域的半导体膜、以及诸如源极电极、漏极电极、布线、栅极电极、像素电极以及天线的导电膜。在去除掩模图案之后,掩模图案的化合物仍然存在于膜图案的周围中(形成掩模图案的区域)。
用于形成排斥液体表面的材料具有代表性的为由化学式:Rn-Si-X(4-n)(n=1、2和3)表示的硅烷偶联剂。在此,R包含诸如烷基之类的相对较惰性的基团。此外,X表示水解基团,它与接地基板表面上的吸附的水或羟基缩合而结合,如卤素、甲氧基、乙氧基或乙酰氧基等。
包含氟碳基作为R的硅烷偶联剂(氟烷基硅烷(FAS))形成具有较高液体排斥性的排斥液体表面。
具有碳氟化合物链的材料(代表性的为碳氟树脂)是具有排斥液体表面的材料的例子。
形成排斥水表面的溶剂是诸如正戊烷、正己烷、正庚烷、正辛烷、正癸烷、二环戊烷、苯、甲苯、二甲苯、四甲苯、茚、四氢化萘、十氢化萘、以及鲨烯或四氢呋喃等之类的烃类溶剂。
通过将等离子、激光或电子束照射到具有排斥液体表面的材料,可提高液体排斥性。
作为亲液材料,使用可通过水解接合到亲液表面的取代基(羟基、氢基团)或能够进行氢键合的取代基(羟基、氢基团、羰基、氨基、磺酰基、醚基等)。代表性的,可使用诸如丙烯酸类树脂、聚酰亚胺树脂、三聚氰胺树脂、聚脂树脂、聚碳酸酯树脂、酚醛树脂、环氧树脂、聚缩醛树脂、聚醚、聚氨酯、聚酰胺(尼龙)、呋喃树脂、邻苯二甲酸二烯丙脂树脂(dially phthalate resin)、以及硅氧烷和聚硅氮烷等之类的有机树脂。硅氧烷是包含硅(Si)和氧(O)键作为主链结构、并至少包含氢作为取代基或氟化物、烷基或芳香碳氢化物中的至少一个作为取代基的聚合物材料。聚硅氮烷是包含硅(Si)和氮(Ni)链的聚合物材料,它是含聚硅氮烷的液体材料。
亲液表面具有表面上有极性的反应基团,代表性的为可通过水解接合到亲液表面的取代基(羟基、氢基团)或能够进行氢键合的取代基(羟基、氢基团、羰基、氨基、磺酰基、醚基等)。
通过使用液相方法形成由用于形成排斥液体表面的材料形成的掩模图案。液相方法代表性地包括微滴排放方法、喷墨方法等。
使用液相方法形成由亲液溶液形成的掩模图案或膜图案。液相方法代表性地例如微滴排放方法、喷墨方法、旋涂方法、辊涂方法、槽隙涂敷方法等。
根据本发明,使用通过使用由用于形成排斥液体表面的掩模图案形成的膜图案或构件来形成半导体元件。半导体元件包括TFT、场效应晶体管(FET)、MOS晶体管、双极型晶体管、有机半导体晶体管、MIM元件、存储元件、二极管、光电变换器、电容器、电阻器等。
本发明提供具有通过使用具有排斥液体表面的掩模图案形成的膜图案、具有膜图案的基板、或具有半导体元件的半导体器件、及其制造方法。半导体器件例如是由半导体元件形成的集成电路、显示器、无线标签、IC标签等。显示器例如是包括液晶显示器、发光显示器、DMD(数字微镜设备)、PDP(等离子显示屏)、FED(场发射显示器)、电泳显示器(电子纸)等。TFT例如是参差TFT、以及反向参差TFT(沟道蚀刻型TFT或沟道保护型TFT)。
在本发明中,显示器指的是使用显示元件的器件,即图像显示器。此外,诸如柔性印刷电路(FPC)或TAB(带自动接合)带或TCP(带载送封装)之类的连接器连接到显示面板的模块,IC(集成电路)和CPU直接通过COG(玻璃上芯片)方法安装在显示元件上的模块都包含于显示器中。
本发明提供上述膜图案、具有膜图案的基板、半导体元件、或具有半导体器件的液晶电视机或EL电视机。
通过使用根据本发明的具有低润湿性的第一掩模图案和具有高润湿性的第二掩模图案,可在所希望的位置上形成所希望的形状的膜图案。可在所希望的位置上选择性地形成充当层间绝缘膜、偏振膜、栅极绝缘膜等的膜。而且,由于可不用使用抗蚀剂掩模图案进行暴露和显影处理来形成具有膜图案和接触孔的绝缘膜,与传统技术相比能够显著地简化处理。
通过在具有低润湿性的掩模图案上照射等离子、激光或电子束,可进一步降低润湿性。
通过使用由用于形成排斥液体表面的材料形成的掩模图案,可在所希望的位置上形成所希望的形状的膜图案。可在所希望的位置上选择性地形成充当层间绝缘膜、偏振膜、栅极绝缘膜等的膜。而且,由于可不用使用抗蚀剂掩模图案进行暴露和显影处理来形成具有膜图案和接触孔的绝缘膜,与传统技术相比能够显著地简化处理。由于掩模图案具有排斥液体表面,不形成亲液材料形成的膜,从而可通过简化的处理容易地去除掩模图案以及形成良好的接触孔。
通过对由用于形成排斥液体表面的材料形成的掩模图案照射等离子、激光或电子束等,可进一步提高液体排斥性。
通过在形成具有低润湿性的掩模图案、由用于形成排斥液体表面的材料形成的膜图案、导电膜等之前施加微滴排放方法,可通过改变基板和喷嘴的相对位置来将微滴排放在任意位置上,喷嘴是含有上述膜的材料的微滴的排放孔。由于可根据喷嘴直径、要排放的微滴的量、以及喷嘴和形成排放的微滴的基板之间的移动速率的相对关系来控制要形成的图案的厚度和宽度,因此可通过排放可高精度地在所希望的位置形成这些膜。由于可省略印刷处理,即使用掩模图案的暴露和显影处理,因此可相当地简化处理并降低成本。通过使用微滴排放方法,可在任意位置形成图案,可控制图案的厚度和宽度。因此,可以高产量低成本地制造即使是一边长大于1至2m的大型半导体基板。
根据本发明,以此方式,可通过简单的处理,高精度地形成膜图案、具有膜图案的基板、具有接触孔的绝缘膜、以及具有这些的半导体元件和半导体器件。此外,本发明可提供以低成本和高产量制造半导体元件和半导体器件的方法。
附图说明
图1A至1C是示出根据本发明的形成膜图案的步骤的剖视图。
图2A至2D是示出根据本发明的形成膜图案的步骤的剖视图。
图3A至3D是示出根据本发明的制造半导体器件的步骤的剖视图。
图4A至4E是示出根据本发明的制造半导体器件的步骤的剖视图。
图5A至5E是示出根据本发明的制造半导体器件的步骤的剖视图。
图6A至6D是示出根据本发明的制造半导体器件的步骤的剖视图。
图7A至7C是示出根据本发明的形成膜图案的步骤的剖视图。
图8A至8E是示出根据本发明的制造半导体器件的步骤的剖视图。
图9A至9D是示出根据本发明的制造半导体器件的步骤的剖视图。
图10A至10C是示出根据本发明的制造半导体器件的步骤的剖视图。
图11是示出根据本发明的制造半导体器件的步骤的俯视图。
图12是示出根据本发明的制造半导体器件的步骤的俯视图。
图13是示出根据本发明的制造半导体器件的步骤的俯视图。
图14A至14C是示出根据本发明的半导体器件的驱动器电路的安装方法的俯视图。
图15A至15D是示出根据本发明的半导体器件的驱动器电路的安装方法的剖视图。
图16是示出根据本发明的液晶显示器模块的结构的示图。
图17是示出电子装置的结构的框图。
图18是示出电子装置的例子的图。
图19A和19B是示出电子装置的例子的图。
图20是示出可用于本发明的微滴排放装置的结构的图。
图21是示出根据本发明的在液晶显示面板中使用TFT形成扫描驱动器电路的情况下的电路配置的图。
图22是示出根据本发明的在液晶显示面板中使用TFT形成扫描驱动器电路的情况下的电路配置的图(移位寄存器电路)。
图23是示出根据本发明的在液晶显示面板中使用TFT形成扫描驱动器电路的情况下的电路配置的图(缓冲器电路)。
图24A至24C是示出根据本发明的形成膜图案的步骤的剖视图。
图25A至25D是示出根据本发明的制造半导体器件的步骤的剖视图。
图26A至26D是示出根据本发明的制造半导体器件的步骤的剖视图。
图27A至27B是是示出根据本发明的制造半导体器件的步骤的剖视图。
图28A和28B是示出可用于本发明的微滴排放方法的示图。
图29是示出具有低润湿性的区域和高润湿性的区域的接触角的图。
图30A和30B是示出根据本发明的发光显示模块的结构的示图。
图31A至31F是示出可用于本发明的发光元件的模式的图。
图32A至32C是示出根据本发明的形成膜图案的步骤的剖视图。
图33A至33C是示出根据本发明的形成膜图案的步骤的剖视图。
图34A至34C是示出根据本发明的形成膜图案的步骤的剖视图。
具体实施方式
虽然将通过参考附图用例子来充分描述本发明,但是要理解对于本领域的技术人员来说各种变化和修改是显而易见的。因此,除非这种变化和修改背离了下文中定义的本发明的范围,否则,它们都应包含于本发明之中。注意,实施方式中的相同的部分以相同的参考标号标注,并省略对其的详细说明。
[实施方式1]
在该实施方式中,参考图1描述使用具有低润湿性的掩模图案形成具有所希望的形状的膜图案的步骤。注意,该实施方式中所描述的掩模图案是用于形成膜图案的掩模图案。
如图1A所示,基板101上形成第一膜102。通过微滴排放方法、喷墨方法等在第一膜上形成具有低润湿性的第一掩模图案103。在此,微滴排放方法用作为形成掩模图案的方法。
作为基板101,可使用玻璃基板、石英基板、以诸如氧化铝之类的绝缘物质形成的基板、能够抵抗后续步骤的处理热量的塑料基板、硅晶片、金属基板等等。在该情况下,较佳的是形成绝缘膜,用于防止杂质等从基板侧(如氧化硅(SiOx)、氮化硅(SiNx)、氧氮化硅(SiOxNy)(x>y)、以及氧氮化硅(SiNxOy)(x>y)膜)分散。也可使用上面形成有诸如氧化硅和氮化硅之类的绝缘膜的诸如不锈钢之类的金属板或半导体基板。又,可使用320×400mm、370×470mm、550×650mm、600×720mm、680×880mm、1000×1200mm、1100×1250mm、或1150×1300尺寸的基板作为基板101。在此,使用玻璃基板作为基板101。
在使用塑料基板作为基板101的情况下,较佳的是使用具有较高的玻璃化转温度的PC(聚碳酸酯)、PES(聚乙烯砜)、PET(聚对苯二甲酸乙酯)、PEN(聚萘二甲酸乙酯)等。
作为第一膜102,可使用通过喷涂方法、汽相淀积方法、CVD方法、施加方法等形成的绝缘层、导电层以及半导体层中的任一种。已知的无机绝缘材料或有机绝缘材料可适当地用于由绝缘层形成的第一膜102。作为代表,可通过微滴排放方法、施加方法或印刷方法形成SiO2和具有Si-CH3键的同类物等,典型地为聚酰亚胺、聚酰胺、聚酯、丙烯酸、PSG(磷硅酸盐玻璃)、BPSG(硼磷硅酸盐玻璃)、膜、硅酸盐SOG(玻璃上旋涂)、烷氧基硅酸盐SOG、聚硅氮烷SOG、以及硅氧烷聚合物。又,可通过PVD(物理汽相淀积)方法、CVD(化学汽相淀积)方法、以及热氧化方法形成氮化硅、氧氮化硅、氧化硅等。而且,可通过汽相淀积方法、阳极氧化方法等形成诸如Ag、Cu、Ni、Pt、Pd、Ir、Rh、W、Al、Ta、Mo、Cd、Zn、Fe、Ti、Si、Ge、Zr以及Ba之类的金属氧化物。在此,通过喷涂方法形成氧化硅膜。
作为用于由导电层形成的第一膜102的材料,可使用Ag、Au、Cu、Ni、Pt、Pd、Ir、Rh、W、Al、Ta、Mo、Cd、Zn、Fe、Ti、Si、Ge、Zr、Ba等的金属、合金或金属氮化物。此外,可适当地使用用于透光导电膜的氧化铟锡(ITO)、氧化锌(ZnO)、氧化铟锌(IZO)、镓掺杂的氧化锌(GZO)、包含氧化硅的氧化铟锡、有机铟、有机锡等。此外,可使用包含1至20%的镍的铝。在此,使用铝形成第一导电层。
作为用于由半导体层形成的第一膜102的材料,可形成具有使用硅、锗化硅(SiGe)等的非晶形态半导体、具有非晶形态和晶态的半非晶形态半导体、在非晶形态半导体中可观察到0.5至20nm的晶粒微晶半导体、以及晶体半导体中的任何一个的膜。此外,也可使用诸如聚亚噻吩亚乙烯(polythienylenevinylene)、聚2,5-亚噻吩亚乙烯(poly(2,5-thienylene vinylene))、聚乙炔、聚乙炔衍生物、以及聚芳撑亚乙烯基(polyarylene vinylene)之类的有机半导体材料。
在此,通过CVD方法形成氧化硅膜作为第一膜。
第一掩模图案充当用于形成在以后形成的膜图案的掩模。因此,较佳的是第一掩模图案具有低润湿性。
通过形成在预定位置具有高润湿性的绝缘层并在表面上照射氟等离子体,形成第一掩模图案103。又,可通过提供具有电介质并产生等离子体的电极来进行等离子处理,使得暴露于使用空气、氧气或氮气的等离子体。在该情况下,不要求电介质覆盖电极的整个表面。作为电介质,可使用含氟树脂。通过使用含氟树脂,在绝缘层的表面上形成CF2键,从而表面特性被调制,且润湿性降低。
作为用于绝缘膜的材料,可使用通过将诸如聚乙烯醇(PVA)之类的水溶性树脂与H2O溶液混合而获得的材料。而且,也可混合PVA和其它水溶性树脂。此外,可使用诸如丙烯酸类树脂、聚酰亚胺树脂、三聚氰胺树脂、聚脂树脂、聚碳酸酯树脂、酚醛树脂、环氧树脂、聚缩醛树脂、聚醚、聚氨酯、聚酰胺(尼龙)、呋喃树脂、邻苯二甲酸二烯丙脂树脂、以及抗蚀剂等之类的有机树脂。
可通过微滴排放方法、丝网(模板)印刷方法、胶印(平版)印刷方法、凸版印刷方法、或凹版(凹雕)印刷方法等来形成绝缘层。从而,可在预定位置形成绝缘层。
可通过施加或排放具有低润湿性的材料来形成第一掩模图案103。具有低润湿性的材料典型的为具有碳氟链的化合物。具有碳氟链的该化合物例如是由化学式:Rn-Si-X(4-n)(n=1、2和3)表示的硅烷偶联剂。在此,R包含诸如烃基之类的相对较惰性的基团(group)。此外,X表示水解基团,它与接地基板表面上的吸附的水或羟基缩合而结合,如卤素、甲氧基、乙氧基或乙酰氧基等。
通过使用具有适合于R的氟烷基的氟硅烷偶联剂(氟烷基硅烷(FAS))作为代表性的硅烷偶联剂的例子,可降低润湿性。FAS的R具有可表示为(CF3)(CF2)x(CH2)y的结构(x:从0至10的整数,y:从0至4的整数)。在多个R或X结合于Si的情况下,R或X可以全部相同或不同。具有代表性地来说,FAS是诸如十七氟四氢癸基三乙氧基硅烷、十七氟四氢癸基三氯硅烷、十三氟四氢辛基三氯硅烷以及三氟丙基三甲氧基硅烷之类的氟烷基硅烷(下文中称为FAS)。
作为具有低润湿性的溶剂,使用诸如正戊烷、正己烷、正庚烷、正辛烷、正癸烷、二环戊烷、苯、甲苯、二甲苯、四甲基苯、茚、四氢化萘、十氢化萘、以及鲨烯或四氢呋喃之类的烃类溶剂。
作为具有低润湿性的化合物的例子,可使用具有碳氟化合物链的材料(含氟树脂)。作为含氟树脂,可使用聚四氟乙烯(PTFE;聚四氟乙烯树脂)、全氟烷氧基硅链烷(perfluoroalkoxyalkane)(PFA;四氟乙烯-全氟烷基乙烯基醚共聚树脂)、全氟乙烯丙烯共聚物(PFEP;四氟乙烯六氟丙烯共聚物树脂)、乙烯-四氟乙烯共聚物(ETFE;四氟乙烯-乙烯共聚物树脂)、聚偏二氟乙烯(PVDF;聚偏二氟乙烯树脂)、聚三氟氯乙烯(PCTFE;聚三氟氯乙烯树脂)、乙烯-三氟氯乙烯共聚物(ECTFE;聚三氟氯乙烯-乙烯共聚物树脂)、聚四氟乙烯-全氟间二氧杂环戊烯(perfluorodioxol)共聚物(TFE/PDD)、聚氟乙烯(PVF;氟乙烯树脂)等。
接着,使用乙醇清洁附有低润湿性材料的表面,从而可形成相当薄的且具有低润湿性的第一掩模图案。
在形成具有精细形状的膜图案的情况中,较佳的是在第一膜102上形成的第一掩模图案103具有如图7A所示的闭环形状。在该情况下,如图7B所示,在具有闭环形状的掩模图案中排放具有高润湿性的材料111,然后进行干燥和烘焙处理。因此,如图7C所示,可以任意的形状形成具有高润湿性的膜图案121。在图7C中,去除掩模图案,掩模图案的化合物122存在于薄膜的表面上。
用于微滴排放方法的喷嘴的直径设置为0.1至50μm(较佳的为0.6至26μm),从喷嘴排放的化合物的量设置为0.00001至50pl(较佳的为0.0001至10pl)。该量随着喷嘴的直径而成比例地增加。而且,较佳的是正被处理的对象与喷嘴的排放口尽可能地靠近,以将微滴排到所希望的位置,距离较佳地设置为0.1至2mm。
注意,用于微滴排放方法的化合物的粘度较佳的为300mPa·s或更少,或更佳的为50mPa·s或更少,以用于防止干燥和用于从排放口平缓地排放化合物。注意到,可根据所使用的溶剂和施加方式来适当地控制化合物的粘度、表面张力等。
如图1B所示,与第一掩模图案相比具有高润湿性的材料111被施加在第一膜102上的第一掩模图案103内。
在此,参考图29描述具有低润湿性的区域和具有高润湿性的区域之间的关系。具有低润湿性的区域(图29中的第一掩模图案103)是如图29所示的相对于第一膜102的表面的液体接触角θ1大的区域。在该表面上,液体以半球面形状被排斥。另一方面,具有高润湿性的区域(图1B中的具有高润湿性的材料111形成的区域)是相对于第一膜102的表面的液体接触角θ2小的区域。在该表面上,液体像是要扩展。
因此,在具有不同接触角的两个区域彼此接触的情况中,具有相对较小的接触角的区域成为具有高润湿性的区域,而具有较大接触角的区域成为具有低润湿性的区域。在该两个区域上施加或排放溶剂时,溶剂在具有高润湿性的区域的表面上扩展,而在具有低润湿性的区域和具有高润湿性的区域之间的边界上缩退为半球面形状。
较佳的是,具有低润湿性的区域的接触角θ1和具有高润湿性的区域的接触角θ2之间的差为30°或更大,更佳的为40°或更大。结果,具有高润湿性的区域的材料在具有低润湿性的区域的表面上以半球面形状被排斥,从而,可以自对准的方式形成每个掩模图案。因此,在描述为用于形成第一掩模图案103的材料的物质和方法之中,在接触角之间的差为30°或更大,或更佳的为40°或更大的情况下,由具有较小接触角的材料形成的区域变为具有高润湿性的区域,而具有较大接触角的区域变为具有低润湿性的区域。类似地,在随后将描述为作为具有高润湿性的材料111的物质之间,在接触角之间的差为30°或更大,或更佳的为40°或更大的情况下,由具有较小接触角的材料形成的区域变为具有高润湿性的区域,而由具有较大接触角的材料形成的区域变为具有低润湿性的区域。
在表面具有凸起和凹陷的情况下,在具有低润湿性的区域中,接触角变得更小。也就是说,润湿性被降低。另一方面,在具有高润湿性的区域中,接触角变得更大。也就是说,润湿性提高。因此,通过在具有凸起和凹陷的每个表面上施加或排放具有低润湿性的材料和具有高润湿性的材料,并进行烘焙处理,可形成端部均匀的层。
作为具有高润湿性的材料111,可适当地使用与第一掩模图案相比具有高润湿性的绝缘材料、导电材料以及半导体材料。作为代表,绝缘材料是诸如丙烯酸类树脂、聚茚树脂、三聚氰胺甲醛树脂、聚酯树脂、聚碳酸酯树脂、酚醛树脂、环氧树脂、聚缩醛树脂、聚醚、聚氨酯、聚酰胺(尼龙)、呋喃树脂以及邻苯二甲酸二烯丙脂树脂之类的有机树脂,也可以使用硅氧烷聚合物、聚硅氮烷、PSG(磷硅酸盐玻璃)、BPSG(硼磷硅酸盐玻璃)。
由,也可使用水、酒精溶液、醚溶液、使用诸如二甲基甲酰胺、二甲基乙酰胺、二甲亚砜、N-甲吡咯烷酮、六甲基磷胺(hexamethylphosphamidon)、氯仿、二氯甲烷之类的溶剂的溶液。
此外,可使用溶剂中溶解或分散的导体作为导电材料的代表。作为导体,可使用诸如Ag、Au、Cu、Ni、Pt、Pd、Ir、Rh、W、Al、Ta、Mo、Cd、Zn、Fe、Ti、Si、Ge、Zr以及Ba之类的金属、卤化银微粒、或可分散的毫微粒。可选地,可使用ITO、包含用于光透射膜的氧化硅、有机铟、有机锡、氧化锌(ZnO)、氮化钛的ITO等。
此外,也可使用溶解或分散的上述导体中的多种。
作为半导体材料的代表,可使用有机半导体材料。较佳的是,具有共轭双键作为主链的π-电子共轭高分子量材料用作为有机半导体材料。代表性地来说,可使用诸如聚噻吩、聚(3-烷基噻吩)、聚噻吩衍生物以及并五苯之类的可熔的高分子量材料。
可通过微滴排放方法、喷墨方法、旋涂涂敷方法、辊涂方法、槽隙涂敷方法等来施加与第一掩模图案相比具有较高润湿性的材料。
接着,如图1C所示,通过对与第一掩模图案相比具有较高润湿性的材料进行干燥和烘焙,形成膜图案121。因此,在绝缘膜具有高润湿性的情况下,膜图案形成为具有所希望的形状的绝缘层。此外,在导电材料具有高润湿性的情况下,膜图案形成为具有所希望的形状的导电层。在半导体材料具有高润湿性的情况下,膜图案形成为具有所希望的形状的半导体层。注意,在该步骤中,第一掩模图案的溶剂被蒸发,在第一膜102的表面上留下化合物或渗透入第一膜102。注意到,可通过诸如使用氧气进行灰化、湿蚀刻、干蚀刻之类的已知蚀刻方法来去除留在第一膜102的表面上的化合物。在图1C中,122表示渗透入第一膜102中的掩模图案的化合物。在该步骤中,可根据具有高润湿性的材料适当地进行干燥和烘焙。
作为上述步骤的替代,在干燥第一掩模图案的溶剂之后可施加具有高润湿性的材料。也就是说,在通过使用具有低润湿性的材料在第一膜102上形成第一掩模图案103之后,如图24B所示,干燥第一掩模图案。此时,第一掩模图案的化合物留在第一掩模的表面上,或渗透入膜。在图24B中,122表示渗透入第一掩模图案的化合物的区域。接着,如图24C所示,排放一种与第一掩模图案相比具有高润湿性的材料。在该情况下,第一掩模图案的化合物122留在形成第一掩模图案的区域中,因此,与第一掩模图案相比具有较高润湿性的材料被排斥,并被选择性地施加,如图24C所示。此后,对与第一掩模图案相比具有较高润湿性的材料进行适当地干燥或烘焙,以形成膜图案121。
通过上述步骤,不用使用已知的光刻处理就能形成具有所希望的形状的膜图案。因此,可显著地减少制造步骤的数量。
[实施方式2]
在该实施方式中,参考图32A至32C描述使用由用于形成排斥液体表面的材料形成的掩模图案形成具有所希望的形状的膜图案的步骤。注意,该实施方式中所描述的掩模图案是用于形成膜图案的掩模图案。
如图32A所示,基板1001上形成第一膜1002。通过微滴排放方法、喷墨方法等在第一膜上形成保护膜(第一掩模图案)1003。在此,微滴排放方法用于形成掩模图案。较佳的是,第一膜1002具有亲液表面。在基板1001具有亲液表面的情况中,不要求形成第一膜。
基板1001可以是玻璃基板、石英基板、以诸如氧化铝之类的绝缘物质形成的基板、能够抵抗后续步骤的处理热量的塑料基板、硅晶片、金属基板等等。在该情况下,较佳的是形成绝缘膜,用于防止杂质等从基板侧(如氧化硅(SiOx)、氮化硅(SiNx)、氧氮化硅(SiOxNy)(x>y)、以及氧氮化硅(SiNxOy)(x>y)膜)分散。此外,也可使用表面形成有诸如氧化硅或氮化硅之类的绝缘膜的诸如不锈钢之类的金属板或半导体基板。
较佳的是第一膜102具有亲液表面。虽然在此示出了膜,但是也可使用具有亲液表面的构件。
可通过使用用于形成亲液表面的溶液来形成第一掩模图案103。用于形成亲液表面的溶液的化合物典型的为由化学式:Rn-Si-X(4-n)(n=1、2和3)表示的硅烷偶联剂。在此,R包含诸如烃基之类的相对较惰性的基团(group)。此外,X表示水解基团,它与接地基板表面上的吸附的水或羟基缩合而结合,如卤素、甲氧基、乙氧基或乙酰氧基等。
通过使用具有适合于R的氟烷基的氟硅烷偶联剂(氟烷基硅烷(FAS))作为代表性的硅烷偶联剂的例子,可提高液体排斥性。FAS的R具有可表示为(CF3)(CF2)x(CH2)y的结构(x:从0至10的整数,y:从0至4的整数)。在多个R或X结合于Si的情况下,R或X可以全部相同或不同。具有代表性地来说,FAS是诸如十七氟四氢癸基三乙氧基硅烷、十七氟四氢癸基三氯硅烷、十三氟四氢辛基三氯硅烷以及三氟丙基三甲氧基硅烷之类的氟烷基硅烷(下文中称为FAS)。
作为用于形成排斥液体表面的溶剂,使用诸如正戊烷、正庚烷、正辛烷、正癸烷、二环戊烷、苯、甲苯、二甲苯、四甲基苯、茚、四氢化萘、十氢化萘、以及鲨烯或四氢呋喃之类的烃类溶剂。
作为用于形成排斥液体表面的化合物的例子,可使用具有碳氟化合物链的材料(含氟树脂)。作为含氟树脂,可使用聚四氟乙烯(PTFE;聚四氟乙烯树脂)、全氟烷氧基烷perfluoroalkoxyalkane(PFA;四氟乙烯-全氟烷即乙烯基醚共聚树脂)、全氟乙烯丙烯共聚物(PFEP;四氟乙烯六氟丙烯共聚物树脂)、乙烯-四氟乙烯共聚物(ETFE;四氟乙烯-乙烯共聚物树脂)、聚偏二氟乙烯(PVDF;聚偏二氟乙烯树脂)、聚氯三氟乙烯(PCTFE;聚三氟氯乙烯树脂)、乙烯-三氟氯乙烯共聚物(ECTFE;聚三氟氯乙烯-乙烯共聚物树脂)、聚四氟乙烯-全氟间二氧杂环戊烯共聚物(TFE/PDD)、聚氟乙烯(PVF;氟乙烯树脂)等。
也可使用不形成排斥液体表面(即形成亲液表面)的有机材料,在该情况下,有机材料应用CF4等离子体等进行处理,以获得液体排斥性。例如,在等离子体处理之前,可使用通过将诸如聚乙烯醇(PVA)之类的水溶性树脂与H2O等溶液混合而获得的材料。而且,也可组合使用PVA和其它水溶性树脂。注意,即使在掩模图案具有排斥液体表面的情况下,也可通过进行等离子体处理等进一步加强排斥性。
在形成具有精细形状的膜图案的情况中,较佳的是在具有亲液表面的第一膜1002上形成的第一掩模图案1003具有闭环形状。在该情况下,如图33B所示,在具有闭环形状的掩模图案中排放第二溶液1011,然后进行干燥和烘焙处理。因此,如图33C所示,可形成具有任意的形状的膜图案1021。在图33C中,去除掩模图案,掩模图案的化合物1022留在亲液表面上。
用于微滴排放方法的喷嘴的直径设置为0.1至50μm(较佳的为0.6至26μm),从喷嘴排放的化合物的量设置为0.00001至50pl(较佳的为0.0001至10pl)。该量随着喷嘴的直径而成比例地增加。而且,较佳的是正被处理的对象与喷嘴的排放口尽可能地靠近,以将微滴排到所希望的位置,距离较佳地设置为0.1至2mm。
注意,用于微滴排放方法的化合物的粘度较佳的为300mPa·s或更少,或更佳的为50mPa·s或更少,以用于防止干燥和用于从排放口平缓地排放化合物。注意到,可根据所使用的溶剂和施加方式来适当地控制化合物的粘度、表面张力等。
如图32B所示,第二溶液1011施加在第一掩模图案1003内。作为第二溶液,可使用亲液溶剂。作为代表,亲液溶液是诸如丙烯酸类树脂、聚茚树脂、三聚氰胺甲醛树脂、聚脂树脂、聚碳酸酯树脂、酚醛树脂、环氧树脂、聚缩醛树脂、聚醚、聚氨酯、聚酰胺(尼龙)、呋喃树脂以及邻苯二甲酸二烯丙脂树脂之类的有机树脂,也可以是硅氧烷和聚硅氮烷。由,也可使用水、酒精溶液、醚溶液、使用诸如二甲基甲酰胺、二甲基乙酰胺、二甲亚砜、N-甲基吡咯烷酮、六甲基磷胺、氯仿、二氯甲烷之类的溶剂的溶液。可通过微滴排放方法、喷墨方法、旋涂涂敷方法、辊涂方法、槽隙涂敷方法等来施加第二溶液。
接着,如图32C所示,通过对第二溶液1011进行干燥和烘焙,形成膜图案1021。在该过程中,掩模图案的溶剂被蒸发,在第一膜1002的表面上留下化合物或渗透入膜。注意到,可通过诸如使用氧气进行灰化、湿蚀刻、干蚀刻之类的已知蚀刻方法来去除留在第一膜1002的表面上的化合物。在图32C中,1022表示渗透入第一膜1002中的掩模图案的化合物。在该步骤中,可根据第二溶液的材料适当地进行干燥和烘焙。
作为上述步骤的替代,在干燥第一掩模图案的溶剂之后可施加第二溶液。也就是说,在通过如图34A所示使用用于形成排斥液体表面的溶液在第一膜1002上形成第一掩模图案1003之后,如图34B所示,干燥第一掩模图案。此时,第一掩模图案的化合物留在第一膜1002的表面上,或渗透入膜。在图34B中,1022表示第一掩模图案的化合物渗透入第一膜的区域。接着,如图34C所示,施加亲液的第二溶液。在该情况下,第一掩模图案的化合物1022留在形成第一掩模图案的区域中,因此,第二溶液被排斥,并被选择性地施加,如图34C所示。此后,对第二溶液进行适当地干燥或烘焙,以形成第二膜图案1021。
通过上述步骤,不用使用已知的光刻处理就能形成具有所希望的形状的膜图案。因此,可显著地减少制造步骤的数量。
[实施方式3]
下面的实施方式和实施例将参考实施方式1进行描述。然而,也可适当地适用实施方式2。
在该实施方式中,参考图2A至2C描述使用具有低润湿性的第一掩模图案和具有高润湿性的第二掩模图案形成具有所需形状的膜图案的步骤。注意,该实施方式中描述的第一掩模图案是用于形成第二掩模图案的掩模图案。第二掩模图案是用于蚀刻的掩模图案。
如图2A所示,第一基板101上形成第一膜201,第一膜201上形成第二膜202。对第一膜使用适当的材料。作为第二膜,可使用与实施方式1中第一膜102的材料类似的材料。
接着,通过微滴排放方法施加具有低润湿性的材料来在第二膜202上形成具有低润湿性的第一掩模图案103。此时,具有高润湿性的材料与具有低润湿性的材料一起被干燥和烘焙。
接着,如图2B所示,施加具有高润湿性的材料,以形成具有高润湿性的第二掩模图案212。具有高润湿性的材料比具有低润湿性的材料具有更高的润湿性,因此,在与第一掩模图案103接触的部分,它被排斥。如图2B所示,具有高润湿性的材料施加在不形成第一掩模图案的区域中。可通过微滴排放方法、喷墨方法、旋涂涂敷方法、辊涂方法、槽隙涂敷方法等来施加具有高润湿性的材料。此后,按需对具有高润湿性的材料进行干燥和烘焙。因此,可形成作为用于蚀刻的掩模图案的第二掩模图案212。
接着,如图2C所示,去除第一掩模图案103。在该实施方式中,通过灰化来去除第一掩模图案103。此后,通过诸如干蚀刻和湿蚀刻之类的已知方法蚀刻第二膜的暴露区域,从而可形成具有所希望的形状的膜图案221。在第一掩模图案具有柱形或圆柱形形状的情况下,膜图案具有接触孔。
如图2D所示,可通过去除第二掩模图案暴露具有所希望的形状的膜图案221。
通过上述过程,不用使用已知的光刻处理就能形成具有所希望的形状的膜图案。因此,可显著地减少制造步骤的数量。此外,与传统技术相比,可以更少的步骤形成膜图案或良好的接触孔。
[实施方式4]
下文中描述的是半导体元件的制造方法。注意,在该实施方式中,采用TFT作为半导体元件的例子,然而,本发明不限于此。作为有机半导体晶体管,可使用二极管、MIM元件、存储元件、光电转换器、电容器、电阻器等。
在该实施方式中,参考图3A至3D描述使用本发明的形成沟道蚀刻型TFT作为用作半导体元件的反向参差的TFT的代表的步骤。
如图3A所示,在基板101上形成栅极电极301。通过微滴排放方法、印刷方法、电场电镀方法、PVD方法、CVD方法形成栅极电极301。在通过使用PVD方法和CVD方法形成导电层的情况中,通过实施方式3中的方法或光刻处理,在导电层上形成掩模图案,从而通过蚀刻成所希望的形状来形成栅极电极。在该实施方式中,在基板上选择性地排放包含导电材料的化合物。在该情况中,作为蚀刻步骤,不要求使用掩模图案,可显著地简化制造步骤。
在通过微滴排放方法形成栅极电极的情况中,从排放孔排放的化合物可以是从溶解或分散于溶剂中的实施方式1中所描述的具有低润湿性的材料中选择出的导体。此外,可通过叠层导电层来形成栅极电极301。
考虑到电阻率,从排放孔排放出的化合物较佳的是溶解或分散于溶剂中的金、银或铜中的任一种。更佳的是,使用电阻率低且成本低的银或铜。然而,在使用铜的情况下,较佳的是相结合地形成阻挡膜,以防止杂质。溶剂可以是诸如如醋酸丁酯和乙酸乙酯之类的酯、如异丙醇和乙醇之类的醇、如甲基乙基甲酮和丙酮之类的有机溶剂等。
作为阻挡层,在使用铜作为布线的情况下,较佳的使用诸如氮化硅、氧氮化硅、氮化铝、氮化钛和氮化钽(TaN)之类的包含氮的绝缘的或导电的物质。也可通过微滴排放方法形成上述物质。
注意,用于微滴排放方法的化合物的粘度较佳的为5至20mPa·s,用于防止干燥和从排放口平滑排放化合物。较佳的是,表面张力为40mN/m或更小。注意,可根据使用的溶剂和施加方式适当地控制化合物的粘度等。作为例子,通过将氧化铟锡(ITO)、氧化锌(ZnO)、氧化铟锌(IZO)、镓掺杂的氧化锌(GZO)、包含氧化硅的氧化铟锡、或有机锡溶解或分散于溶剂中获得的化合物的粘度为5至20mPa·s,通过将银溶解或分散于溶剂中获得的化合物的粘度为5至20mPa·s,以及通过将金溶解或分散于溶剂中获得的化合物的粘度是10至20mPa·s。
较佳的是,导体的颗粒的直径尽可能地小,以防止喷嘴阻塞并用于形成精细的图案,虽然这是与每个喷嘴的直径和所希望的图案形状有关的。较佳的是,颗粒的直径为0.1μm或更小。通过诸如电解方法、雾化方法以及湿还原方法之类的已知方法来形成化合物。颗粒尺寸一般为大约0.5至10μm。然而,当通过气相蒸发方法形成导体时,分散剂所保护的毫微分子大约是7nm,这是非常小的。当通过涂敷剂覆盖毫微颗粒的表面时,毫微颗粒在溶剂中不会凝结。室温下毫微颗粒稳定地分散。也就是说,毫微颗粒实质上呈现出与液体相同的性态。因此,较佳的是使用涂敷剂。
可在低压力下进行排放化合物的步骤。这是因为,排放的化合物的溶剂挥发直到它降落在正被处理的对象上,因此可省略或缩短用于干燥和烘焙的后续步骤。在排放合成物之后,利用激光照射、快速热退火、热熔炉等,在大气压力或低压下进行干燥和烘焙步骤之一或两者。虽然干燥和烘焙的目的、温度和时间不同,但是两者都是热处理。例如,以100℃进行三分钟的干燥,以200至350℃进行15至120分钟的烘焙。为了顺利地执行干燥和烘焙步骤,可加热基板,其温度可设置在100至800℃(较佳的是200至350℃),虽然这取决于基板的材料等。通过该步骤,溶液中的溶剂挥发,或者化学地去除分散剂,周围的树脂固化且收缩,从而加速了熔融和焊接。该步骤在氧气环境、氮气环境或空气中进行。然而,较佳的是在氧气环境中进行该步骤,在该环境中,容易去除溶解或分散有金属元素的溶剂。
注意,通过微滴排放方法形成的导电层是通过三维地随机叠加微细颗粒来形成的。也就是说,由三维块集颗粒形成导电层。
因此,其表面具有细微的凸起和凹陷。此外,当通过光吸收层的热及其保温时间烘焙细微的颗粒时,颗粒的粒径增大。因此,形成了具有大的凸起和凹陷的层。
可通过使用连续振荡或脉冲振荡气体激光器或固态激光器来照射激光。作为前者的激光器,使用准分子激光器、YAG激光器等,使用诸如掺杂有Cr、Nd等的YAG和YVO4之类的晶体的激光器用作为后者的固态激光器。考虑到激光的吸收率,较佳的是使用连续振荡激光器。此外,也可使用称为混合激光照射方法的方法,其中脉冲振荡和连续振荡相组合。虽然,取决于基板的抗热特性,较佳的是通过瞬间照射激光数微秒至数十秒来施加热处理。通过使用红外灯或用于照射紫外光至红外光或卤素灯快速地升高温度,瞬时地施加热量达数微秒至数分钟,在惰性气体中进行快速热退火(RTA)。瞬时地进行该处理,因此,有利的是仅最外面的表面的薄膜实质上被加热,而下层膜不受到影响。
接着,在栅极电极301上形成栅极绝缘膜302。通过使用诸如等离子CVD方法或溅射方法之类的薄膜形成方法,由包含氮化硅、氧化硅以及其它硅化物的绝缘膜的单层或多层结构来形成栅极绝缘膜302。较佳的是,以氮化硅膜(氧氮化硅膜)、氧化硅膜、氮化硅膜(氧氮化硅膜)的顺序从与栅极电极层接触的一侧叠层地形成栅极绝缘层。利用该结构,栅极电极与氮化硅膜接触,因此,可防止由于氧化造成劣化。
接着,在栅极绝缘膜302上形成第一半导体膜303。作为第一半导体膜303,使用具有非晶形态半导体、非晶形态和晶态混合的半非晶形态半导体(也称为SAS)、在非晶形态半导体中可观察到0.5至20nm的晶粒的微晶半导体、以及晶体半导体中的任何一个的膜。特别地,可观察到0.5至20nm的晶粒的微晶称为微晶体。可由10至60nm厚的半导体膜形成包含硅、锗化硅(SiGe)等的膜。
SAS具有介于非晶结构和晶体结构(包括单晶和多晶结构)之间的中间结构,它是具有自由能稳定的第三状态的半导体。又,SAS包括具有近程有序和晶格畸变的结晶区域。在硅是主要成份的情况下,可至少在膜的一部分中观察到0.5至20nm的结晶区域,并且拉曼光谱从520cm-1向低频侧偏移。通过X射线衍射测量到(111)和(220)的衍射峰值,这是由Si晶格引起的。又,包含至少1原子%的氢或氦,以便终止悬空键(dangling bond)。
通过辉光放电(等离子CVD)淀积硅气体来形成SAS。硅气体典型的为SiH4、以及Si2H6、SiH2Cl2、SiHCl3、SiCl4、SiF4等。通过用氢或氢和诸如氦、氩、氪、氖之类的惰性气体中的一个或多个来稀释硅,可容易地形成SAS。较佳地用10至1000倍的稀释比来稀释硅气体。通过辉光放电分解进行的膜的反应产生可在0.1至133Pa的压力下进行。辉光放电可以1至120MHz的功率形成,更佳的是用13至60MHz的RF功率形成。较佳的是,用于加热基板额定温度为300℃或更低,更佳的为100至250℃。
又,可通过加热或照射激光使非晶形态半导体结晶化来形成晶体半导体。此外,可直接形成晶体半导体。在该情况下,使用诸如GeF4或F2之类的含氟气体和诸如SiH4和Si2H6之类的硅烷气体,通过使用热或等离子来直接形成晶体半导体膜。
接着,形成导电的第二半导体膜304。在形成n沟道型TFT的情况下,导电的第二半导体膜304中添加了来自元素周期表的第15族中的元素,代表性的为磷或砷。在形成p沟道型TFT的情况中,添加来自元素周期表的第13族的元素,代表性的为硼。使用添加有含来自第13族或15族的诸如硼、磷和砷之类的元素的气体的硅气体,通过等离子CVD方法,淀积第二半导体膜304。在形成半导体膜之后,可通过在半导体膜上施加含有来自第13或15族的元素的溶液并照射激光来形成导电的第二半导体膜。作为激光,适当地使用来自已知的脉冲振荡激光器或连续振荡激光器的激光。
接着,通过微滴排放方法在导电的第二半导体膜304上形成第一掩模图案305。较佳的是,第一掩模图案305由具有芳香环和杂环作为主链结构、具有较少脂族部分、并包含杂原子团的耐热的高分子量的材料形成。这种高分子量材料典型的为聚酰亚胺或聚苯并咪唑。在使用聚酰亚胺的情况中,从喷嘴向第二半导体膜304上排放含聚酰亚胺的化合物,在200℃烘焙30分钟。
接着,通过使用第一掩模图案305,蚀刻第一半导体膜303和第二半导体膜304,形成具有所希望的形状的第一半导体区域312和第二半导体区域313。作为蚀刻气体,可使用由Cl2、BCl3、SiCl4、CCl4等表示的含氯气体、由CF4、SF6、NF3、CHF3等表示的含氟气体、或O2。蚀刻后,去除第一掩模图案305。
接着,通过微滴排放方法排放导电材料,在第二半导体区域313上形成源极电极和漏极电极314。作为导电材料,可使用与溶解或分散于溶剂中的栅极电极301的材料类似的材料。在此,选择性地排放含Ag的化合物(下文中称为Ag膏(paste)),通过照射激光或热处理适当地进行干燥和烘焙处理,以形成每个厚度为600至800nm的电极。
通过在O2中进行烘焙处理,包含于Ag膏中的诸如粘合剂(热固化树脂)等之类的有机物质被分解,从而可形成几乎没有有机物质的Ag膜。而且,可使膜表面平坦化。通过在低压下排放Ag膏,膏中的溶剂挥发,可省略随后的热处理或可缩短热处理时间。
通过在利用溅射方法预先淀积导电膜并通过微滴排放方法形成掩模图案之后,通过蚀刻形成源极淀积和漏极淀积314。可使用上述材料来形成掩模图案。
接着,如图3C所示,通过利用源极电极和漏极电极314作为掩模,蚀刻第二半导体区域,使第一半导体区域312暴露。在此,通过蚀刻分离的第二半导体区域以第三半导体区域321标注。对于蚀刻条件,适当地适用上述的条件。此外,在该实施方式中,通过使用源极电极和漏极电极来蚀刻第二半导体区域,然而,本发明不限于该步骤,可形成上述掩模图案来用于蚀刻半导体膜。
注意,可通过印刷方法、喷射方法、旋涂方法、微滴排放方法等,使用有机半导体材料形成第一半导体区域312。在该情况下,不要求如上所述的蚀刻步骤,可减少步骤数。用于本发明的有机半导体材料较佳的是具有共轭双键作为主链的π-电子共轭高分子量材料。代表性地来说,可使用诸如聚噻吩、聚(3-烷基噻吩)、聚噻吩衍生物以及并五苯之类的可熔的高分子量材料。作为可用于本发明中的有机半导体材料,存在可用于在淀积可熔的母体(precursor)之后通过处理形成第二半导体区域的材料。通过母体形成的这种有机半导体材料是聚亚噻吩亚乙烯、聚2,5-亚噻吩亚乙烯、聚乙炔、聚乙炔衍生物、以及聚芳撑亚乙烯基等。
在将母体转换成有机半导体的过程中,添加诸如氯化氢气体之类的反应催化剂,以及施加热处理。用于溶解这些可熔的有机半导体材料的溶剂典型的是甲苯、二甲苯、氯苯、二氯苯、苯甲醚、氯仿、二氯甲烷、γ-丁基内酯、丁基溶纤剂、环己烷、NMP(N-甲基-2-吡咯烷酮)、环己酮、2-丁酮、二噁烷、二甲基甲酰胺(DMF)、或THF(四氢呋喃)。
在使用有机半导体用于第一半导体区域312的情况下,可形成由诸如聚乙炔、聚苯胺、PEDOT(聚乙二氧撑噻吩(poly-ethylyenedioxythiophen))、以及PSS(聚苯乙烯磺酸酯(poly-styrenesulphonate))之类的有机导电材料形成的导电层。
而且,可使用金属元素形成的导电层代替第三半导体区域321。在该情况下,由于大多数有机半导体材料具有以空穴作为传送电荷的物质的载流子的p型半导体,较佳的是使用逸出功高的金属,以便获得与半导体层的欧姆接触。
具体来说,金、铂、铬、钯、铝、铟、钼、镍等的金属、合金等是较佳的。通过使用利用这些金属或合金材料的导电膏,可通过印刷方法、辊涂方法和微滴排放方法来形成导电层。
此外,由有机半导体材料形成的第一半导体区域、由有机导电材料形成的导电层、由金属元素形成的导电层可叠层。
在第一半导体区域312由SAS形成的情况下,可采用源极区域和漏极区域的端部与栅极电极的端部形成相同平面的自对准结构,以及源极区域和漏极区域覆盖栅极区域的结构。此外,可采用这样一种结构,其中源极区域和漏极区域以某一距离形成而不覆盖栅极电极。在该结构中,可减少截止电流,因此,在使用TFT作为显示器的开关元件的情况下,可提高对比度。而且,可使用多栅极TFT,其中第二半导体区域覆盖多个栅极电极。在该情况下,同样能减少截止电流。
接着,较佳的是在源极电极和漏极电极314上淀积钝化膜。可通过诸如等离子CVD方法和喷涂方法之类的薄膜形成方法,用氮化硅、氧化硅、氮化硅氧化物、氧氮化硅、氧氮化铝、或氧化铝、菱形碳(DLC)、含氮碳和其它绝缘材料来形成钝化膜。
接着,形成具有低润湿性的第二掩模图案322。第二掩模图案是用于形成在后续步骤中形成的层间绝缘膜的掩模图案。第二掩模图案由与实施方式1中描述的第一掩模图案103的材料类似的材料形成。
接着,通过在除了第二掩模图案之外的区域中施加绝缘材料来形成具有高润湿性的层间绝缘膜323。作为第二掩模图案,形成与层间绝缘膜323相比具有较高润湿性并具有所希望的形状的层间绝缘膜。可通过使用丙烯酸类树脂(acry resin)、聚酰亚胺树脂、聚脂树脂、环氧树脂、聚酯、聚氨酯、硅氧烷聚合物以及聚硅氮烷来形成层间绝缘膜323。
如图3D所示,通过O2灰化,去除第二掩模图案322,从而暴露源极电极和漏极电极314。在源极电极和漏极电极上淀积钝化膜的情况下,还去除钝化膜。随后,形成分别连接源极电极和漏极电极的导电膜331。在此,通过微滴排放方法排放通过在溶剂中溶解或分散导电材料而获得的膏,进行烘焙,从而形成导电膜。作为导电膜的导电材料,可使用与源极电极和漏极电极类似的材料。注意,导电膜311充当连接布线或像素电极。
通过上述步骤,可形成沟道蚀刻型TFT。
[实施方式5]
在该实施方式中,参考图4描述形成沟道保护型(沟道截断环型)TFT的步骤。
如图4A所示,类似于实施方式4,在基板101上形成栅极电极301、栅极绝缘膜302、以及第一半导体膜303。
接着,在叠有栅极电极301的第一半导体膜303的区域中形成保护膜401。可使用实施方式4中描述的类似的方式和第一掩模图案305类似的材料来形成保护膜401。
接着,类似于实施方式4,淀积第二半导体膜(导电半导体膜)304。接着,类似于实施方式4,形成第一掩模图案305。
接着,如图4B所示,使用第一掩模图案蚀刻第一半导体膜,从而形成第一半导体区域312。然后,蚀刻第二半导体膜以形成第二半导体区域313。接着,在第二半导体区域313上形成源极电极和漏极电极314。
接着,如图4C所示,用源极电极和漏极电极314作为掩模来蚀刻第二半导体区域,以暴露保护膜401。在同时,分离第二半导体膜,并形成充当源极区域和漏极区域的第三半导体区域321。在该实施方式中,使用源极电极和漏极电极蚀刻第二半导体膜,然而,本发明不限于该步骤,可通过形成类似于上述第一掩模图案的掩模来选择性地蚀刻半导体膜。
接着,如图4D所示,在源极电极和漏极电极314上淀积钝化膜。然后,在形成具有低润湿性的第二掩模图案322之后,使用具有高润湿性的绝缘材料形成层间绝缘膜323。
接着,如图4E所示,在去除第二掩模图案322之后,类似于实施方式4,形成分别连接至源极电极和漏极电极314的导电膜331。
通过上述步骤,可形成沟道保护型TFT。保护膜401充当沟道保护膜,因此可防止要成为沟道区域的第一半导体区域由于在蚀刻添加了杂质的半导体膜时过蚀刻等造成的损坏。因此,可获得表现出具有稳定特性的高迁移率的TFT。
[实施方式6]
在该实施方式中,参考图5A至5E描述形成参差的(staggered)TFT的步骤。
如图5A所示,在基板101上形成源极电极和漏极电极501。通过使用与实施方式4中描述的源极电极和漏极电极314的材料类似的材料形成源极电极和漏极电极501。使用微滴排放方法、印刷方法、电场电镀方法、PVD方法、CVD方法。在使用PVD方法或CVD方法的情况中,通过实施方式3中的方法或光刻处理形成掩模图案,施加蚀刻以将掩模图案形成为所希望的形状。
接着,淀积含元素周期表的第13族或15族的杂质的导电性第一半导体膜502。通过与实施方式4中形成第二半导体膜303的方法类似的方法形成第一半导体膜502。接着,形成用于在源极电极和漏极电极501上和之间蚀刻第一半导体膜的一部分的第一掩模图案503。通过与实施方式4中第一掩模图案类似的材料和制造方法形成第一掩模图案。
接着,如图5B所示,使用第一掩模图案503,通过已知的方法蚀刻第一半导体膜,形成源极区域和漏极区域511。接着,顺序地淀积第二半导体膜512和栅极绝缘膜513。通过适当地使用与实施方式4中描述的第一半导体膜303和栅极绝缘膜302的材料和制造方法各自形成第二半导体膜512和栅极绝缘膜513。
接着,在源极区域和漏极区域之间形成栅极电极514。接着,形成第二掩模图案515。使用与实施方式4中描述的形成栅极电极301和第一掩模图案305的材料和方法个自形成栅极电极514和第二掩模图案515。
接着,如图5C所示,使用第二掩模图案515蚀刻栅极绝缘膜513,以形成栅极电极521。通过蚀刻第二半导体膜512形成半导体区域522,暴露了源极电极和漏极电极501的一部分。
接着,如图5D所示,在暴露的源极电极和漏极电极501表面上形成具有低润湿性的第三掩模图案531之后,通过使用具有高润湿性的材料形成层间绝缘膜323。对于第三掩模图案531,适当地施加用于形成实施方式4中描述的第二掩模图案322的材料和方法。
接着,如图5E所示,在去除第三掩模图案531之后,形成导电膜331。
通过上述步骤,形成了参差TFT。
[实施方式7]
在该实施方式中,参考图6A至6D描述形成上栅极共面(top gatecoplanar)TFT。
如图6A所示,在基板100上淀积第一绝缘膜602。通过诸如PVD方法和CVD方法之类的已知方法,由氧化硅膜、氮化硅膜、氧氮化硅膜、氮化硅氧化物膜等形成第一绝缘膜,用于防止杂质从TFT中基板101进入。在以杂质不进入TFT的材料(代表性的为石英等)形成基板101的情况下,不要求提供第一绝缘膜602。
接着,在第一绝缘膜602上形成半导体区域603。通过实施方式1或3中的方法或已知的蚀刻方法蚀刻实施方式4中所述的第一半导体膜303,形成半导体区域603,以形成所希望的形状。
接着,在半导体区域603上排放包含元素周期表中第13族或15族的杂质的溶液604之后,照射激光605。通过该步骤,如图6B所示,可形成导电半导体区域(源极区域和漏极区域)611。因此,较佳的是在随后要成为源极区域和漏极区域的半导体区域上排放含元素周期表第13或15族的杂质的溶液。
接着,如图6B所示,在源极区域和漏极区域611上形成具有低润湿性的第一掩模图案612。提供第一掩模图案612用于防止在随后形成的栅极绝缘膜和层间绝缘膜的形成,因此,较佳的是在随后形成接触孔和连接布线的区域中排放第一掩模图案612。使用用于形成第二掩模图案类似的材料和方法形成第一掩模图案。
接着,通过微滴排放方法或施加方法,形成诸如例如硅氧烷聚合物和聚硅氮烷之类的有机SOG和无机SOG之类的具有高润湿性的材料,通过干燥和烘焙处理形成栅极绝缘膜613。注意,有机SOG和无机SOG由于它们的高润湿性而受第一掩模图案排斥。在该步骤中,干燥第一掩模图案612,从而在半导体区域603之中或之上留下第一掩模图案的化合物622。
如图6C所示,在半导体区域603上源极区域和漏极区域611之间的栅极绝缘膜613上形成栅极电极621。通过使用实施方式4中描述的用于形成栅极电极301的类似的材料和方法来形成栅极电极621。
接着,通过施加具有高润湿性的绝缘材料,形成层间绝缘膜323。由于第一掩模图案的化合物622具有低润湿性,排斥具有高润湿性的绝缘材料。因此,可选择性地形成层间绝缘膜323。
接着,形成导电膜331。
通过上述步骤,可形成上栅极共面TFT。
[实施方式8]
在该实施方式中,参考图25A至25D描述与实施方式7不同的用于形成上栅极共面TFT的步骤。在实施方式7中,描述了通过施加方法或微滴排放方法形成栅极绝缘膜的TFT。在该实施方式中,描述通过CVD方法或PVD方法淀积栅极绝缘膜的TFT。
如图25A所示,类似于实施方式7,形成半导体区域603。在通过微滴排放方法在半导体区域603上排放含元素周期表中第13或15族的杂质的溶液604之后,照射激光605,形成如图25B所示的导电半导体区域(源极区域和漏极区域)611。
接着,通过CVD方法或PVD方法在半导体区域和第一绝缘膜602上淀积栅极绝缘膜713。在该情况下,栅极绝缘膜淀积在基板的整个表面上。接着,在半导体区域603上源极区域和漏极区域611之间的栅极绝缘膜713上形成栅极电极621。
接着,如图25C所示,在源极区域和漏极区域611以及栅极绝缘膜713重叠的区域中形成具有低润湿性的第一掩模图案612。提供用于防止随后形成的层间绝缘膜的形成的第一掩模图案612,因此,较佳的是在随后形成接触孔和连接布线的区域中排放第一掩模图案。接着,通过施加具有高润湿性的绝缘材料形成层间绝缘膜323。由于第一掩模图案具有高润湿性,第一掩模图案排斥了具有高润湿性的绝缘材料。
接着,如图25D所示,通过使用层间绝缘膜323作为掩模,通过O2灰化去除第一掩模图案612,从而暴露栅极绝缘膜713的一部分。然后,蚀刻栅极绝缘膜的暴露区域,以暴露源极区域和漏极区域。接着,形成分别连接源极区域和漏极区域的导电膜311。
通过上述步骤,可形成上栅极共面TFT。同样,通过形成接触孔的类似步骤和已知方法,可形成下栅极共面TFT。
[实施方式9]
在该实施方式中,描述用于形成上述实施方式中的掩模图案的微滴排放装置的一种方式。在图20中,以虚线示出一个面板1930形成在基板1900上的区域。
微滴排放装置1905具有包括多个喷嘴的头。在该实施方式中,提供了三个头(1903a、1903b和1903c),每个头具有十个喷嘴,然而,可根据处理面积和步骤来设置喷嘴和头部的数量。
每个头1905连接到控制装置1907。计算机1910控制控制装置1907,从而可绘制程序控制的图案。例如,可根据形成在固定在台1931上的基板1900上的标记1911来确定绘制的定时。可选地,可根据基板1900的边缘来确定基准点。这是通过诸如CCD之类的图像拾取装置1904检测的,并通过视频信号处理装置转换成数字信号。计算机1910识别数字信号,并生成送往控制装置1907的控制信号。当以此方式绘制图案时,形成图案的表面与喷嘴的末端之间的距离为0.1至5cm,较佳的为0.1至2cm,更佳的为0.1mm。利用如此短的距离,提高了微滴的着陆精度。
此时,关于要形成在基板1900上的图案的数据存储在存储介质1908中。根据该数据,控制信号发送到控制装置1907,可独立地控制每个头1903a、1903b和1903c。也就是,头1903a、1903b和1903c的每个喷嘴额排放不同材料的微滴。例如,头1903a和1903b的喷嘴排放含绝缘材料的微滴,头1903c的喷嘴可排放含导电材料的微滴。
此外,也可独立地控制头的每个喷嘴。由于可独立地控制喷嘴,因此可从特定的喷嘴排放不同材料的微滴。例如,可向头1903a提供用于排放含导电材料的微滴的喷嘴和用于排放含绝缘材料的微滴的喷嘴。
此外,在大面积上施加微滴排放处理的情况下,诸如形成层间绝缘膜的步骤,较佳的是从所有的喷嘴排放含用于层间绝缘膜的材料的微滴。此外,较佳的是从多个头的所有喷嘴排放含用于层间绝缘膜的材料的微滴。因此,可提高吞吐量。不用说,在形成层间绝缘膜的步骤中,可通过扫描多个喷嘴对大面积施加微滴排放处理,其中每个喷嘴排放含用于形成层间绝缘膜步骤中层间绝缘膜的材料的微滴。
通过z字形或来回扫描头,可在大的母玻璃上形成图案。此时,较佳的是,相对多次地扫描头和基板。当相对于基板扫描头时,较佳的是使头向移动的反方向倾斜。
当从大的玻璃形成多个面板时,较佳的是,头的宽度等于一个面板的宽度。这是因为可通过对形成一个面板1930的区域进行一次扫描来形成图案,从而可预期到高生产量。
头的宽度可小于面板的宽度。此时,可串联地设置宽度小的多个头,与一个面板的宽度相对应。通过串联地布置宽度小的多个头,可防止随着头的宽度变大而可能发生的头的弯曲。不用说,可通过多次扫描宽度小的头来形成图案。
较佳的是,在低压下进行通过微滴排放方法排放溶液的微滴的步骤。这是因为,在排放的溶液着陆于正被处理的表面上之前,溶液中的溶剂挥发,从而可省略溶液的干燥和烘焙步骤。此外,较佳的是在低压下进行,因为导体的表面不形成氧化膜等。也可在氮气和有机气体氛围中进行溶液的排放步骤。
作为微滴排放方法,可使用压电方法。压电方法用于喷墨打印机,因为它对微滴的出众的可控制性以及对墨水的选择的高自由度。压电方法中,有售主型(vender type)(代表性的为MLP(多层压电)型)、活塞型(代表性的为ML Chip(多层陶瓷超集成压电段)型)、侧壁型以及顶壁型。取决于溶液的溶剂,可使用使用称为泡喷射(bubble-jet)(日本注册商标)方法(热方法)的微滴排放方法,其中通过加热器产生泡来推出溶液。
[实施例1]
接着,参考图8至13描述有源矩阵基板和包括有源矩阵基板的显示面板的制造方法。在该实施例中,液晶显示面板作为显示面板的例子。图8至10中的每一个示意性地示出了像素部分和连接端子部分的垂直断面结构。图11至13中的每一个示出了对应于A-B和C-D的平面结构。
如图8A所示,通过在400℃氧化基板800的表面,形成厚度为100nm的绝缘膜801。该绝缘膜充当随后形成的导电膜的蚀刻阻挡膜。接着,在绝缘膜801上形成第一导电膜802,通过在第一导电膜上进行微滴排放方法来形成第一掩模图案803至805。朝日玻璃有限公司的AN 100玻璃基板用于基板800,通过使用钨作为氩气中的靶进行溅射,淀积100nm厚的钨膜,用作第一导电膜802。通过微滴排放方法排放聚酰亚胺,并通过在200℃施加30分钟的烘焙处理,形成第一掩模图案。第一掩模图案排放在作为形成的层的栅极布线层、栅极电极层和连接导电层上。
接着,如图8B所示,通过使用第一掩模图案803至805,蚀刻第一导电膜的一部分,形成栅极布线层811、栅极电极层812以及连接导电层813。此后,通过使用剥离溶液剥离第一掩模图案803至805。
接着,通过等离子CVD方法淀积栅极绝缘膜814。使用SiH4和N2O(流量SiH4∶N2O=1∶200),在400℃加热的腔室中,通过等离子CVD方法由厚度110nm的氧氮化硅(H:1.8%,N:2.6%,O:63.9%,Si:31.7%)形成栅极绝缘膜814。
然后,淀积具有n沟道型的第一半导体膜815和第二半导体膜816。通过等离子CVD方法,由厚度150nm的非晶硅膜形成第一半导体膜815。接着,通过在去除非晶硅膜的表面上的氧化膜之后,使用硅烷气体和膦化氢(phosphine)气体形成厚度50nm的半非晶硅膜。
接着,在第二半导体膜上形成第二掩模图案817和818。通过微滴排放方法在第二半导体膜上排放聚酰亚胺,并在200℃施加热处理30分钟形成第二掩模图案。在随后形成半导体区域的区域中排放第二掩模图案817。
接着,如图8C所示,通过使用第二掩模图案蚀刻第二半导体膜816,形成第一半导体区域821和822(源极区域和漏极区域)。通过使用流量为CF4∶O2=10∶9的混合气体来蚀刻第二半导体膜816。此后,通过使用剥离溶液剥离第二掩模图案817和818。
接着,形成第三掩模图案823。通过微滴排放方法排放聚酰亚胺在第一半导体区域821和822以及第一半导体膜815的部分上,并在200℃施加热处理30分钟形成第三掩模图案。
接着,如图8D所示,通过使用第三掩模图案823蚀刻第一半导体膜815,形成第二半导体区域831。注意,图8D示意性地示出了垂直断面结构,而图11示出了对应于A-B和C-D的平面结构。注意,通过使用剥离溶液剥离第三掩模图案823。
接着,如图8E所示,形成具有低润湿性的第四掩模图案832。将通过将氟硅烷偶联剂溶解于溶剂中而获得的溶液排放在栅极绝缘膜814和连接导电层813重叠的区域上,形成具有低润湿性的第四掩模图案。注意,第四掩模图案832是用于形成第五掩模图案的保护膜,第五掩模图案用于在随后形成的漏极电极和连接导电层813彼此连接的区域中形成接触孔。
接着,通过使用具有高润湿性的材料形成第五掩模图案833。第五掩模图案是用于形成第一接触孔的掩模,通过微滴排放方法排放聚酰亚胺并在200℃施加热处理30分钟形成第五掩模图案。此时,由于第四掩模图案832具有低润湿性,而第五掩模图案833具有高润湿性,在形成第四掩模图案的区域中不形成第五掩模图案。
接着,如图9A所示,通过氧气灰化去除第四掩模图案832以暴露栅极绝缘膜的部分。接着,通过使用第五掩模图案833蚀刻暴露的栅极绝缘膜。使用CHF3蚀刻栅极绝缘膜。此后,通过氧气灰化和使用剥离溶液蚀刻来剥离第五掩模图案833。
接着,通过微滴排放方法形成源极布线层841和漏极布线层842。此时,形成漏极布线层842,使之与第二半导体区域822和连接导电层813连接。通过排放分散有Ag(银)的溶液,并在100℃施加热处理30分钟进行干燥,在含10%的氧气的气体中以230℃施加烘焙一小时,形成源极布线层841和漏极布线层842。接着,形成保护膜843。使用氩气和氮气(量Ar∶N2=1∶1)的混合气体环境中的硅靶,通过溅射方法由100nm厚的氮化硅膜形成保护膜。
图12示出了对应于图9A的A-B和C-D的平面图。
接着,如图9B所示,在保护膜843和连接导电层813重叠的区域、以及栅极布线层和源极布线层连接至连接端子的区域中形成具有低润湿性的第六掩模图案851和852。此后,形成层间绝缘膜853。第六掩模图案是用于随后形成的层间绝缘膜的掩模。在通过微滴排放方法排放通过将氟硅烷偶联剂溶解于溶剂中而获得的溶液作为第六掩模图案,以及通过微滴排放方法排放聚酰亚胺作为具有高润湿性的绝缘材料之后,两层都分别在200℃烘焙30分钟以及在300℃烘焙一小时。
接着,如图9C所示,在通过使用CF4、O2以及He(流量CF4∶O2∶He=8∶12∶7)的混合气体蚀刻第六掩模图案851之后,蚀刻保护膜843和栅极绝缘膜814的部分,以形成第二接触孔。通过该蚀刻步骤,蚀刻在栅极布线层和源极布线层连接至连接端子的区域中的保护膜843和栅极绝缘膜814。
在淀积第二导电膜861之后,形成第七掩模图案。通过溅射方法淀积110hm厚的含氧化硅的氧化铟锡(ITO)、在随后形成像素电极的区域中通过微滴排放方法微滴排放聚酰亚胺、以及在200℃烘焙30分钟,形成第二导电膜。
在该实施例中,由含氧化硅的ITO形成像素电极,用于制造光透射液晶显示面板,然而,可通过使用含氧化铟锡(ITO)、氧化锌(ZnO)、氧化锡(SnO2)等的化合物并施加烘焙来形成预定的图案,来形成像素电极。在制造反射型液晶显示面板的情况下,可使用含诸如Ag(银)、Au(金)、Cu(铜)、W(钨)和Al(铝)之类的金属粒子的化合物。
接着,如图9D所示,通过使用第七掩模蚀刻第二导电膜来形成像素电极871。通过该蚀刻步骤,形成在栅极布线层和源极布线层连接至连接端子的区域中的第二导电膜也被蚀刻。此后,通过使用剥离溶液剥离第七掩模图案。注意,图13示出了对应于图9D中A-B和C-D的平面图。
像素电极871通过第二接触孔连接至连接导电层813。连接导电层813连接至漏极布线层842,因此,像素电极871和漏极布线层842电气连接。在该实施例中,由银(Ag)形成漏极布线层842,由含氧化硅的ITO形成像素电极871,然而,这些不直接彼此连接。因此,银不会被氧化,可电气连接漏极布线层842和像素电极871,而不会增加接触电阻。
此外,可通过微滴排放方法选择性地排放含导电材料的溶液形成像素电极,而不用蚀刻步骤。而且,可通过在不形成像素的区域中形成具有低润湿性的掩模图案之后通过排放导电溶液形成像素电极。在该情况下,通过O2灰化,去除掩模图案。可留下掩模图案而不被去除。
通过上述步骤,可形成有源矩阵基板。
接着,如图10A所示,通过印刷方法和旋涂方法淀积绝缘膜以覆盖像素电极871,从而通过摩擦(rubbing)处理形成定位膜872。注意,也可通过倾斜淀积形成定位膜872。接着,通过微滴排放方法在像素周围形成密封剂873。
接着,如图10B所示,通过分配器(dispenser)方法(排滴方法)在密封剂873形成的闭环内排落液晶材料。
在此,图28示出了通过“一滴填充”方法(One Drop Filling)在有源矩阵基板上排下液晶材料的步骤。图28A示出了通过分配器2701排落液晶材料的步骤的透视图,而图28B是图28A中A-B的截面图。
从分配器2701排落或排放液晶材料2704,以覆盖由密封剂2702围绕的像素部分2703。可移动分配器2701,或可移动基板2700而使分配器2701固定,以形成液晶层。此外,可通过提供多个分配器同时排落液晶。
如图28B所示,在密封剂2702围绕的区域中选择性地排落或排放液晶材料2704。
接着,在真空环境下,将基板与提供有定位膜883和对电极882的对向基板(counter substrate)881相粘合,用紫外射线照射进行固化,从而通过填充液晶材料形成液晶层884。
也可以是,填料可混合于密封剂873中,可在对向基板上形成彩色过滤器、屏蔽膜(黑矩阵)等。此外,可通过浸渍方法形成液晶层884,其中粘合对向基板,随后通过使用毛细现象填充液晶。
虽然在此在像素部分上排落液晶材料,可在将液晶材料排落到对向基板侧之后粘合具有像素部分的基板。
接着,如图10C所示,可通过各向异性导电层885将连接端子(连接至栅极布线层的连接端子886,连接至源极布线层的连接端子(未示出)分别粘合到栅极布线层811和源极布线层(未示出),来形成液晶显示面板。
可在基板的整个表面上形成层间绝缘膜853和定位膜872。在该情况下,在形成密封剂之前,通过微滴排放方法形成掩模之后,通过已知的蚀刻方法去除这些绝缘膜,从而暴露源极布线层和栅极布线层。
通过上述步骤,可制造液晶显示面板。注意,可在连接端子和源极布线(栅极布线)之间或像素部分中提供用于防止静电放电的保护电路,代表性的为二极管等。在该情况下,通过与上述类似的步骤形成二极管,并连接像素部分的栅极布线层和二极管的漏极布线层或源极布线层,也可获得如二极管的作用。
注意,实施方式1至9中任一个可适用于该实施例中。在该实施例中,描述了作为显示面板的液晶显示面板的制造方法,然而,本发明不限于此,本发明可适用于具有由有机材料或无机材料作为发光层形成的发光物质的发光显示器,以及诸如DMD(数字微镜设备)、PDP(等离子显示屏)、FED(场发射显示器)和电泳显示器(电子纸)之类的有源显示面板。
[实施例2]
在该实施例中,参考图26A至26D描述使用无源矩阵基板的显示面板。在该实施例中,描述EL(电致发光)显示面板(发光显示面板)作为显示面板的例子。
如图26A所示,在透光的基板2601上形成以光透射导电膜形成的第一像素电极2602。并行提供多个第一像素电极2602。在该实施例,通过绘制,同时并行地排放含ITO和ZnO2的组合物的溶液,并烘焙,来形成第一像素电极。
接着,在第一像素电极2602上形成以均匀的间隔跨第一电极的多个第一绝缘膜2603。作为第一绝缘膜,形成诸如SiO2和SiN之类的绝缘膜,并并行蚀刻。
接着,如图26B所示,在随后形成有机EL层的区域中形成具有低润湿性的掩模图案2611,该区域是相邻的第一绝缘膜2603及其之间的部分。作为具有低润湿性的掩模图案,通过微滴排放方法排放含FAS的溶液。
注意,在某些情况下,有机EL层含无机材料形成的材料。
接着,通过在不形成具有低润湿性的掩模图案的区域中(即掩模图案的外缘)排放高润湿性溶液,进行干燥和烘焙,形成第二绝缘膜2612。在该实施例中,排放聚酰亚胺。
根据具有高润湿性的溶液的成分、粘度和表面张力,可如图26B所示那样形成截面具有倒锥形形状的第二绝缘膜2612。
根据具有高润湿性的溶液的成分、粘度和表面张力,可如图27所示那样形成截面具有正向锥形形状的第二绝缘膜2631。
接着,如图26C所示,通过O2灰化,去除掩模图案2611。接着,通过蒸发有机EL材料,在相邻的第一绝缘膜2603及其之间的区域上形成有机EL层2621。通过该步骤,也在第二绝缘膜2612上淀积有机EL材料2622。
接着,如图26D所示,通过淀积导电材料形成第二像素电极2623。通过该步骤,在形成在第二绝缘膜2612上的有机EL材料2622上淀积第二导电材料2624。在该实施例中,第二像素电极由Al、Al-Li合金、Ag-Mg合金等形成。
在第二绝缘膜2612具有倒锥形截面的情况下,防止有机EL层2621和像素电极2623由第二绝缘膜2612的头淀积,因此,可通过第二绝缘膜2612分离,而不使用已知的光刻处理。
在第二绝缘膜2631具有正向锥形的截面的情况下,如图27B所示,可通过微滴排放方法在每个第二绝缘膜2631之间排放有机EL材料和导电材料,形成有机EL材料2622和第二像素淀积2623。
此后,可通过淀积保护膜制造有机EL显示面板。
注意,实施方式1至9中任一个可适用于该实施例中。在该实施例中,描述作为显示面板的有机EL显示面板的制造方法,然而,本发明不限于此,本发明可适用于诸如DMD(数字微镜设备)、PDP(等离子显示屏)、FED(场发射显示器)和电泳显示器(电子纸)之类的无源显示面板。
在该实施例中,可不用使用已知的光刻来形成用于绝缘有机EL层的绝缘膜。
[实施例3]
在该实施例中,参考图14A至14C描述将驱动器电路(信号驱动器电路1402和扫描驱动器电路1403a和1403b)安装到上述实施例中描述的显示面板。
如图14A所示,信号驱动器电路1402和扫描驱动器电路1403a和1403b安装到像素部分1401的周围。在图14A中,IC芯片1405安装在基板1400上作为信号驱动器电路1402、扫描驱动器电路1403a和1403b等。然后,IC芯片1405和外部电路通过FPC(柔性印刷电路)相连接。
如图14B所示,像素部分1401和扫描驱动器电路1403a和1403b等一体地形成在基板上,并且在TFT由SAS或晶体半导体形成的某些情况下信号驱动器电路1402等作为IC芯片被独立地安装。在图14B中,通过COG方法,IC芯片1405安装在基板1400上作为信号驱动器电路1402。通过FPC 1406连接IC芯片1405和外部电路。
如图14C所示,在某些情况下,通过TAB方法替代COG方法来安装信号驱动器电路1402等。通过FPC 1406连接IC芯片1405和外部电路。在图14C中,通过TAB方法安装信号驱动器电路,然而,也可通过TAB方法安装扫描驱动器电路。
通过TAB方法安装IC芯片,相对于基板来说可提供大的像素部分,并可实现更窄的框架。
通过使用硅晶片形成IC芯片,然而,可提供形成在玻璃基板上的IC(称为驱动器IC)来替代IC芯片。IC芯片得自于电路硅晶片,因此,母基板的形状受限。另一方面,驱动器IC在提高产量方面是有利的,因为母基板是形状不受限的玻璃制的。因此,可自由地设计驱动器IC的形状和尺寸。在将驱动器IC形成为其长边为15至80mm的情况下,与安装IC芯片的情况相比,可减少所需的数量。结果,可降低连接端子的数量,提高产量。
可通过使用基板上形成的晶体半导体来形成驱动器IC,可通过照射连续振荡激光来形成晶体半导体。通过照射连续振荡激光而获得的半导体膜具有较少的晶体缺陷,和大的直径的晶格。结果,具有这种半导体膜的晶体管具有良好的迁移率和响应,导致高速驱动并较佳的用于驱动器IC。
[实施例4]
在该实施例中,参考图15A至15D描述将驱动器电路(信号驱动器电路1402和扫描驱动器电路1403a和1403b)安装到上述实施例中描述的显示面板的方法。可通过使用各向异性导电材料的连接方法、布线接合方法等安装驱动器电路,其中之一参考图15A至15D描述。在该实施例中,描述使用驱动器IC用于信号驱动器电路1402和扫描驱动器电路1403a和1403b的例子。可适当地使用IC芯片代替驱动器IC。
图15A示出了通过使用各向异性的导电材料在有源矩阵基板1701上安装驱动器IC 1703。源极布线、栅极布线(未示出)等中的每一个以及作为布线的引出电极的电极焊盘1702a和1702b形成在有源矩阵基板1701上。
连接端子1704a和1704b设置在驱动器IC 1703的表面上,保护绝缘膜1705形成在其外围。
驱动器IC 1703用各向异性的导电粘合剂1706和连接端子1704a和1704b固定在有源矩阵基板1701上,电极焊盘1702a和1702b通过包含在各向异性的导电粘合剂中的导电粒子电气连接。各向异性导电粘合剂是含有分散的导电粒子(粒子直径大约3至7μm)的粘合树脂,如环氧树脂、酚醛树脂等。导电粒子(粒子直径大约为数微米至数百微米)由从金、银、铜、钯和铂中选择出的一种或多种元素的合金粒子形成。又,可使用具有这些元素的多层结构的粒子。而且,也可使用用从金、银、铜、钯和铂中选择出的一种或多种元素的合金涂覆树脂颗粒来获得颗粒。
除了各向异性导电粘合剂,可转而使用在基膜上的膜中形成的各向异性导电膜。各向异性导电膜也散布有与各向异性导电粘合剂类似的导电粒子。通过使用混合在各向异性粘合剂1706中的适当尺寸和密度的导电粒子1707,可以这种方法将驱动器IC安装到有源矩阵基板上。该安装方法适用于图14A和14B的驱动器IC的安装方法。
图15B示出了使用有机树脂的收缩力的安装方法的例子,其中,在驱动器IC的连接端子的表面上由Ta、Ti等形成缓冲层1711a和1711b,通过无电极电镀方法等形成大约20μm厚的Au,以形成隆起(bump)1712a和1712b。通过在驱动器IC和有源矩阵基板之间提供光可固化的绝缘树脂1713,可使用光可固化的树脂的收缩力来安装压力焊接的电极。该安装方法适用于图14A和14B的驱动器IC的安装方法。
如图15C所示,驱动器IC 1703通过粘合剂1721固定在有源矩阵基板1701上,驱动器IC和电极焊盘1702a和1702b可通过布线1722a和1722b连接。然后,使用有机树脂1723进行密封。该安装方法适用于图14A和14B的驱动器IC的安装方法。
如图15D所示,可通过含导电粒子1708的各向异性导电粘合剂1706,向FPC(柔性印刷电路)1731上的布线1732提供驱动器IC 1703。该结构非常有效地适用于外壳尺寸受限的诸如便携式终端之类的电子装置。该安装方法适用于图14C的驱动器IC的安装方法。
不特别地限定驱动器IC的安装方法,可采用已知的COG方法、布线接合方法、TAB方法或使用焊料隆起焊盘的回流处理。在施加回流处理的情况下,较佳的是使用诸如聚酰亚胺基板、HT基板(日本钢铁化学集团有限公司(NipponSteel Chemical Group Co.,Ltd.))和由具有极性团(polarity group)的降冰片烯树脂形成的ARTON(JSR公司)之类的高抗热性塑料。
[实施例5]
在由SAS形成半导体层的实施例4中描述的液晶显示面板中,如图14B和14C所示,对基板1400上形成的扫描线侧上的驱动器电路进行描述。
图21是由使用其电场电子迁移率为1至15cm2/V·sec的SAS的n沟道TFT形成的扫描驱动器电路的框图。
在图21中,1500所表示的框对应于输出用于一个级的采样脉冲的冲输出电路。同样,由n个脉冲输出电路形成移位寄存器。像素连接到缓冲器电路1501。
图22示出了由n沟道TFT 3601至3612形成的脉冲输出电路1500的具体配置。考虑到使用SAS的n沟道TFT的工作特性,可确定TFT的尺寸。例如,假设沟道长度是8μm,可把沟道宽度设置为10至80μm的范围。
图23示出了缓冲器电路1501的具体配置。缓冲器电路也由n沟道TFT 3621至3636形成。考虑到使用SAS的n沟道TFT的工作特性,可确定TFT的尺寸。例如,假设沟道长度是10μm,可把沟道宽度设置为10至1800μm的范围。
[实施例6]
在该实施例中,作出关于显示模块的描述。在此,参考图16,将液晶模块描述为显示模块的例子。
在图16所示的液晶模块中,用密封剂1600固定有源矩阵基板1601和对向基板1602,其间插入有像素部分1603和液晶层1604,形成显示区域。
在进行彩色显示时,要求着色层1605。在RGB方法的情况下,对每个像素提供对应于红、绿和蓝的着色层。在有源矩阵基板1601和对向基板1602外提供偏振片1606和1607。在偏振片1606的表面上形成保护膜1616,用于减缓外部冲击。
设置在有源矩阵基板1601上的连接端子1608通过FPC 1609连接到布线基板1610。为FPC和连接布线提供像素驱动器电路(IC芯片、驱动器IC等)1611。布线基板1610结合有外部电路1612,例如控制电路和电源电路。
冷阴极管1613、反射器1614以及光学膜1615是背光单元,作为将光投向液晶显示面板的光源。液晶面板、光源、布线基板、FPC等由玻璃框架(bezel)1617保持和保护。
[实施例7]
在该实施例中,参考图30A和30B按照显示模块的例子描述发光显示模块的外表。图30A是由用第一密封剂1205和第二密封剂1206密封的第一基板和第二基板形成的面板的俯视图。图30B是沿图30A中的A-A’的截面图。
在图30A中,以虚线示出的标号1201表示信号(源极线)驱动器电路,1202表示像素部分,1203表示扫描(栅极线)驱动器电路。在该实施例中,信号驱动器电路1201、像素部分1202以及扫描驱动器电路1203处于第一密封剂和第二密封剂密封的区域中。较佳的是使用包含填料的具有高粘度的环氧树脂作为第一密封剂。较佳的是使用具有低粘度的环氧树脂作为第二密封剂。较佳的是第一密封剂1205和第二密封剂1206尽可能不透过湿气或氧气。
此外,可在像素部分1202和密封剂1205之间提供干燥剂。在像素部分中,可在扫面线或信号线上提供干燥剂。作为干燥剂,较佳的是使用通过化学吸收吸收湿气(H2O)的物质,如碱土金属的氧化物,例如氧化钙(CaO)和氧化钡(BaO)。然而,也可使用诸如沸石和硅胶之类的通过物理吸收来吸收湿气的物质。
此外,含干燥剂的颗粒的具有高湿气渗透性的树脂可固定在第二基板1204上。在此,具有高湿气渗透性的树脂例如是诸如酯丙烯酸酯、醚丙烯酸酯、氨基甲酸酯丙烯酸酯、醚氨基甲酸酯丙烯酸酯、丁二烯氨基甲酸酯丙烯酸酯、特定的氨基甲酸酯丙烯酸酯、环氧丙烯酸酯、氨基树脂丙烯酸酯、以及丙烯酸类树脂丙烯酸酯之类的丙烯酸类树脂。此外,可使用诸如双酚A型液体环氧树脂、双酚A型固体环氧树脂、含溴环氧树脂、双酚F型树脂、双酚AD型树脂、酚醛树脂、甲酚树脂、线型酚醛型树脂、脂环族环氧树脂、Epi-Bis型环氧树脂、缩水甘油酯树脂、缩水甘油胺树脂、杂环环氧树脂、以及改性环氧树脂之类的环氧树脂。又,也可使用其它物质。可使用诸如硅氧烷聚合物、聚酰亚胺、PSG(磷硅酸盐玻璃)、以及BPSG(硼磷硅酸盐玻璃)之类的无机物质。
通过在与扫描线重叠的区域中提供干燥剂,并在第二基板上固定含干燥剂的颗粒的具有高湿气渗透性的树脂,可抑制湿气进入显示元件,从而抑制引起的劣化,而不用降低孔径比(aperture ratio)。
注意,标号1210表示用于将输入到信号驱动器电路1201和扫描驱动器电路1203的信号进行传送的连接布线,通过连接布线1208从作为外部输入端子的FPC(柔性印刷电路)1209接收视频信号和时钟信号。
接着,参考图30B描述截面结构。驱动器电路和像素部分形成在第一基板1200上,具有多个半导体元件,例如TFT。示出了作为驱动器电路的信号驱动器电路1201以及像素部分1202。注意,信号驱动器电路1201包括由n沟道型TFT 1221和p沟道型TFT 1222的组合形成的CMOS电路。
在该实施例中,信号驱动器电路的TFT、扫描驱动器电路以及像素部分形成在同一基板上。因此,可减小发光显示器件的体积。
像素部分1202由包括开关TFT 1211、驱动TFT 1212、以及由电气连接到驱动TFT 1212的漏极的反射导电膜形成的第一像素电极(阳极)1213的多个像素形成。
用于这些TFT 1211、1212、1221以及1222的层间绝缘膜可通过使用含无机材料(氧化硅、氮化硅、氧氮化硅等)以及有机材料(聚酰亚胺、聚酰胺、聚酰亚胺酰胺、苯并环丁烯(benzocyclobutene)、或硅氧烷聚合物)作为主成份的材料来形成。通过使用硅氧烷聚合物作为层间绝缘膜的源极材料,可获得具有氧化硅作为骨干结构以及氢和/或烷基作为支链的结构的绝缘膜。
此外,在第一像素电极(阳极)1213的两端形成绝缘体(称为堤(bank)、隔壁(partition)、阻档层(barrier)等)1214。为了对于绝缘体1214获得良好的膜覆盖,将绝缘体1214形成为其顶部和底部具有有曲率的弯曲表面。可通过使用含无机材料(氧化硅、氮化硅、氧氮化硅、氮化硅氧化物等)或有机材料(聚酰亚胺、聚酰胺、聚酰亚胺酰胺、苯并环丁烯、或硅氧烷聚合物)作为主成份的材料来形成绝缘体1214。此外,通过使用硅氧烷聚合物作为绝缘体的源极材料,可获得具有硅和氧作为骨干结构以及氢和/或烷基作为支链的绝缘膜。又,可用氮化铝膜、氮化铝氧化物膜、含碳作为主成份的薄膜、或由氮化硅膜形成的保护膜(平面化层(planarizing layer))来覆盖绝缘体1214。通过使用溶解或分散有吸收可见光的材料的有机材料,如黑色颜料和染料,可吸收来自随后形成的发光元件的漫射光。结果,提高了每个元件的对比度。此外,通过提供由阻挡光的绝缘体形成的层间绝缘膜1220,可利用绝缘体1214获得光屏蔽效果。
通过使用有机化合物材料的蒸发,在第一像素电极(阳极)1213上选择性地形成含发光物质的层1215。
含发光物质的层可适当地具有已知的结构。在此,参考图31A至31F描述含发光物质的层1215的结构。
图31A示出了使用含1至15%的氧化硅的光透射氧化物导电材料形成的第一像素电极11的例子。其上提供含发光物质的层16,层16中叠层有空穴注入层或空穴传输层41、发光层42、电子传输层或电子注入层43。第二像素电极17由含诸如LiF和MgAg之类的碱金属或碱土金属的第一电极层33和由诸如铝之类的金属材料形成的第二电极层34形成。如图31A中箭头所示,具有该结构的像素可从第一像素电极11侧发光。
图31B示出了从第二像素电极17发光的例子。第一像素电极11是由诸如铝和钛之类的金属或含等于或小于化学计量构成比率的浓度的上述金属和氮的金属材料形成的第一电极层35,以及由含1至15原子%的浓度的氧化硅的氧化物导电材料形成的第二电极层32形成。其上设置含发光物质的层16,层16中叠层有空穴注入层或空穴传输层41、发光层42、电子传输层或电子注入层43。第二像素电极17由含诸如LiF和CaF之类的碱金属或碱土金属的第三电极层33和由诸如铝之类的金属材料形成的第四电极层34形成。通过以100nm或更小的厚度形成这两层,以能够透光,可从第二像素电极17发光。
图31E示出了从第一电极和第二电极两方向发光的例子。具有高逸出功的透光导电膜用于第一像素电极11,而具有低逸出功的透光导电膜用于第二像素电极17。作为代表,第一像素电极11由含1至15原子%的浓度的氧化硅的氧化物导电材料形成,第二像素电极17由含诸如LiF和CaF之类的碱金属或碱土金属的第三电极层33和由诸如铝之类的金属材料形成的第四电极层34形成,这两层的厚度分别为等于或小于100nm。
图31C示出了从第一像素电极11发光的例子,在第一像素电极11中含发光物质的层以电子传输层或电子注入层43、发光层42、空穴注入层或空穴传输层43的顺序叠层。由含1至15原子%的浓度的氧化硅的氧化物导电材料形成的第二电极层32、以及由诸如铝和钛之类的金属或含等于或小于化学计量构成比率的浓度的上述金属和氮的金属材料形成的第一电极层35,以此顺序从含发光物质的层16形成第二像素电极17。第一像素电极11由含诸如LiF和CaF之类的碱金属或碱土金属的第三电极层33和由诸如铝之类的金属材料形成的第四电极层34形成。通过以100nm或更小的厚度形成这两层,以能够透光,可从第一像素电极11发光。
图31D示出从第二像素电极17发光的例子,在第二像素电极17中含发光物质的层以电子传输层或电子注入层43、发光层42、空穴注入层或空穴传输层43的顺序叠层。第一像素电极11具有与图31A的第二像素电极类似的结构,并且形成足够的厚度以反射从含发光物质的层发出的光。第二像素电极由含1至15原子%的浓度的氧化硅的氧化物导电材料形成。通过使用金属氧化物作为无机物质(有代表性的为氧化钼或氧化钒)形成空穴注入层或空穴传输层41,因提供在形成第二像素电极17时引入的氧气,故提高空穴诸如特性,从而可降低驱动电压。
图31F示出了从第一电极和第二电极两方向发光的例子。具有低逸出功的透光导电膜用于第一像素电极11,而具有高逸出功的透光导电膜用于第二像素电极17。作为代表,第一像素电极11由含诸如LiF和CaF之类的碱金属或碱土金属的第三电极层33和由诸如铝之类的金属材料形成的第四电极层34形成,第二像素电极17由含1至15原子%的浓度的氧化硅的氧化物导电材料形成。
以此方式,形成如图30B所示的由第一像素电极(阳极)1213、含发光物质的层1515、以及第二像素电极(阴极)1216的发光元件1217。发光元件1217向第二基板1204侧发光。
为了密封发光元件1217,形成保护层叠层1218。通过叠层第一无机绝缘膜、松弛膜、以及第二无机绝缘膜来形成保护层叠层1218。接着,利用第一密封剂1205和第二密封剂1206粘合保护层叠层1218和第二基板1204。注意,较佳的是使用用于排落密封剂的装置来排落第二密封剂,诸如如实施例1的图28所示的用于排落液晶的装置。在通过从分配器排落或排放在有源矩阵基板上施加密封剂之后,可真空粘合第二基板和有源矩阵基板和通过紫外线固化密封。
注意,在固定在第二基板1204的表面上的偏振片1225的表面上提供1/2λ或1/4λ的相衬板(phase-contrast plate)1229和抗反射膜1226。此外,可从第二基板1204顺序地提供1/4λ的相衬板、1/2λ的相衬板和偏振片1225。通过提供相衬板和偏振片,可防止外部光反射在像素电极上。第一像素电极1213和第二像素电极1216由透光的或不透光的导电膜形成,层间绝缘膜1220由吸收可见光的材料或溶解或分散有吸收可见光的有机材料形成,从而外部光不反射在每个像素电极上,因此,不必使用相衬板或偏振片。
连接布线1208和FPC 1209通过各向异性导电膜或各向异性导电树脂1227而彼此电连接。此外,较佳的是,用密封树脂密封每个布线层和连接端子之间的连接部分。根据该结构,可防止湿气从截面部分进入发光元件而引起劣化。
注意,可在第二基板1204和保护层叠层1218之间提供用例如氮气的惰性气体填充的空间。因此,可进一步防止湿气和氧气。
可在像素部分1202和偏振片1225之间提供着色层。在该情况下,通过在像素部分提供能够发出白色光的发光元件以及表示RGB的着色层,可进行全色彩显示。此外,通过在像素部分提供能够发出蓝色的发光元件,并单独提供色彩变换层等,可进行全色彩显示。同样,可在每个像素部分形成发出红色、绿色和蓝色的发光元件,也可使用着色层。这种显示模块具有高色彩纯度,并能够进行高分辨率显示。
通过使用用于第一基板1200或第二基板1204中一个或二个的膜、树脂等形成的基板形成光显示模块。通过密封而不以这种方式使用对向基板,可形成重量改进、紧凑而薄的显示装置。
注意,实施方式1至9中任一个可适用于该实施例中。在该实施例中,描述作为显示模块的发光显示模块,然而,本发明不限于此,本发明可适用于诸如发光显示器、DMD(数字微镜设备)、PDP(等离子显示屏)、FED(场发射显示器)和电泳显示器(电子纸)之类的显示模块。
[实施例8]
通过将实施例6和7描述的显示模块结合于外壳中,可制造各种电子装置。电子装置包括电视机、摄像机、数字照相机、眼镜式显示器(头戴式显示器)、导航系统、音频再现设备(车载音频系统、音频组件等)、笔记本个人计算机、游戏机、便携式信息终端(移动计算机、便携电话机、便携游戏机、电子书等)、具有存储介质的图像再现装置(具体来说是具有可重放诸如数字通用盘(DVD)之类的存储介质并能够显示重放的图像的显示器的装置)等。在此,作为这些电子装置的例子,图17和18示出了电视机及其框图,图19A和19B示出了数字照相机。
图17示出了接收模拟电视广播的电视机的典型结构。在图17中,天线1101接收的电视广播的电波输入到调谐器1102。调谐器1102通过将从天线1101输入的高频电视信号与根据所希望的接收机频率控制的本地振荡频率的信号相混频,产生并输出中频(IF)信号。
从调谐器1102取出的IF信号通过中频放大器(IF放大器)1103放大至所要求的电压,然后通过视频检测电路1104进行视频检测,以及通过声音检测电路1105进行声音检测。从视频检测电路1104输出的视频信号通过视频信号处理电路1106被分离成亮度信号和颜色信号,通过预定的视频信号处理变为视频信号,然后输出到作为本发明之一的液晶显示器、发光显示器、DMD(数字微镜设备)、PDP(等离子显示屏)、FED(场发射显示器)和电泳显示器(电子纸)等的视频系统输出部1108。
此外,从声音检测电路1105输出的信号通过诸如声音系统处理电路1107的FM解调之类的处理,变为声音信号,然后经适当放大,输出到诸如扬声器之类的声音系统输出部1109。
使用本发明的电视机不限于诸如如VHF波段和UHF波段之类的地面式广播、电缆广播、或BS广播之类的模拟广播,而是可适用于数字陆地电视广播、电缆数字广播或BS数字广播。
图18示出了从正面看到的电视机的透视图,包括外壳1151、显示部1152、扬声器部1153、操作部1154、视频输出端子1155等。图17示出了其结构。
显示部1152是图17的视频系统输出部1108的例子,它显示图像。
扬声器部1153是图17的声音系统输出部的例子,它输出声音。
操作部1154备有电源开关、音量开关、开关选择器、调谐开关、选择开关等。通过按压开关,操作电源开/闭、图像选择、声音控制、调谐器选择等。虽然未示出,但是还可通过遥控器型的操作部来进行上述选择。
视频输出端子1155是用于从VTR、DVD、游戏机等将视频信号输入到电视机中的端子。
在该实施例中所描述的电视机是壁挂式电视机时,在主体的背部提供用于悬挂在墙壁上的部分。
通过使用作为本发明的半导体器件的例子的显示器,用于电视机的显示部,可以高产量制造低成本的电视机。此外,通过对控制电视机的视频处理电路、声音检测电路以及声音处理电路的CPU使用本发明的半导体器件,可以高产量制造低成本的电视机。因此,本发明可尤其适用于诸如壁挂式电视机、火车站、机场等的信息显示板、街道上的广告显示板之类的大型显示介质。
图19A和19B示出了数字照相机的例子。图19A是从正面看到的数字照相机的透视图,而图19B是从背面看到的透视图。图19A中,数字照相机包括释放按钮1301、主开关1302、取景器1303、闪光灯1304、透镜1305、照相机镜筒1306和外壳1307。
在图19B中,提供取景器目镜窗1311、监视器1312、以及操作按钮1313。
通过将释放按钮1301按压至一半位置,聚焦调节组件和曝光调节组件工作,当释放按钮1301按压至底部位置时,快门打开。
通过按压或旋转主开关1302,切换数字照相机的电源的开/闭。
取景器1303置于数字照相机的正面的透镜1305上部,用于从图19B所示的取景器目镜窗1311检查拍摄范围和聚焦位置。
闪光灯1304置于数字照相机的正面的上部,当对象亮度低时,随着释放按钮被按压而快门打开时,发出辅助光。
透镜1305置于数字照相机的正面。透镜由聚焦透镜、变焦透镜等组成,并与未示出的快门和光圈一起构成成像光学系统。而且,在透镜后提供诸如CCD(电荷耦合器件)之类的图像传感器。
照相机镜筒1306移动透镜,用于调节聚焦透镜和变焦透镜等的焦距。当拍摄图像时,透镜1305通过向外推出照相机镜筒而向前移动。当携带时,为了紧凑,透镜1305装载在内。在该实施例中,通过推出照相机镜筒通过变焦来拍摄对象,然而本发明不限于该结构,本发明可以是能够根据外壳1307中的成像光学系统的结构而不用推出照相机镜筒来通过变焦拍摄图像的数字照相机。
取景器目镜窗1311设置在数字照相机的背部上面,用于检查拍摄范围和聚焦位置。
操作按钮1313是具有各种功能的设置在数字照相机的背面的按钮,包括设置按钮、菜单按钮、显示按钮、功能按钮、选择按钮等。
通过使用按照本发明的半导体器件的一个实施方式的显示器用于监视器,可以高产量制造低成本的数字照相机。此外,通过对执行接收具有各种功能的按钮、主开关、释放按钮等的输入的处理的CPU,对控制进行自动聚焦操作和自动聚焦调整操作的电路、控制驱动闪频灯和CCD(电荷耦合器件)的定时电路、从诸如CCD之类的图像传感器光电转换的信号产生视频信号的图像拾取电路、将图像拾取电路产生的视频信号转换成数字信号的A/D变换器、读写存储器的视频数据的存储器接口中的每一个的CPU,使用按照本发明的半导体器件的一种方式的CPU,可以高产量制造低成本的数字照相机。
本申请基于内容通过引用而结合于此的、分别于2004年1月16日以及2004年4月28日提交给日本专利局的日本专利申请序列号2004-009232和2004-134898。

Claims (30)

1.一种半导体器件的制造方法,它包括:
通过排放含有镓和锌的微滴在基材上形成膜图案。
2.如权利要求1所述的方法,其特征在于所述膜图案是栅极布线。
3.如权利要求1所述的方法,其特征在于所述微滴的粘度为5-20mPa·s。
4.如权利要求1所述的方法,其特征在于所述排放步骤是在低压下进行的。
5.如权利要求1所述的方法,其特征在于所述微滴含有掺杂镓的氧化锌。
6.一种半导体器件的制造方法,它包括:
通过排放含有镓和锌的微滴在基材上形成膜图案;
在所述膜图案上形成栅极绝缘膜;和
在所述栅极绝缘膜上形成半导体层。
7.如权利要求6所述的方法,其特征在于所述膜图案是栅极布线。
8.如权利要求6所述的方法,其特征在于所述微滴的粘度为5-20mPa·s。
9.如权利要求6所述的方法,其特征在于所述排放步骤是在低压下进行的。
10.如权利要求6所述的方法,其特征在于所述微滴含有掺杂镓的氧化锌。
11.一种半导体器件的制造方法,它包括:
通过排放含有铟和锌的微滴在基材上形成膜图案。
12.如权利要求11所述的方法,其特征在于所述膜图案是栅极布线。
13.如权利要求11所述的方法,其特征在于所述微滴的粘度为5-20mPa·s。
14.如权利要求11所述的方法,其特征在于所述排放步骤是在低压下进行的。
15.如权利要求11所述的方法,其特征在于所述微滴含有氧化铟锌。
16.一种半导体器件的制造方法,它包括:
通过排放含有铟和锌的微滴在基材上形成膜图案;
在所述膜图案上形成栅极绝缘膜;和
在所述栅极绝缘膜上形成半导体层。
17.如权利要求16所述的方法,其特征在于所述膜图案是栅极布线。
18.如权利要求16所述的方法,其特征在于所述微滴的粘度为5-20mPa·s。
19.如权利要求16所述的方法,其特征在于所述排放步骤是在低压下进行的。
20.如权利要求16所述的方法,其特征在于所述微滴含有氧化铟锌。
21.一种半导体器件的制造方法,它包括:
通过排放含有铟和锡的微滴在基材上形成膜图案。
22.如权利要求21所述的方法,其特征在于所述膜图案是栅极布线。
23.如权利要求21所述的方法,其特征在于所述微滴的粘度为5-20mPa·s。
24.如权利要求21所述的方法,其特征在于所述排放步骤是在低压下进行的。
25.如权利要求21所述的方法,其特征在于所述微滴含有氧化铟锡。
26.一种半导体器件的制造方法,它包括:
通过排放含有铟和锡的微滴在基材上形成膜图案;
在所述膜图案上形成栅极绝缘膜;和
在所述栅极绝缘膜上形成半导体层。
27.如权利要求26所述的方法,其特征在于所述膜图案是栅极布线。
28.如权利要求26所述的方法,其特征在于所述微滴的粘度为5-20mPa·s。
29.如权利要求26所述的方法,其特征在于所述排放步骤是在低压下进行的。
30.如权利要求26所述的方法,其特征在于所述微滴含有氧化铟锡。
CN2009101611745A 2004-01-16 2005-01-17 半导体器件制造方法 Expired - Fee Related CN101630639B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2004009232 2004-01-16
JP2004009232 2004-01-16
JP2004-009232 2004-01-16
JP2004134898 2004-04-28
JP2004134898 2004-04-28
JP2004-134898 2004-04-28

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100047687A Division CN100550295C (zh) 2004-01-16 2005-01-17 具有膜图案的基板及其制造方法以及半导体器件制造方法

Publications (2)

Publication Number Publication Date
CN101630639A true CN101630639A (zh) 2010-01-20
CN101630639B CN101630639B (zh) 2012-12-05

Family

ID=34752113

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2005100047687A Expired - Fee Related CN100550295C (zh) 2004-01-16 2005-01-17 具有膜图案的基板及其制造方法以及半导体器件制造方法
CN2009101611745A Expired - Fee Related CN101630639B (zh) 2004-01-16 2005-01-17 半导体器件制造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB2005100047687A Expired - Fee Related CN100550295C (zh) 2004-01-16 2005-01-17 具有膜图案的基板及其制造方法以及半导体器件制造方法

Country Status (5)

Country Link
US (3) US8053171B2 (zh)
JP (2) JP5292224B2 (zh)
KR (2) KR101200703B1 (zh)
CN (2) CN100550295C (zh)
TW (2) TWI381416B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102163607A (zh) * 2010-02-05 2011-08-24 精工爱普生株式会社 电光学装置用基板、电光学装置以及电子设备
US10504972B2 (en) 2016-10-24 2019-12-10 Shanghai Tianma Micro-electronics Co., Ltd. Organic light emitting display panel and method for manufacturing the same

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7015990B2 (en) * 2000-04-24 2006-03-21 Nitto Denko Corporation Liquid crystal display including O-type and E-type polarizer
CN100467141C (zh) * 2003-04-25 2009-03-11 株式会社半导体能源研究所 图形的制作方法和液滴排出装置
KR101115291B1 (ko) 2003-04-25 2012-03-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 액적 토출 장치, 패턴의 형성 방법, 및 반도체 장치의 제조 방법
CN100568457C (zh) * 2003-10-02 2009-12-09 株式会社半导体能源研究所 半导体装置的制造方法
US8053171B2 (en) * 2004-01-16 2011-11-08 Semiconductor Energy Laboratory Co., Ltd. Substrate having film pattern and manufacturing method of the same, manufacturing method of semiconductor device, liquid crystal television, and EL television
TWI366701B (en) * 2004-01-26 2012-06-21 Semiconductor Energy Lab Method of manufacturing display and television
US20050170643A1 (en) * 2004-01-29 2005-08-04 Semiconductor Energy Laboratory Co., Ltd. Forming method of contact hole, and manufacturing method of semiconductor device, liquid crystal display device and EL display device
US7371625B2 (en) * 2004-02-13 2008-05-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof, liquid crystal television system, and EL television system
US7462514B2 (en) * 2004-03-03 2008-12-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same, liquid crystal television, and EL television
US20050196710A1 (en) * 2004-03-04 2005-09-08 Semiconductor Energy Laboratory Co., Ltd. Method for forming pattern, thin film transistor, display device and method for manufacturing the same, and television apparatus
US7416977B2 (en) * 2004-04-28 2008-08-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing display device, liquid crystal television, and EL television
US8158517B2 (en) * 2004-06-28 2012-04-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing wiring substrate, thin film transistor, display device and television device
JP2006100325A (ja) * 2004-09-28 2006-04-13 Seiko Epson Corp 薄膜トランジスタの製造方法
KR101219038B1 (ko) * 2004-10-26 2013-01-07 삼성디스플레이 주식회사 박막 트랜지스터 표시판 및 그 제조 방법
JP2006245526A (ja) * 2005-02-04 2006-09-14 Seiko Epson Corp 膜パターンの形成方法、デバイス及びその製造方法、電気光学装置、並びに電子機器
JP4240018B2 (ja) * 2005-02-04 2009-03-18 セイコーエプソン株式会社 膜パターンの形成方法、デバイス及びその製造方法、電気光学装置、並びに電子機器
JP4337744B2 (ja) * 2005-02-04 2009-09-30 セイコーエプソン株式会社 膜パターンの形成方法、アクティブマトリクス基板の製造方法
JP2006269599A (ja) * 2005-03-23 2006-10-05 Sony Corp パターン形成方法、有機電界効果型トランジスタの製造方法、及び、フレキシブルプリント回路板の製造方法
US20060270066A1 (en) * 2005-04-25 2006-11-30 Semiconductor Energy Laboratory Co., Ltd. Organic transistor, manufacturing method of semiconductor device and organic transistor
US8318554B2 (en) * 2005-04-28 2012-11-27 Semiconductor Energy Laboratory Co., Ltd. Method of forming gate insulating film for thin film transistors using plasma oxidation
US7785947B2 (en) * 2005-04-28 2010-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device comprising the step of forming nitride/oxide by high-density plasma
TWI408734B (zh) * 2005-04-28 2013-09-11 Semiconductor Energy Lab 半導體裝置及其製造方法
JP2007035909A (ja) * 2005-07-27 2007-02-08 Seiko Epson Corp 電子デバイスおよび電子デバイスの製造方法
JP4504300B2 (ja) * 2005-11-11 2010-07-14 株式会社東芝 半導体装置およびその製造方法
JP5301080B2 (ja) * 2005-12-26 2013-09-25 株式会社ジャパンディスプレイ 液晶表示装置
KR100753224B1 (ko) * 2005-12-30 2007-08-30 (재)대구경북과학기술연구원 전계발광소자용 백플레인과 이를 포함하는 전계발광소자
CN101030536B (zh) * 2006-03-02 2010-06-23 株式会社半导体能源研究所 电路图案、薄膜晶体管及电子设备的制造方法
TWI333114B (en) 2006-06-20 2010-11-11 Au Optronics Corp Manufacturing active device array substrate and manufacturing color filter substrate
JP4187757B2 (ja) * 2006-06-22 2008-11-26 日東電工株式会社 配線回路基板
TWI427682B (zh) * 2006-07-04 2014-02-21 Semiconductor Energy Lab 顯示裝置的製造方法
GB0614341D0 (en) * 2006-07-19 2006-08-30 Plastic Logic Ltd Encapsulation for flexible displays
CN1888966B (zh) * 2006-07-26 2010-10-06 友达光电股份有限公司 主动元件阵列基板以及彩色滤光基板的制作方法
JP4297505B2 (ja) * 2006-07-28 2009-07-15 株式会社フューチャービジョン 液晶表示装置
US7678701B2 (en) * 2006-07-31 2010-03-16 Eastman Kodak Company Flexible substrate with electronic devices formed thereon
US7736936B2 (en) * 2006-08-29 2010-06-15 Semiconductor Energy Laboratory Co., Ltd. Method of forming display device that includes removing mask to form opening in insulating film
JP2008164787A (ja) 2006-12-27 2008-07-17 Epson Imaging Devices Corp 液晶表示装置
US8017422B2 (en) 2007-06-19 2011-09-13 Semiconductor Energy Laboratory Co., Ltd. Method for forming pattern, method for manufacturing light emitting device, and light emitting device
JP2009000600A (ja) * 2007-06-20 2009-01-08 Seiko Epson Corp パターン形成方法及び電気光学装置製造方法並びに電子機器製造方法
KR101326127B1 (ko) * 2007-09-05 2013-11-06 재단법인서울대학교산학협력재단 패턴 어레이 형성 방법 및 이를 사용하여 형성된 패턴어레이를 포함하는 유기 소자
US8083956B2 (en) 2007-10-11 2011-12-27 Semiconductor Energy Laboratory Co., Ltd. Display device and method for manufacturing display device
JP4661864B2 (ja) * 2007-12-25 2011-03-30 セイコーエプソン株式会社 膜パターン形成方法及び発光装置の製造方法
JP5246782B2 (ja) 2008-03-06 2013-07-24 株式会社ジャパンディスプレイウェスト 液晶装置および電子機器
JP2009260272A (ja) * 2008-03-25 2009-11-05 Panasonic Corp 基板の加工方法および半導体チップの製造方法ならびに樹脂接着層付き半導体チップの製造方法
TWI425643B (zh) * 2009-03-31 2014-02-01 Sony Corp 固態攝像裝置及其製造方法、攝像裝置和抗反射結構之製造方法
JP5218238B2 (ja) * 2009-04-10 2013-06-26 パナソニック株式会社 基板の加工方法および半導体チップの製造方法ならびに樹脂接着層付き半導体チップの製造方法
JP5246001B2 (ja) * 2009-04-10 2013-07-24 パナソニック株式会社 基板の加工方法および半導体チップの製造方法ならびに樹脂接着層付き半導体チップの製造方法
US20110081500A1 (en) * 2009-10-06 2011-04-07 Tokyo Electron Limited Method of providing stable and adhesive interface between fluorine-based low-k material and metal barrier layer
US20110081503A1 (en) * 2009-10-06 2011-04-07 Tokyo Electron Limited Method of depositing stable and adhesive interface between fluorine-based low-k material and metal barrier layer
WO2011044053A1 (en) * 2009-10-06 2011-04-14 Tokyo Electron Limited Method of providing stable and adhesive interface between fluorine-based low-k material and metal barrier layer
KR101125567B1 (ko) * 2009-12-24 2012-03-22 삼성모바일디스플레이주식회사 고분자 기판 및 그 제조 방법과 상기 고분자 기판을 포함하는 표시 장치 및 그 제조 방법
JP5651961B2 (ja) * 2010-02-03 2015-01-14 ソニー株式会社 薄膜トランジスタおよびその製造方法、ならびに電子機器
JP5370189B2 (ja) * 2010-02-04 2013-12-18 セイコーエプソン株式会社 電気光学装置用基板、電気光学装置及び電子機器
US8734657B2 (en) * 2010-02-19 2014-05-27 R.S.M. Electron Power, Inc. Liquid barrier and method for making a liquid barrier
US8624239B2 (en) * 2010-05-20 2014-01-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
WO2012002236A1 (en) * 2010-06-29 2012-01-05 Semiconductor Energy Laboratory Co., Ltd. Wiring board, semiconductor device, and manufacturing methods thereof
US8643165B2 (en) * 2011-02-23 2014-02-04 Texas Instruments Incorporated Semiconductor device having agglomerate terminals
KR101902922B1 (ko) * 2011-03-03 2018-10-02 삼성전자주식회사 박막 트랜지스터 및 박막 트랜지스터의 제조 방법
JP2013055080A (ja) * 2011-08-31 2013-03-21 Japan Display East Co Ltd 表示装置および表示装置の製造方法
JP5693515B2 (ja) * 2012-01-10 2015-04-01 エイチズィーオー・インコーポレーテッド 内部耐水性被覆を備える電子デバイス
JP5891952B2 (ja) 2012-05-29 2016-03-23 株式会社ジャパンディスプレイ 表示装置の製造方法
TWI493765B (zh) * 2012-08-07 2015-07-21 E Ink Holdings Inc 有機半導體元件及其製作方法
JP2016004112A (ja) * 2014-06-16 2016-01-12 株式会社ジャパンディスプレイ 表示装置の製造方法
GB201418512D0 (en) * 2014-10-17 2014-12-03 Oxford Nanopore Tech Ltd Electrical device with detachable components
EP3214655A4 (en) * 2014-10-29 2018-07-11 Toppan Printing Co., Ltd. Thin-film transistor and method for producing same
JP6528517B2 (ja) 2015-04-06 2019-06-12 三菱電機株式会社 配向膜の塗布方法
NZ738352A (en) 2015-06-15 2019-07-26 Magic Leap Inc Method of manufacturing a liquid crystal device
WO2018034223A1 (ja) * 2016-08-17 2018-02-22 シャープ株式会社 走査アンテナ用液晶セル、及び走査アンテナ用液晶セルの製造方法
CN109789440A (zh) * 2016-09-27 2019-05-21 富士胶片株式会社 膜的制造方法
KR101914075B1 (ko) 2016-12-07 2018-11-02 주식회사 아모 슬롯 코팅 기반 유기발광 다이오드 면광원 제조 방법
JP7164525B2 (ja) * 2016-12-14 2022-11-01 マジック リープ, インコーポレイテッド 表面整合パターンのソフトインプリント複製を用いた液晶のパターン化
CN106880876A (zh) * 2017-02-24 2017-06-23 创领心律管理医疗器械(上海)有限公司 一种植入性医疗器械的抗菌涂层的制备方法
CN113241325A (zh) * 2020-01-23 2021-08-10 富士电机株式会社 电子装置以及电子装置的制造方法

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06139845A (ja) 1992-10-27 1994-05-20 Matsushita Electric Ind Co Ltd パターン化した透明導電膜の形成方法
JPH06182980A (ja) 1992-12-22 1994-07-05 Matsushita Electric Works Ltd インクジェットプリンターによる印刷装置
US6449024B1 (en) * 1996-01-26 2002-09-10 Semiconductor Energy Laboratory Co., Inc. Liquid crystal electro-optical device utilizing a polymer with an anisotropic refractive index
JPH10283847A (ja) 1997-04-01 1998-10-23 Sharp Corp 透明導電膜
DE69917388T2 (de) * 1998-02-18 2005-05-25 Seiko Epson Corp. Verfahren zur herstellung eines vielschichtspiegels mit verteilter reflektion
JP3988064B2 (ja) 1998-07-22 2007-10-10 株式会社ノーリツ 給湯装置
JP2000089213A (ja) 1998-09-11 2000-03-31 Canon Inc 液晶素子及びその製造方法
US6506438B2 (en) * 1998-12-15 2003-01-14 E Ink Corporation Method for printing of transistor arrays on plastic substrates
JP3827056B2 (ja) 1999-03-17 2006-09-27 キヤノンマーケティングジャパン株式会社 層間絶縁膜の形成方法及び半導体装置
US6281552B1 (en) * 1999-03-23 2001-08-28 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having ldd regions
US6512504B1 (en) * 1999-04-27 2003-01-28 Semiconductor Energy Laborayory Co., Ltd. Electronic device and electronic apparatus
JP2001039713A (ja) 1999-07-26 2001-02-13 Nippon Telegr & Teleph Corp <Ntt> 酸化亜鉛の製造方法
TW495809B (en) * 2000-02-28 2002-07-21 Semiconductor Energy Lab Thin film forming device, thin film forming method, and self-light emitting device
US6838696B2 (en) 2000-03-15 2005-01-04 Advanced Display Inc. Liquid crystal display
JP2001339072A (ja) 2000-03-15 2001-12-07 Advanced Display Inc 液晶表示装置
JP2002237383A (ja) 2000-03-31 2002-08-23 Seiko Epson Corp 有機el素子の製造方法、有機el素子
TW490997B (en) 2000-03-31 2002-06-11 Seiko Epson Corp Method of manufacturing organic EL element, and organic EL element
JP4035968B2 (ja) 2000-06-30 2008-01-23 セイコーエプソン株式会社 導電膜パターンの形成方法
JP3507771B2 (ja) * 2000-07-03 2004-03-15 鹿児島日本電気株式会社 パターン形成方法及び薄膜トランジスタの製造方法
JP4000853B2 (ja) 2000-12-28 2007-10-31 セイコーエプソン株式会社 分子膜パターンの形成方法、分子膜パターン、及び半導体装置の製造方法
US6939665B2 (en) * 2000-12-28 2005-09-06 Seiko Epson Corporation System and methods for manufacturing a molecular film pattern
TW556044B (en) * 2001-02-15 2003-10-01 Sipix Imaging Inc Process for roll-to-roll manufacture of a display by synchronized photolithographic exposure on a substrate web
US7157788B2 (en) * 2001-02-21 2007-01-02 Showa Denko K.K. Metal oxide dispersion for dye-sensitized solar cells, photoactive electrode and dye-sensitized solar cell
GB2373095A (en) 2001-03-09 2002-09-11 Seiko Epson Corp Patterning substrates with evaporation residues
JP2002289859A (ja) 2001-03-23 2002-10-04 Minolta Co Ltd 薄膜トランジスタ
US6908574B2 (en) 2001-08-13 2005-06-21 Dowa Mining Co., Ltd. Tin-containing indium oxides, a process for producing them, a coating solution using them and electrically conductive coatings formed of them
US7629017B2 (en) * 2001-10-05 2009-12-08 Cabot Corporation Methods for the deposition of conductive electronic features
JP2003124215A (ja) 2001-10-15 2003-04-25 Seiko Epson Corp パターン形成方法、半導体デバイス、電気回路、表示体モジュール、カラーフィルタおよび発光素子
JP2003124210A (ja) 2001-10-15 2003-04-25 Seiko Epson Corp 表面処理方法、半導体デバイス、電気回路、表示体モジュール、カラーフィルタおよび発光素子
US7369122B2 (en) 2001-12-14 2008-05-06 3M Innovative Properties Company Touch panel spacer dots and methods of making
JP2003282559A (ja) 2002-03-22 2003-10-03 Seiko Epson Corp 被処理部材の保管方法およびパターン形成方法、デバイス、並びにデバイスの製造方法
JP2004006700A (ja) 2002-03-27 2004-01-08 Seiko Epson Corp 表面処理方法、表面処理基板、膜パターンの形成方法、電気光学装置の製造方法、電気光学装置、及び電子機器
JP2003309265A (ja) 2002-04-15 2003-10-31 Konica Minolta Holdings Inc 有機薄膜トランジスタ及び有機薄膜トランジスタの製造方法
JP4366898B2 (ja) 2002-05-15 2009-11-18 コニカミノルタホールディングス株式会社 反射率検出用素子、シート状画像入力装置及びシート状画像入力方法
TW559687B (en) * 2002-11-07 2003-11-01 Taiwan Semiconductor Mfg Method of determining mask feature and application thereof
EP1434281A3 (en) * 2002-12-26 2007-10-24 Konica Minolta Holdings, Inc. Manufacturing method of thin-film transistor, thin-film transistor sheet, and electric circuit
JP4656843B2 (ja) 2003-01-17 2011-03-23 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7405033B2 (en) 2003-01-17 2008-07-29 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing resist pattern and method for manufacturing semiconductor device
US7183146B2 (en) * 2003-01-17 2007-02-27 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US7601386B2 (en) * 2003-07-11 2009-10-13 Seiko Epson Corporation Process for forming a film, process for manufacturing a device, electro-optical device and electronic equipment
TWI221341B (en) * 2003-09-18 2004-09-21 Ind Tech Res Inst Method and material for forming active layer of thin film transistor
CN100568457C (zh) * 2003-10-02 2009-12-09 株式会社半导体能源研究所 半导体装置的制造方法
JP5093985B2 (ja) 2004-01-16 2012-12-12 株式会社半導体エネルギー研究所 膜パターンの形成方法
US8053171B2 (en) 2004-01-16 2011-11-08 Semiconductor Energy Laboratory Co., Ltd. Substrate having film pattern and manufacturing method of the same, manufacturing method of semiconductor device, liquid crystal television, and EL television

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102163607A (zh) * 2010-02-05 2011-08-24 精工爱普生株式会社 电光学装置用基板、电光学装置以及电子设备
US10504972B2 (en) 2016-10-24 2019-12-10 Shanghai Tianma Micro-electronics Co., Ltd. Organic light emitting display panel and method for manufacturing the same

Also Published As

Publication number Publication date
US8053171B2 (en) 2011-11-08
CN1661775A (zh) 2005-08-31
JP5298149B2 (ja) 2013-09-25
KR101234003B1 (ko) 2013-02-18
US8624252B2 (en) 2014-01-07
TWI381416B (zh) 2013-01-01
US20050158665A1 (en) 2005-07-21
CN101630639B (zh) 2012-12-05
CN100550295C (zh) 2009-10-14
JP2011143404A (ja) 2011-07-28
JP5292224B2 (ja) 2013-09-18
TWI409849B (zh) 2013-09-21
US20090291552A1 (en) 2009-11-26
KR101200703B1 (ko) 2012-11-13
US20130001560A1 (en) 2013-01-03
KR20050075725A (ko) 2005-07-21
TW201001507A (en) 2010-01-01
JP2009296000A (ja) 2009-12-17
TW200525594A (en) 2005-08-01
US8293457B2 (en) 2012-10-23
KR20100013297A (ko) 2010-02-09

Similar Documents

Publication Publication Date Title
CN100550295C (zh) 具有膜图案的基板及其制造方法以及半导体器件制造方法
CN1909188B (zh) 半导体器件的制作方法
CN1700417B (zh) 半导体器件及其制造方法、液晶电视、和el电视
CN100490055C (zh) 半导体装置及其制造方法、液晶电视和el电视
JP5093985B2 (ja) 膜パターンの形成方法
CN100533808C (zh) 显示器件及其制造方法以及电视设备
CN100565307C (zh) 半导体器件及其制备方法,液晶电视系统,和el电视系统
CN100565909C (zh) 半导体装置、电子装置及半导体装置的制造方法
JP4754848B2 (ja) 半導体装置の作製方法
JP5025107B2 (ja) 半導体装置の作製方法
JP2005328037A (ja) 膜パターンの形成方法、半導体装置の作製方法、液晶テレビジョン、及びelテレビジョン
JP4785396B2 (ja) 半導体装置の作製方法
CN100499170C (zh) 半导体器件、电视机及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20121205

Termination date: 20220117