CN100422389C - 基片的电镀装置和电镀方法以及电解处理方法及其装置 - Google Patents

基片的电镀装置和电镀方法以及电解处理方法及其装置 Download PDF

Info

Publication number
CN100422389C
CN100422389C CNB2004101022112A CN200410102211A CN100422389C CN 100422389 C CN100422389 C CN 100422389C CN B2004101022112 A CNB2004101022112 A CN B2004101022112A CN 200410102211 A CN200410102211 A CN 200410102211A CN 100422389 C CN100422389 C CN 100422389C
Authority
CN
China
Prior art keywords
substrate
electroplate liquid
electrode
plating
anode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004101022112A
Other languages
English (en)
Other versions
CN1624207A (zh
Inventor
国泽淳次
小田垣美津子
牧野夏木
三岛浩二
中村宪二
井上裕章
木村宪雄
松田哲朗
金子尚史
早坂伸夫
奥村胜弥
辻村学
森田敏行
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ebara Corp
Toshiba Corp
Original Assignee
Ebara Corp
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2000131879A external-priority patent/JP4024991B2/ja
Priority claimed from JP2000132015A external-priority patent/JP3980809B2/ja
Priority claimed from JP2000153754A external-priority patent/JP3992421B2/ja
Priority claimed from JP2000369201A external-priority patent/JP4010764B2/ja
Application filed by Ebara Corp, Toshiba Corp filed Critical Ebara Corp
Publication of CN1624207A publication Critical patent/CN1624207A/zh
Application granted granted Critical
Publication of CN100422389C publication Critical patent/CN100422389C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/10Electrodes, e.g. composition, counter electrode
    • C25D17/12Shape or form
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/10Electrodes, e.g. composition, counter electrode
    • C25D17/14Electrodes, e.g. composition, counter electrode for pad-plating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67046Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly scrubbing means, e.g. brushes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/42Plated through-holes or plated via connections
    • H05K3/423Plated through-holes or plated via connections characterised by electroplating method

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Electrochemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Sustainable Development (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明特别是关于在半导体基片上形成的微细配线图案(凹处)中填充铜(Cu)等金属等用途的基片电镀方法及装置,具备使被电镀面向上方、水平地保持并旋转基片的基片保持部(36),与由该基片保持部(36)保持的基片的被电镀面的边缘部接触、将该边缘部不透水地密封的密封构件(90),以及和该基片接触而通电的阴极电极(88),还具有与基片保持部(36)一体地旋转的阴极部(38),具备水平垂直动作自由地配置在该阴极部(38)上方并向下的阳极(98)的电极臂部(30),在由基片保持部(36)保持的基片的被电镀面与接近该被电镀面的电极臂部(30)的阳极(98)之间的空间中注入电镀液的电镀液注入机构。由此,能够以单一机构进行电镀处理及在电镀处理中附带的处理。

Description

基片的电镀装置和电镀方法以及电解处理方法及其装置
本申请是2000年12月25日递交的中国专利申请No.00804253.5的分案申请。
技术领域
本发明涉及基片的电镀装置及方法,尤其是涉及在半导体基片上形成微细配线图案(凹处)中填充铜(Cu)等金属等用途的基片的电镀方法及装置。
另外,本发明涉及在被处理基片的表面实施电镀或蚀刻等电解处理的电解处理方法及其装置。
进而,本发明涉及在被处理部件的表面实施电镀或蚀刻等的电解处理装置,尤其是涉及电解处理装置及其电场状态控制方法。
背景技术
作为用于在半导体基片上形成配线电路的材料,一般使用铝或者铝合金,但随着集成度的提高,正在要求在配线材料中采用传导率更高的材料。为此,提出了在基片上实施电镀处理、在基片上形成的配线图案中填充铜或者其合金的方法。
这是因为,作为在配线图案中填充铜或者其合金的方法,已知有CVD(化学蒸镀)或溅射等各种方法,但在金属层的材质是铜或者其合金的场合,即,在形成铜配线的场合,CVD法成本高,另外,溅射法在高长宽比(图案的深度的比大于宽度比)的场合,具有不可能埋入的缺点,而利用电镀的方法是最有效的。
在此,作为在半导体基片上实施镀铜的方法有各种方法:在像杯式或浸渍式的电镀槽中平时装满镀液并将基片浸入其中的方法,仅在电镀槽中供给基片时装满镀液的方法,另外,施加电位差进行所谓的电解镀的方法,及进行不施加电位差的电解镀的方法等等。
以往,在进行这种镀铜的电镀装置中,除了进行电镀过程的机构,还具备在电镀中进行附带的前处理过程的机构,或进行电镀后的洗净、干燥过程的机构等的数个机构,及在这些各机构间水平地配置进行基片的搬运的搬运机械手。于是,基片一边被搬运到这些各机构间,在各机构进行规定的处理,一边依次地送向电镀处理后的下个过程。
但是,在以往的电镀装置中,在电镀处理或称为前处理的每个过程具备各自的机构,基片被搬到各机构中进行处理,因此作为装置是相当复杂的,不仅控制变得困难,而且占用大的占有面积,并且存在制造成本也是相当高的问题。
另外,在电解镀中,在基片(阴极)的被电镀面和阳极间充满的电镀液中如果存在气泡,绝缘体性的气泡正好产生阳极掩蔽作用,在对应该部分的位置上形成的电镀膜厚变薄,或往往产生完全缺少电镀。因此,为了得到均匀且优质的电镀镀膜,必须做到在基片的被电镀面和阳极之间的电镀液中不残留气泡。
电解处理、尤其是电解镀,作为金属膜的形成方法已被广泛地利用。近年来,例如铜的多层配线用的电解镀铜,或凸出形成用的电解镀金等,即使半导体产业等也注意其有效性,而正在利用。
图71表示采用所谓的面向下方式在半导体基片等被处理基片(以下称为基片)的表面实施电解镀的现有电镀装置的一般构成。该电镀装置具有在上方开口而且内部保持电镀液600的圆筒状电镀槽602,以及使基片W自由脱离地向下保持的基片保持部604,该保持部的位置是在由该基片W封堵电镀槽602的上端开口部。在电镀槽602的内部水平地配置浸渍在电镀液600中成为阳极电极的平板状的阳极板606。另一方面,在基片W的下面(电镀面)形成导电层S,该导电层S,在其边缘部具有和阴极电极的接点。
上述电镀槽602的底部中央与形成向上方的电镀液的喷射流的电镀液喷射管608连接,在电镀槽602的上部外侧配置电镀液接受器610。
由此,利用基片保持部604使基片W向下保持地配置在电镀槽602的上部,从电镀槽602的底部向上方喷射出电镀液600,使电镀液600接触基片W的下面(电镀面),由电镀电源612在阳极板(阳极电极)和基片W的导电层S(阴极电极)之间外加规定的电压,由此在基片W的下面形成电镀膜。此时,使电镀槽602发生溢流的电镀液600被电镀液接受器610回收。
在此,LSI用的基片或液晶基片处于年年面积变大的倾向,伴随此,在基片的表面形成的电镀膜的膜厚偏差已成为问题。即,为了在基片上产生阴极电位,在基片上预先形成的导电层的边缘部设置和电极的接点,但如果基片的面积变大,从基片的边缘的接点至基片中央的导电层的电阻变大,在基片面内产生电位差,从而在电镀速度上出现差异,关系到电镀膜的膜厚偏差。
即,在被处理基片表面上实施电解镀时,在被处理基片(以下简单地称为“基片”)的表面形成导电层,在基片W的外边缘附近的导电层上连接用于产生阴极电位的接点,另一方面,在与基片W相对的位置上设置阳极,在阳极和基片W之间充满电镀液,通过直流电源在上述阳极和接点之间流过电流,由此在基片W的导电层上进行电镀。但是,在大面积的基片的情况下,从基片的外边缘附近的接点至基片W中央的导电层的电阻变大,在基片W面内产生电位差,进而在各部位产生电镀速度差。
即,图72是表示在直径200mm的硅片上形成30nm、80nm和150nm膜厚的导电层(铜薄膜),使用如图71所示的以往一般电镀装置进行电解镀铜时在基片面内的镀铜膜的膜厚分布图。图73是表示在直径100mm、200mm和300mm的硅片上形成膜厚100nm的导电层(铜薄膜),和上述相同地进行电解镀铜时在基片面内的镀铜膜的膜厚分布图。如图72和73所清楚地表明,在导电层薄的情况下或基片直径大的情况下尤为如此,利用电解镀形成的镀洞膜的膜厚分布的偏差变大,在基片的中央附近,发生完全不形成铜膜的现象。
如下用电化学说明该现象。
图74表示图71所示以往一般的电解镀装置的电等效电路图。即,在同时浸没在电镀液600中的阳极板606(阳极电极)和基片W的导电层S(阴极电极)之间,由电镀电源612外加规定的电压,如果在导电层S的表面形成电镀膜,在该电路中就存在像以下的电阻成分。
R1:电源-阳极间的电源线电阻和各种接触电阻
R2:在阳极中的极化电阻
R3:电镀液电阻
R4:阴极(电镀表面)中的极化电阻
R5:导电层的电阻
R6:阴极电位导入接点-电源间的电源线电阻和各种接触电阻
如图74所清楚地表明,如果导电层S的电阻R5比其他的电阻R1~R4和R6大,在该导电层S的电阻R5的两端产生的电位差就变大,随之在电流上产生差异。这样,在远离阴极导入接点的位置,电镀膜的成长速度已降低,导电层S的膜厚变薄,而且电阻R5变得更大,就显著地出现这种现象。并且,该事实意味着在基片的面内电流密度不同,电镀特性本身(电镀膜的电阻率、纯度、埋入特性等)在面内变得不均匀。
再者,即使在基片利用阳极进行电解蚀刻中,除了电流方向变成相反以外,也产生同样的问题。例如,在大口径基片的制造过程中,基片的中央部的蚀刻速度比边缘部慢。
作为避免这些问题的方法,可考虑使导电层的厚度变厚,或使导电率变大。但是,基片即使在除了电镀以外的制造过程中,不仅受到各种制约,而且例如利用溅射法,如果在微细图案上形成厚导电层,在图案内部就容易发生空隙,因此不能容易使导电层的厚度变厚,或变更导电层的膜种类。
另外,如果在基片的-面配置阴极电位导入用的接点,就能够使基片面内的电位差变小,但不现实的是,作为电接点的部位,不能作为LSI使用。进而,提高电镀液的电阻值(图74中的电阻R3、R2或者R4)也是有效的,但所谓改变电镀液的电解质,意味着电镀特性全体的改变,例如,如果降低要电镀的金属离子浓度,就出现不能选择充分高的电镀速度等的制约。
像以上那样,在基片的边缘部设置接点并使用基片表面的导电层进行电解镀的过程中,如果基片的尺寸大,会产生电镀膜厚在基片的面内发生大的差异的问题,在被处理基片面内的膜厚和过程的均匀化非常重要的半导体工业中,该问题尤其会成为大的制约。
发明内容
本发明是鉴于上述问题而完成的,目的在于提供以单一的机构能够进行电镀处理及在电镀处理中的附带处理的电镀装置及电镀方法,进而提供在基片的被电镀面和阳极之间充满的电镀液中不残留气泡的基片电镀装置及电镀方法。
另外,本发明的目的在于提供,不改变导电层的膜厚或膜种类、电镀液的电解质等,进行在基片面内的均匀电解处理的电解装置及其方法。
进而,本发明的目的在于提供,通过积极地控制电场状态,能够控制作为目的的膜厚的面内分布的电解装置及控制其电场状态的方法。
本发明的一方面是提供一种基片的电镀装置,其特征在于,具有使被电镀面向上方地保持基片的基片保持部、和该基片接触而通电的阴极电极、配置在该基片的被电镀面的上方的阳极、以及在由上述基片保持部保持的基片被电镀面与接近该被电镀面的上述阳极电极之间的空间注入电镀液的电镀液注入机构。
由此,在由基片保持部使基片向上地保持的状态下,在被电镀面和电极臂部的阳极之间充满电镀液,进行电镀处理,在电镀处理后,在去除被电镀面和电极臂部的阳极之间的电镀液的同时,使电极臂部上升,通过开放被电镀面,由基片保持部保持基片的原样,在电镀处理的前后,可以进行称为在电镀中附带的前处理或洗净、干燥处理的其他处理。
根据所述的基片的电镀装置的一个实施方案,其特征在于,在上述电极臂部的阳极的下面,紧密结合地保持由保水性材料构成的电镀液含浸构件。在镀铜时,为了抑制生成淀渣,一般在阳极中使用含有含量0.03~0.05%磷的铜(含磷铜)进行,这样,如果在阳极中使用含磷铜,伴随电镀的进行,在阳极表面就形成叫做黑色薄膜的黑膜。在这样的场合,在电镀液含浸构件中含有电镀液,通过润湿阳极的表面,防止黑色薄膜从基片的电镀面脱落,同时在基片的电镀面和阳极之间注入电镀液时,能够容易地将空气抽出到外部。
根据所述的基片的电镀装置的另一个实施方案,其特征在于,具有阴极部,在该阴极部的侧方配置电镀液盘,上述电极臂部在上述阴极部和电镀液盘之间自由地移动。由此,在不进行电镀处理时,浸渍在电镀液槽内的电镀液中,而润湿阳极,能够防止在阳极表面形成的黑色薄膜的干燥或氧化。
根据所述的基片的电镀装置的又一个实施方案,其特征在于,具有阴极部,在该阴极部的侧方配置向由上述基片保持部保持的基片的被电镀面喷射前处理液或洗净液、气体等的数个喷嘴。以此方式,由基片保持部保持电镀处理前后的基片,以被电镀面在上方开放的状态,从喷嘴朝被电镀面喷射前处理液或洗净液,能够进行前处理和洗净处理。
根据所述的基片的电镀装置的又一个实施方案,其特征在于,具有阴极部,上述基片保持部在下方的基片交接位置、上方的上述基片的被电镀面的边缘部接触上述阴极部的电镀位置及其中间的前处理·洗净位置之间升降自由地构成。由此,使基片保持部对应于各动作位置地升降,达到进一步提高的小型化和操作性。
本发明的另一方面是提供一种基片的电镀方法,其特征在于,将朝向上方与阴极电极导通的基片的被电镀面的边缘部进行不透水的密封,使阳极接近该被电镀面的上方地配置,在被电镀面和阳极间的不透水的密封空间注入电镀液。
根据该电镀方法的一个实施方案,其特征在于,在进行所述的电镀后,利用电镀液回收喷嘴回收电镀残液。
根据该电镀方法的又一个实施方案其特征在于,进行电镀前,使预涂·回收臂向与基片对峙的位置移动,从预涂喷嘴供给预涂液,进行预涂处理。
根据该电镀方法的又一个实施方案中,其特征在于,在上述被电镀面和阳极之间的空间内配置由保水性材料构成的电镀液含浸构件,在该部件中含有电镀液。
本发明的又一方面是提供另一种基片的电镀装置,其特征在于,具备配置在由基片保持部保持的基片的被电镀面的上方的阳极、以及与该基片接触而通电的阴极电极,在上述被电镀面和阳极之间的空间中配置由保水性材料构成的电镀液含浸构件进行电镀。
根据所述的基片的电镀装置的一个实施方案,其特征在于,上述电镀液含浸构件是高电阻结构体。
根据所述的基片的电镀装置的另一个实施方案,其特征在于,上述电镀液含浸构件由陶瓷构成。
本发明的又一方面是提供又一种基片的电镀装置,其特征在于,上述电镀液含浸构件在不接触基片的被电镀面的状态下,以在上述电镀液含浸构件和上述基片的被电镀面间的间隙中充满电镀液的状态进行电镀。
本发明的又一方面是提供又一种基片的电镀装置,其特征在于,在由基片保持部保持基片的状态下,通过使电镀处理、洗净·干燥处理对应于各动作位置升降,用单一机构进行处理。
根据所述的基片的电镀装置的一个实施方案,其特征在于,上述基片的电镀装置具备在上述基片的被电镀面的上方配置的阳极、以及与上述基片接触而通电的阴极电极,在上述被电镀面和上述阳极之间的空间中配置由保水性材料构成的电镀液含浸构件。
本发明的又一方面是提供又一种基片的电镀方法,其特征在于,利用搬运机械手从容纳基片的装载·卸载部取出基片,搬运到电镀机构内部,由上述机构内的基片保持部保持基片,在由上述基片保持部保持基片的状态下,通过使电镀处理、洗净·干燥处理对应于各动作位置升降,用单一机构进行处理。
本发明的又一方面是提供又一种基片的电镀装置,其特征在于,由容纳基片的装载·卸载部、以单一机构进行电镀处理及其附带处理的电镀机构、以及在装载·卸载部和电镀机构之间进行基片的交接的搬运机械手构成。
本发明的又一方面是提供又一种基片的电镀装置,它是具备配置在由基片保持部保持的基片的被电镀面的上方的阳极、与该基片接触而通电的阴极电极、以及纯水供给用喷嘴的电镀装置,其特征在于,在电镀结束后,通过从该喷嘴供给纯水,同时洗净上述基片和上述阴极电极。
本发明的又一方面是提供又一种基片的电镀装置,其特征在于,具有保持基片的基片保持部、与由该基片保持部保持的基片接触而通电的阴极电极、接近上述基片配置的阳极、以及在由上述基片保持部保持的基片的被电镀面和接近该被电镀面的阳极间的空间注入电镀液的电镀液注入机构,上述电镀液注入机构,从配置在上述阳极的一部分或者阳极外边缘部的电镀液注入路径,在阳极和基片的被电镀面之间注入电镀液,沿基片的被电镀面扩散地构成。
根据所述的基片的电镀装置的一个实施方案,其特征在于,具有:使被电镀面向上方地保持基片的基片保持部,具备使由该基片保持部保持的基片的被电镀面的电镀液保持密封的材料以及与该基片接触而通电的阴极电极的阴极部,还具备接近该阴极部并水平垂直自由动作的阳极的电极臂部,以及在由上述基片保持部保持的基片的被电镀面和接近该被电镀面的阳极之间的空间中注入电镀液的电镀液注入机构,上述电镀液注入机构,从配置在上述阳极的一部分中的贯通的电镀液注入孔或者阳极外周部上的喷嘴,向阳极和基片的被电镀面之间注入电镀液,沿基片的被电镀面扩散地构成。
由此,在由基片保持部使基片向上保持的状态下,在被电镀面和电极臂部之间充满电镀液进行电镀处理,在电镀处理后,在去除被电镀面和电极臂部的阳极之间的电镀液的同时,使电极臂部上升,通过使被电镀面开放,由基片保持部保持基片的原样,在电镀处理前后能够进行称为在电镀中附带的前处理或洗净干燥·处理的其他处理。而且如果在基片的被电镀面和阳极之间注入电镀液,就会产生沿基片的被电镀面的整个面扩大的电镀液流,借助该电镀液流,基片的被电镀面和阳极之间的空气被挤出到外方,而且防止由该电镀液引起的空气包围,防止在基片的被电镀面和阳极之间充满的电镀液中残留气泡。
根据所述的基片的电镀装置的另一个实施方案,其特征在于,上述电镀液注入机构具有电镀液导入路径,该电镀液导入路径与沿该阳极的直径方向配置在和阳极的基片相对面相反的面上的电镀液供给管连接,在与设置在该电镀液导入路径的阳极侧的面上的电镀液导入孔相对的位置设置上述电镀液注入孔。由此,伴随向基片的被电镀面和阳极间注入电镀液,在与电镀液导入管垂直的方向产生电镀液流。
根据所述的基片的电镀装置的又一个实施方案,其特征在于,上述电镀液注入机构具有电镀液导入路径,该电镀液导入路径与在和阳极的基片相对面相反的面上配置成十字状、放射状或者圆筒状的电镀液供给管连接,在与设置在该电镀液导入路径的阳极侧的面上的电镀液导入孔相对的位置设置上述电镀液注入孔。由此,伴随向基片的被电镀面和阳极间注入电镀液,使以电镀液导入管划分的各区域内产生扩大成放射状的电镀液流。
本发明的又一方面是提供又一种基片的电镀方法,其特征在于,在接近和阴极电极通电的基片被电镀面的至少一部分中配置阳极,在被电镀面和阳极之间注入电镀液时,形成使基片的被电镀面和阳极之间桥接的电镀液柱,以该电镀液柱为起点,注入电镀液。
根据上述的基片的电镀方法的一个实施方案,其特征在于,从配置在上述阳极的一部分上或者阳极周围部分上的电镀液注入路径向基片的被电镀面和阳极之间注入电镀液。
本发明的又一方面是提供又一种基片的电镀方法,其特征在于,在接近和阴极电极通电的基片被电镀面的至少一部分中配置阳极,在用电镀液充满被电镀面和阳极间的空间时,在基片的被电镀面挂上电镀液,一边使基片和阳极相对地旋转,一边慢慢地接近。由此,随着两者相互接近,能够使基片和阳极间的气泡慢慢地向外方移动而驱逐。
根据上述的基片的电镀方法的一个实施方案,其特征在于,在上述阳极的基片相对面上配置由具有保水性的多孔质体构成的电镀液含浸构件,在该电镀液含浸构件的基片相对面上具备通过该电镀液含浸构件和基片的相对旋转使此间的电镀液向外方扩大成放射状的机构。由此,能够大体上完全驱逐基片和阳极间的气泡。
本发明的又一方面是提供一种电解处理方法,其特征在于,在与阳极和阴极中的一个电极具有接点的被处理基片和与被处理基片对峙的另一个电极之间充满的电解液的至少一部分中,设置导电率比该电解液的导电率小的高电阻结构体,进行被处理基片表面的电解处理。
由此,通过高电阻结构体,使浸没在电解液中的阳极和阴极间的电阻比仅由电解液构成的场合更高,能够使由被处理基片表面的电阻产生的电流密度的面内差小。由此,通过使被处理基片接触阴极的接点,能够进行电解镀,通过使被处理基片接触阳极的接点,能够进行电解蚀刻。
根据上述的电解处理方法的一个实施方案,其特征在于,上述高电阻结构体,在其等效电路中的电阻高于在被处理基片的表面形成的导电层和上述电极的接点与电距离该接点最远部分之间的等效电路中的电阻。由此,能够使由被处理基片上形成的导电层电阻产生的电流密度的面内差更小。
根据上述的电解处理方法的另一个实施方案,其特征在于,在由基片保持部使基片向上保持的状态下进行电解处理。
本发明的又一个方面是提供一种电解处理装置,其特征在于,在与阳极和阴极中的一个电极具有接点的被处理基片和与被处理基片对峙的另一个电极之间充满电解液,进行被处理基片的电解处理的电解处理装置中,在上述电解液的至少一部分中设置导电率比该电解液的导电率小的高电阻结构体。
根据所述的电解处理装置的一个实施方案,其特征在于,在由基片保持部使基片向上保持的状态下,具有进行电解处理的基片保持部。
根据所述的电解处理装置的另一个实施方案,其特征在于,上述高电阻结构体,在其等效电路中的电阻高于在被处理基片的表面形成的导电层和上述电极的接点与电距离该接点最远部分之间的等效电路中的电阻。
根据所述的电解处理装置的又一个实施方案,其特征在于,上述高电阻结构体由在内部含有电解液的多孔质物质构成。由此,进入多孔质物质的复杂内部,尽管是薄的结构体,但借助沿厚度方向走相当长的路径的电解液,能够有效地增大作为高电阻结构体的电阻。
根据所述的电解处理装置的又一个实施方案,其特征在于,上述多孔质物质是多孔质陶瓷。作为该多孔质陶瓷,可举出氧化铝、SiC、莫来石、氧化锆、二氧化钛、堇青石等。另外,为了稳定地保持电镀液,最好是亲水性材料。例如,在氧化铝系陶瓷中,使用孔径10~300μm、孔隙率20~60%、厚度0.2~200mm,最好2~50mm左右的氧化铝系陶瓷。
根据所述的电解处理装置的又一个实施方案,其特征在于,插入该高电阻结构体,将上述电解液分割成数部分地设置上述高电阻结构体。由此,既使用数个电解液,又要能够一方电极的污染或反应对另一方电极不造成影响。
本发明的又一个方面是提供电解处理装置的电场状态控制方法,其特征在于,在与阳极和阴极中的一个电极具有接点的被处理基片和与该被处理基片对峙的另一个电极之间充满的电解液的至少一部分中,设置导电率比该电解液的导电率小的高电阻结构体,通过调整该高电阻结构体的外形、内部结构或者安装导电率不同的构件中的至少一个,来控制被处理基片表面的电场。
像这样,被处理基片表面的电场状态如果被积极地控制成所希望的状态,就能够形成以被处理基片的电解处理产生的处理状态作为目的的面内分布的处理状态。在电解处理是电镀处理的场合,能够谋求在被处理基片上形成的电镀膜厚的均匀化,或者能够在被处理基片上的电镀膜厚保持任意的分布。
根据所述的电解处理装置的电场状态控制方法的一个实施方案,其特征在于,上述外形的调整是高电阻结构体的厚度调整、在高电阻结构体的平面上的形状调整中的至少任一个。
根据所述的电解处理装置的电场状态控制方法的另一个实施方案,其特征在于,上述高电阻结构体是由多孔质物质构成的,多孔质物质的内部结构的调整是多孔质物质的气孔径分布的调整、气孔率分布的调整、弯曲率分布的调整、材料组合的调整中的至少任一个。
根据所述的电解处理装置的电场状态控制方法的又一个实施方案,其特征在于,利用安装上述导电率不同的构件的调整是利用导电率不同的构件进行调整高电阻结构体的遮蔽面积。
本发明的又一个方面是提供又一种电解处理装置,其特征在于,在与阳极和阴极中的一个电极具有接点的被处理基片和与该被处理基片对峙的另一个电极之间充满电解液,进行被处理基片的电解处理的电解处理装置中,在上述电解液的至少一部分中设置导电率比该电解液的导电率小的高电阻结构体,而且利用上述高电阻结构体的外形、内部结构的调整或者安装导电率不同的构件中的至少任一个的调整手段,控制被处理基片表面的电场。
根据所述的电解处理装置的一个实施方案,其特征在于,上述外形的调整手段是高电阻结构体的厚度调整、在高电阻结构体的平面上的形状调整中的至少任一个。
根据所述的电解处理装置的又一个实施方案,其特征在于,上述高电阻结构体是以多孔质物质构成的,多孔质物质的内部结构的调整是多孔质物质的气孔径分布的调整、气孔率分布的调整、弯曲率分布的调整、材料组合的调整中的至少任一个。
根据所述的电解处理装置的又一个实施方案,其特征在于,利用安装上述导电率不同的构件的调整手段是利用导电率不同的构件进行调整高电阻结构体的遮蔽面积。
本发明的又一个方面是提供又一种电解处理装置,其特征在于,在与阳极和阴极中的一个电极具有接点的被处理基片和与该被处理基片对峙的另一个电极之间充满电解液,进行被处理基片的电解处理的电解处理装置中,在上述电解液的至少一部分中设置导电率比该电解液的导电率小的高电阻结构体,上述高电阻结构体利用保持部件保持其外周,而且在高电阻结构体和保持部件之间设置防止从该部分泄漏电解液而发生电流流动的密封构件。
可是,作为上述高电阻结构体可举出氧化铝制多孔质陶瓷或碳化硅陶瓷。
另外将氯乙烯捆成纤维束,使用将其相互熔融粘附而形成的纤维束,另外使用将聚乙烯醇等发泡体或特氟隆(商品名)等纤维整形成纺织布或无纺布的形态,也可以构成高电阻结构体。进而,也可以是这些高电阻结构体或导体和绝缘体,或者导体相互组合形成的复合体。另外在2个隔膜之间插入其他种类的电解液的结构物也能够构成高电阻结构体。
本发明的又一个方面是提供又一种电解处理装置,其特征在于,在与阳极和阴极中的一个电极具有接点的被处理基片和与该被处理基片对峙的另一个电极之间充满电解液,进行被处理基片的电解处理的电解处理装置中,在上述另一个电极和被处理基片之间配置电解液含浸构件的同时,在上述另一个电极上设置向电解液含浸构件内供给电解液的电解液导通孔,在上述电解液导通孔的内部插入管,通过上述管,使供给电解液含浸构件内的电解液从电解液含浸构件的相反面供给,而在电解液含浸构件和被处理基片之间充满电解液。
希望选择不被电解液浸蚀的管材质。因此,利用该电解处理装置即使反复进行电解处理过程,随时间的经过,管的前端的内径也不扩大,因而即使随时间的经过,也同样地实行制造当初的理想的装满液体的状态,因此卷入空气时,在电解液含浸构件和被处理基片之间也不堆积气泡,从而得到平常所希望的电解处理。
根据所述的电解处理装置的一个实施方案,其特征在于,在上述电解液含浸构件中,连接上述电解液导通孔地设置电解液通路部。
本发明的又一个方面是提供又一种电解处理装置,其特征在于,在与阳极和阴极中的一个电极具有接点的被处理基片和与该被处理基片对峙的另一个电极之间充满电解液,进行被处理基片的电解处理的电解处理装置中,在上述另一个电极和被处理基片之间设置电解液含浸构件,而且通过在上述电解液含浸构件内形成规定深度的电解液通路部,使从上述另一个电极侧,通过电解液通路部向电解液含浸构件内供给的电解液从电解液含浸构件的相反面供给,从而在电解液含浸构件和被处理基片之间充满电解液。于是即使反复进行该电解处理过程,随时间的经过电解液通路部的前端的内径也不扩大,因而即使随时间的经过也同样地实行制造当初的理想的装满液体的状态,因此卷入空气时,在电解液含浸构件和被处理基片之间也不堆积气泡,从而得到平常所希望的电解处理。
根据所述的电解处理装置的一个实施方案,其特征在于,在上述另一方电极和电解液含浸构件之间设置积存电解液的液体积存部,将积存在该液体积存部的电解液供入上述电解液含浸构件内。
本发明的又一个方面是提供又一种电解处理装置,其特征在于,在与阳极和阴极中的一个电极具有接点的被处理基片和与该被处理基片对峙的另一个电极之间充满电解液,进行被处理基片的电解处理的电解处理装置中,在上述另一个电极和被处理基片之间设置电解液含浸构件,而且根据其场合使通过电解液含浸构件时的电解液的通过阻力不同,从上述另一个电极侧供入电解液含浸构件内的电解液,从电解液含浸构件的相反面,以根据其场合的供给量供给,在电解液含浸构件和被处理基片之间充满电解液。
附图说明
图1A至图1C是表示采用本发明的基片电镀装置方法进行的电镀过程的一个实施例的断面图。
图2是表示本发明实施方式的基片电镀装置的整体平面图。
图3是表示电镀机构的平面图。
图4是图3的A-A线断面图。
图5是基片保持部和阴极部的放大断面图。
图6是图3的正面图。
图7是图3的右侧面图。
图8是图3的背面图。
图9是图3的左侧面图。
图10是表示预涂·回收臂的正面图。
图11是基片保持部的平面图。
图12是图11的B-B线断面图。
图13是图11的C-C线断面图。
图14是阴极部的平面图。
图15是图14的D-D线断面图。
图16是电极臂的平面图。
图17是图16的纵断正面图。
图18是图16的E-E线断面图。
图19是将图18的一部分放大表示的放大图。
图20是除了电极臂的电极部的外罩的状态平面图。
图21是示意地表示在基片的被电镀面和阳极之间注入电镀液的初期阶段的断面图。
图22是同样示意地表示电镀液在基片的被电镀面的整个面进行扩展的状态平面图。
图23A和图23B是相当于表示本发明的第2实施方式的图22的图。
图24是相当于表示本发明的第3实施方式的图21的图。
图25是相当于表示本发明的第3实施方式的图22的图。
图26是相当于表示本发明的第4实施方式的图21的图。
图27是相当于表示本发明的第4实施方式的图22的图。
图28是表示本发明的第5实施方式的主要部分的斜视图。
图29是表示本发明的第5实施方式的主要部分的纵断正面图。
图30是表示本发明的第5实施方式的变形例的主要部分的斜视图。
图31A是表示在本发明的第6实施方式中的电镀液含浸构件的正面图,图31B是表示在本发明的第6实施方式中的电镀液含浸构件的底面图。
图32A是表示在本发明的第7实施方式中的电镀液含浸构件的正面图,图32B是表示在本发明的第7实施方式中的电镀液含浸构件的底面图。
图33是表示图32A所示实施方式的其他使用例的正面图。
图34是表示电镀液含浸构件向阳极的安装状态的扩大断面图。
图35是表示电镀液含浸构件向阳极的安装状态的斜视图。
图36是表示电镀液含浸构件向阳极的其他安装状态的扩大断面图。
图37是表示电镀液含浸构件向阳极的其他安装状态的扩大断面图。
图38是表示电镀液含浸构件向阳极的另外的安装状态的扩大断面图。
图39是在本发明的另外实施方式的电解镀装置中使用的电解处理装置的主要部分概要图。
图40是图39的电等效电路图。
图41是表示使用图39所示电镀装置和以往的电镀装置进行电镀时基片面内的电镀膜的膜厚分布图。
图42是表示在本发明的另外实施方式的电解镀装置中使用的电解处理装置的主要部分概要图。
图43是表示使用图42所示电镀装置进行电镀时区域A和区域B中的电镀液的铜离子浓度的变化曲线图。
图44是表示在本发明的另外实施方式的电解镀装置中使用的电解处理装置的主要部分概要图。
图45是表示本发明的另外实施方式的电解镀装置的概略构成图。
图46是表示对基片W进行镀铜时基片W的外周部附近的镀铜的膜厚测定结果图。
图47是表示本发明的另一其他实施方式的图。
图48是表示本发明的另一其他实施方式的图。
图49是表示电解镀装置的多孔质陶瓷板的外周部附近部分的主要部分概略图。
图50A和图50B是表示本发明的另一其他实施方式的图。
图51是表示本发明的另一其他实施方式的图。
图52A至图52D是在图51的电解镀装置中使用的高电阻结构体的平面图。
图53是表示本发明的另一其他实施方式的图。
图54是表示使用均匀厚度和图53所示分布厚度的多孔质陶瓷板,在基片W上进行电镀时的电镀膜厚的测定结果。
图55是表示本发明的另一其他实施方式的图。
图56是表示本发明的另一其他实施方式的图。
图57是表示本发明的另一其他实施方式的图。
图58是表示本发明的另一其他实施方式的图。
图59是表示本发明的另一其他实施方式的图。
图60A和图60B是表示本发明的另一其他实施方式的图。
图61A和图61B是表示各向异性结构材料的一个实施例的图。
图62是表示本发明的另一其他实施方式的面向下方式的电解镀装置的图。
图63是表示本发明的另一其他实施方式的密闭式电解镀装置图。
图64是表示本发明的另一其他实施方式的电解镀装置的概略构成图。
图65是表示本发明的另一其他实施方式的电解镀装置的概略构成图。
图66是表示本发明的另一其他实施方式的电解镀装置的概略构成图。
图67是表示本发明的另一其他实施方式的电解镀装置的概略构成图。
图68是表示本发明的另一其他实施方式的电解镀装置的概略构成图。
图69是表示本发明的另一其他实施方式的电解镀装置的概略构成图。
图70是表示本发明的另一其他实施方式的电解镀装置的概略构成图。
图71是以往的电镀装置的概要图。
图72是表示在使用以往的电镀装置形成不同膜厚的导电层基片上进行电解镀铜时基片面内的电镀膜的膜厚分布图。
图73是表示在使用以往的电镀装置形成不同大小的导电层基片上进行电解镀铜时基片面内的电镀膜的膜厚分布图。
图74是图71所示电镀装置的电等效电路图。
具体实施方式
以下,参照附图说明本发明的实施方式。为了在半导体基片的表面实施电解镀铜,得到形成由铜层构成的配线的半导体器件,而使用该实施方式的基片电镀装置。参照图1A至图1C说明电镀过程。
对于半导体基片W来说,如图1A所示,在形成半导体元件的半导体基材1上的导电层1a上堆积由SiO2构成的绝缘膜2,利用石印·蚀刻技术形成接触室3和配线用的沟4,在其上形成TiN等构成的阻挡层5、再在阻挡层上作为电解镀的供电层形成晶粒层7。
然后,如图1B所示,通过在上述半导体基片W的表面实施镀铜,在半导体基材1的接触室3和沟4内填充铜的同时,在绝缘膜2上堆积铜层6。此后,利用化学机械研磨(CMP)去除绝缘膜2上的铜层,填充在接触室3和配线用的沟4内的铜层6的表面和绝缘膜2的表面大致成为同一平面。由此,如图1C所示,形成由铜层6构成的配线。
图2是表示本发明的实施方式的基片电镀装置全体的平面图。如图2所示,在该电镀装置中,位于同一设备内,具备在内部容纳数个基片W的2个装载·卸载部10、进行电镀处理及其附带处理的2个电镀机构12、在装载·卸载部10和电镀机构12之间进行基片W的交接的搬运机械手14、以及具有电镀液槽16的电镀液供给设备18。
在所示电镀机构12中,如图3所示,具备进行电镀处理及其附带处理的基片处理部20,与该基片处理部20邻接,配置积存电镀液的电镀液盘22。另外,具备保持在以旋转轴24为中心进行摇动的摇动臂26的前端、具有在上述基片处理部20和电镀液盘之间摇动的电极部28的电极臂部30。进而,位于基片处理部20的侧方,配置预涂·回收臂32并配置向基片喷射纯水或离子水等药液、进而气体等的固定喷嘴34。在该实施方式中,配备3个固定喷嘴34,其中1个用于供给纯水。
在上述基片处理部20中,如图4和图5所示,具备使电镀面向上保持基片W的基片保持部36以及在该基片保持部36的上方围绕该基片保持部36的边缘部配置的阴极部38。另外,通过气缸42上下动作自由地配置围绕基片保持部36的周围、防止处理中使用的各种药液飞散的有底略圆筒状的杯40。
在此,上述基片保持部36利用气缸44在下方的基片交接位置A、上方的电镀位置B和这些位置中间的前处理·洗净位置C之间升降,通过旋转电动机46和传动皮带48,以任意的加速度和速度与上述阴极部38一体地旋转。相对该基片交接位置A,如图7所示,在电镀机构12的框架侧面的搬运机械手14侧设置基片搬运出入口50,另外在基片保持部36上升至电镀位置B时,下述的阴极部38的密封材90和阴极电极88已接触由基片保持部36保持的基片W的边缘部。另一方面,上述杯40的上端位于上述基片搬运出入口50的下方,如在图5中以假想线所示,在上升时封堵上升基片出入口50,而到达阴极部38的上方。
上述电镀液盘22,在不进行电镀时,为了用电镀液润湿电极臂部30的下述电镀液含浸构件110和阳极98,如图6所示,设定成能够容纳该电镀液含浸构件110的大小,具有未图示的电镀液供给口和电镀液排水口。另外,在电镀液盘22安装光电传感器,电镀液盘22内的电镀液的满液,即溢出和排水的检测成为可能。电镀液盘22的底板52是能够离合的,在电镀液盘的周围设置未图示的局部排气口。
如图8和图9所示,上述电极臂部30通过上下移动的电动机54和未图示的螺杆上下移动,通过旋转电动机56在上述电镀液盘22和基片处理部20之间旋转(摇动)。
另外,预涂·回收臂32,如图10所示,连接在沿上下方向延伸的支持轴58的上端上,通过旋转传动装置60进行旋转(摇动),通过气缸62(参照图7)上下移动。在该预涂·回收臂32中,在其自由端侧保持预涂液流出用的预涂喷嘴64,在基端侧保持电镀液回收用的电镀液回收喷嘴66。而且,预涂喷嘴64,例如与利用气缸驱动的喷液器连接,预涂液从预涂喷嘴64间歇地流出,另外,电镀液回收喷嘴66,例如与气缸泵或者吸气器连接,从电镀液回收喷嘴66吸引基片上的电镀液。
如图11至图13所示,上述基片保持部36具备圆板状的平台68,在沿该平台68的边缘部的圆周方向的6个部位,在上面竖立设置水平地载置而保持基片W的支持杆70。在一个支持杆70的上端,固定接触基片W的端面而决定位置的位置决定板72,压在与基片W的端面接触并转动而决定基片W的位置的板72侧的挤压片74,转动自由地支承在与固定该位置决定板72的支持杆70对置的支持杆70的上端上。另外,从上方将转动的基片W向下方挤压的夹紧爪76转动自由地支承在其他4个支持杆70的上端。
在此,通过螺旋弹簧78,上述挤压片74和夹紧爪76的下端与向下方靠近的挤压棒80的上端连接,伴随该挤压棒80的向下动作,挤压片74和夹紧爪76向内方移动而关闭,在平台68的下方,配置在上述挤压棒80向下接触时将挤压棒推向上方的支持板82。
由此,在基片保持部36位于图5所示的基片交接位置A时,挤压棒80和支持板82接触并向上方挤压,挤压片74和夹紧爪76开始向外方转动,平台68一上升,挤压棒80就借助螺旋弹簧78的弹力而下降,挤压片74和夹紧爪76向内方转动并关闭。
上述阴极部38,如图14和图15所示,具有在上述支持板82(参照图5和图13等)的边缘部竖立设置的支柱84的上端固定的环状框体86,在该框体86的下面向内突出安装的、在该实施例中6分割的阴极电极88,以及覆盖该阴极电极88的上方地安装在上述框体86的上面的环状密封材料90。该密封材料90,其内边缘部向内方且向下方倾斜,而且逐渐变薄,内边缘部向下方垂直地构成。
由此,如图5所示,在基片保持部36上升至电镀位置B时,阴极电极88压在由该基片保持部36保持的基片W的边缘部上而通电,同时密封材料90的内周端部压接在基片W的边缘部的上面,将其不透水地密封,在防止供给到基片的上面的电镀液从基片W的端部渗出的同时,防止电镀液污染阴极电极88。
在该实施方式中,阴极部38在上下不能动作时与基片保持部36一体地旋转,但也可以上下自由动作,在下降时密封材料90压接基片W的被电镀面。
上述电极臂部30的电极部28,如图16至图20所示,具有通过滚珠轴承92连接在摇动臂26的自由端的外罩94、围绕该外罩94周围的中空支持框96、以所述外罩94和支持框96夹持边缘部而固定的阳极98,该阳极98覆盖上述外罩94的开口部,在外罩94的内部形成吸引室100。在该吸引室100的内部,接触阳极98的上面地配置电镀液导入管104,该电镀液导入管沿连接在从电镀液供给设备18(参照图2)延伸的电镀液供给管102的直径方向延伸,并且,在吸引室100中连通的电镀液排出管106连接在外罩94上。
上述电镀液导入管104如果作为歧管结构,对于向被电镀面上供给均匀的电镀液是有效的。即,以沿其长度方向连接而延伸的电镀液导入路104a和沿该导入路104a的规定的间距,设置在下方连通的数个电镀液导入口104b,并且,在对应于阳极98的该电镀液导入口104b的位置设置电镀液供给口98a。再在阳极98上设置遍及其整个面、上下连通的数个通孔98b。由此,从电镀液供给管102导入电镀液导入管104中的电镀液,从电镀液导入口104b和电镀液供给口98a到达阳极98的下方,并且以阳极98浸入电镀液中的状态,通过吸引电镀液排出管106,阳极98下方的电镀液从通孔98b通过吸引室100,从该电镀液排出管106排出。
在此,为了控制淀渣的生成,上述阳极98由含有含量为0.03~0.05%的磷的铜(含磷铜)构成。这样,如果在阳极中使用含磷铜,伴随电镀的进行,在阳极98的表面就形成称为黑色膜的黑膜。这种黑色膜是含有磷或C1的Cu+配位物,是由Cu2Cl2·Cu2O·Cu3P等构成的。由于形成这种黑色膜,抑制铜的不均匀化反应,因而在阳极98的表面稳定地形成黑色膜,这在使电镀稳定化上是非常重要的,但黑色膜发生干燥或发生氧化,如果从阳极98脱落,成为粒子。
因此,在本实施方式中,在阳极98的下面安装由覆盖阳极98的整个面的保水性材料构成的电镀液含浸构件110,在该电镀液含浸构件110中含有电镀液,使阳极98的表面湿润,借此防止由于黑色膜的干燥而引起的黑色膜由基片的被电镀面脱落及黑色膜的氧化,同时在基片的被电镀面和阳极98之间注入电镀液时,容易将空气抽出到外部。
该电镀液含浸构件110具有保水性和透过性,耐药品性优良。尤其对含有高浓度硫酸的酸性电镀液是耐久性的,而且在硫酸溶液中的杂质的溶出对电镀性能(成膜速度、比电阻、图案埋入性)不带来恶劣的影响,例如用聚丙烯制的纤维构成的纺织布构成。作为电镀液含浸构件,可举出除聚丙烯以外的聚乙烯、聚酯、聚氯乙烯、特氟隆、聚乙烯醇、聚氨酯及其衍生物,代替纺织布也可以是无纺布或者海绵状的结构体。另外,由氧化铝或SiC构成的多孔陶瓷、烧结聚丙烯等也是有效的。
即,将在下端具有头部的数个固定销112,使该头部在电镀液含浸构件110的内部不能脱出地收纳在上方,使轴部贯通阳极98的内部,通过U字状的板簧114将该固定销112推向上方,由此通过板簧114的弹力使电镀液含浸构件110贴紧地安装在阳极98的下面。由于这样的构成,伴随电镀的进行,即使阳极98的厚度逐渐地变薄,电镀液含浸构件110也能够牢靠地附着在阳极98的下面。因此,防止在阳极98的下面和电镀液含浸构件110之间混入空气而成为电镀不良的原因。
从阳极的上面侧,例如贯通阳极配置直径约2mm的圆柱状的PVC(聚氯乙烯)或者PET制的销,也可以在出现在阳极下面的该销的前端面施用粘结剂,和电镀液含浸构件粘结固定。在含浸材具有像多孔陶瓷那样充分的刚性的情况下,就不需要固定含浸材的销,可以仅将阳极载置在含浸材上。
而且,在基片保持部36处于电镀位置B(参照图5)时,上述电极部28下降至由基片保持部36保持的基片W和电镀液含浸构件110的间隙例如为约0.5~3mm,在该状态,从电镀液供给管102供给电镀液,既在电镀液含浸构件110中含有电镀液,又在基片W的上面(被电镀面)和阳极98之间充满电镀液,由此对基片W的被电镀面实施电镀。
此时,如图21所示,在大致对应于阳极98的电镀液供给口98a的位置,电镀液从电镀液含浸构件110的下面到达基片W的上面(被电镀面),由此,形成将电镀液含浸构件110和基片W的被电镀面桥接的电镀液柱120。然后,通过继续电镀液的供给,该电镀液柱120就逐渐地增长,或相互连接后,如图22所示,沿垂直于电镀液供给管104的方向前进,产生在基片W的被电镀面的整个面扩展的电镀液Q的液流。
由此,借助该电镀液Q的液流,气泡B被挤出到外方,而且该电镀液Q的液流的前线Q1大致呈直线,电镀液Q不进入空气。因此,防止在电镀液含浸构件110和基片W的被电镀面之间充满的电镀液中残留气泡。
如图4所示,在支持阴极部38的支持柱84的外方设立塞棒116,使设置在支持框96的周围的突出部96a与该塞棒116的上面接触,借此限制电极部28的下降。
下面,说明上述实施方式的电镀装置的动作。
首先,用搬运机械手14从卸载·装载部10取出电镀处理前的基片W,以使被电镀面向上的状态,从设置在框架侧面的基片搬出入口50搬运到其中一个电镀机构12的内部。此时,基片保持部36处于下方的基片交接位置A,搬运机械手14在其手到达平台68的正上方后,通过使手下降,使基片W载置在支持腕70上。然后,搬运机械手14的手经过上述基片搬出入口50退去。
搬运机械手14的手退去结束后,使杯40上升,同时使处于基片交接位置A的基片保持部36上升至前处理·洗净位置C。此时,伴随这种上升,载置在支持腕70上的基片,利用位置决定板72和挤压片74决定位置,用夹紧爪76牢靠地把持。
另一方面,电极臂部30的电极部28在此时处于电镀液盘22上的通常位置,电镀液含浸构件110或者阳极98位于电镀液盘22内,以该状态,在杯40上升的同时,开始向电镀液盘22和电极部28供给电镀液。然后,直至移至基片的电镀过程,供给新的电镀液,同时进行通过电镀液排出管106的吸引,进行包含在电镀液含浸构件110中的电镀液的交换和气泡抽出。杯40的上升一结束,就用杯40封堵框架侧面的基片搬出入口50而关闭,框架内外的气氛成为遮断状态。
杯40上升,并移至预涂处理。即,使接受基片W的基片保持部36旋转,使处于等待位置的预涂·回收臂32移动到和基片对峙的位置。然后,当基片保持部36的旋转速度到达规定值时,从设置在预涂·回收臂32的前端的预涂喷嘴64,向基片的被电镀面间歇地流出例如由表面活性剂构成的预涂液。此时,基片保持部36进行旋转,因此预涂液遍及基片W的整个被电镀面。接着,使预涂·回收臂32返回到等待位置,使基片保持部36的旋转速度增加,利用离心力甩掉基片W的被电镀面上的预涂液而干燥。
在预涂结束后,移至电镀处理。首先,在停止基片保持部36的旋转或者使旋转速度降低至电镀时的速度的状态下,使基片保持部36上升至实施电镀的位置B。于是基片W的边缘部就接触阴极电极88而成为能通电的状态,同时在基片W的边缘部上面压接密封材90,基片W的边缘部被不透水地密封。
另一方面,基于称为已搬入基片W的预涂处理结束的信号,电极部28位于从电镀液盘22上方实施电镀的位置上方使电极臂部30水平方向地旋转,到达该位置后,使电极部28向阴极部38下降。此时,电镀液含浸构件110不接触基片W的被电镀面,位于接近0.5mm~3mm的位置。在电极部28的下降结束的时刻,投入电镀电流,从电镀液供给管102向电极部28的内部供给电镀液,从贯通阳极98的电镀液注入孔98a向电镀液含浸构件110供给电镀液。
于是在对应于阳极98的电镀液注入孔98a的位置,就形成将电镀液含浸构件110和基片W的被电镀面桥接的电镀液柱120,伴随继续供给电镀液,电镀液柱120逐渐增长,相互连接后,沿垂直于电镀液导入管104的方向前进,在基片W的被电镀面的整个面上扩展。由此,借助该电镀液的液流,气泡被挤出到外方,而且电镀液不进入空气,因而防止在电镀液含浸构件110和基片W的被电镀面之间的电镀液中残留气泡。因此,包含从电镀液含浸构件110渗出的铜离子的电镀液充满电镀液含浸构件110和基片W的被电镀面间的间隙之间,其中不残留气泡,并在基片W的被电镀面上实施镀铜。此时,也可以以低速旋转基片保持部36。
在注入该电镀液时,最好在基片W和阳极98之间施加一定的电压。由此,在电镀液接触部流过一定密度的电流,选择合适的电压,就能够从蚀刻中保护基片W的铜晶粒(シ一ド)。
另外,在电镀处理时,从电镀液注入孔98a向电镀液含浸构件110供给电镀液,在电镀液含浸构件110和基片W的被电镀面之间注入电镀液,同时可以从电镀液排出管106吸引排出电镀液。由此,在电镀处理中使在基片W和阳极98之间充满的电镀液循环而产生搅拌,因而能够抽出电镀液中的气泡。不仅在电镀初期,而且可以在遍及电镀的全部时间进行电镀液的注入/吸引。
如果继续供给电镀液,包含从电镀液含浸构件110渗出的铜离子的电镀液,就充满电镀液含浸构件110和基片W的被电镀面之间的间隙,在基片的被电镀面实施镀铜。此时,也可以以低速旋转基片保持部36。
电镀处理一结束,就使电极臂部30上升,返回到电镀液盘22上方,下降至通常位置。接着,使预涂·回收臂32从等待位置向与基片W对峙的位置移动而下降,从电镀液回收喷嘴66回收基片W上的电镀残液。该电镀残液的回收结束后,使预涂·回收臂32返回等待位置,为了冲洗基片的电镀面,从纯水用的固定喷嘴34向基片W的中央部流出纯水,同时增加速度地旋转基片保持部36,用纯水置换基片W表面的电镀液。这样,通过进行基片W的冲洗,当使基片保持部36从电镀位置B下降时,防止电镀液飞溅而污染阴极部38的阴极电极88。
冲洗结束后进入水洗过程。即,使基片保持部36由电镀位置B下降至前处理·洗净位置,由纯水用固定喷嘴34供给纯水,并使基片保持部36和阴极部38旋转,由此实施水洗。此时,向阴极部38直接供给的纯水以及由基片W的面上飞散的纯水在洗净基片的同时洗净密封材90以及阴极电极88。
水洗过程结束后进入干燥过程。即,停止从固定喷嘴34供给纯水,并且增加基片保持部36和阴极部38的旋转速度,利用离心力甩掉基片表面的纯水而达到干燥。同时密封材90和阴极电极88也被干燥。干燥过程一结束,基片保持部36和阴极部38的旋转就停止,使基片保持部36下降至基片交接位置A。于是,解除利用夹紧爪76对基片W的把持,基片W成为载置在支持腕70上面的状态。与此同时,杯40也下降。
在以上的电镀处理及电镀处理附带的前处理或洗净·干燥过程的全部过程结束后,搬运机械手14将其手从基片搬出入口50插入基片W的下方,通过原封不动地上升,从基片保持部36接收处理后的基片W。然后,搬运机械手14使从该基片保持部36接收的处理后的基片W返回装载·卸载部10。
可是,在像该实施方式那样,在阳极的下面安装电镀液含浸构件进行电镀处理的情况下,在电镀液含浸构件110中形成的气孔的内部往往进入气泡。这样的气泡起绝缘体的作用,成为电镀处理时电流分布紊乱的原因。对此,有效的是,在进行电镀处理时,先进行吸引电镀液排出管106,使配置电镀液含浸构件110的空间减压,然后从电镀液导入管104向电镀液含浸构件110导入电镀液。如果这样做,就能够促进进入电镀液含浸构件110的气孔内部的气泡跑出到外部,由于均匀的电流分布能够实现高质量的电镀。进而,即使电镀装置增大时,如果进行这种处理,从最初就能够有效地去除进入电镀液含浸构件110的气孔中的气泡。
按照该实施方式的电镀装置,在由基片保持部使基片向上保持的状态下,能够在电镀处理前后进行电镀处理及称为在电镀处理中附带的前处理或洗净·干燥处理的其他处理。因此,用单一的装置就能够实现电镀的全过程,作为装置在达到精简化的同时,能够廉价地提供以小的占有面积完成的电镀装置。另外,作为电镀机构,能够搭载其他的半导体制造装置,因此对使电镀、退火、CMP等一系列的配线形成过程进行群集化时是有利的。
在此,如图23A所示,作为电镀液导入管104,具有沿相互垂直的方向呈放射状(十字状)延伸的翼部,可以使用在沿各翼部的长度方向的规定位置具有电镀液导入孔104b,作为阳极(未图示),可以使用在对应于该电镀液导入孔104b的位置具有电镀液注入孔98a的阳极。在此场合,和上述相同,在大致对应于阳极的电镀液注入孔98a的位置,形成将电镀液含浸构件110和基片W的被电镀面桥接的电镀液柱,伴随继续供给电镀液,电镀液柱逐渐地增长,然后产生在由电镀液导入管104划分的各区域内扩散成放射状的电镀液Q的液流,电镀液Q在基片W的被电镀面的整个面上扩展。
另外,如图23B所示,相互连通且同心圆地配置电镀液导入管104,在规定的位置设置电镀液导入孔104b的场合,也产生同样的电镀液Q的液流。电镀液导入管104的电镀液导入孔104b,也可以以等间距设置等径的孔,但调整间距和孔径,也能够控制电镀液的流出。
另外,如图24和图25所示,在阳极98的端部集中设置1个或者数个电镀液注入孔98a,可以同时向各电镀液注入孔98a导入电镀液。在此场合,和上述相同,在大致对应于阳极98的电镀液注入孔98a的位置,形成将电镀液含浸构件110和基片W的被电镀面桥接的电镀液柱120,伴随继续供给电镀液,在电镀液柱逐渐地增长后,产生朝向对面侧的一方流动的电镀液Q的液流,电镀液Q在基片W的被电镀面的整个面上扩展。
再如图26和图27所示,在水平地保持基片W的状态下,相对于基片W倾斜地配置阳极98侧,在阳极98接近基片W的位置设置电镀液注入孔98a,在向该电镀液注入孔98a导入电镀液的同时,可以使阳极98侧慢慢地与基片W水平而倒向基片W侧。在此场合,和上述相同,在大致对应于阳极98的电镀液注入孔98a的位置,形成将电镀液含浸构件110和基片W的被电镀面桥接的电镀液柱120,随着阳极98和基片W的倾斜角逐渐地变小,在阳极98和基片W的被电镀面之间的电镀液产生向一个方向展开的电镀液Q的液流,电镀液Q在基片W的被电镀面的整个面上扩展。
再者,和上述相反,水平设置阳极侧,相对于阳极侧倾斜地配置基片,也可以在注入电镀液的同时使基片慢慢地平行于阳极侧而放倒。
图28和图29表示本发明的其他实施方式中的阳极98和电镀液含浸构件110。即,在该实施例中,电镀液含浸构件110以氧化铝、SiO2、莫来石、氧化锆、二氧化钛、堇青石等多孔质陶瓷或者聚丙烯或聚乙烯等烧结体的硬质多孔质体,或者它们的复合材料构成。例如,在是氧化铝系陶瓷时,最好使用孔径30~200μm、气孔率20~95%、厚度5~20mm或者8~15mm左右的氧化铝陶瓷。
而且,该电镀液含浸构件110,在其上部设置凸缘部110a,该凸缘部110a用外罩94和支持框96(参照17和图18)夹持而固定,在该电镀液含浸构件110的上面载置保持阳极98。在该实施方式的情况下,能够载置多孔质体或者网状等各种形状的阳极。
这样,以多孔质体构成电镀液含浸构件110,通过复杂地进入其内部的电镀液,增大电镀液含浸构件110内部的电阻,在谋求电镀膜厚的均匀化的同时,能够防止发生开裂。另外,通过在电镀液含浸构件110的上面载置保持阳极98,伴随电镀的进行,即使阳极98下面与电镀液含浸构件110接触的一侧发生溶解,也不使用用于固定阳极98的夹具,利用阳极98本身的自重使阳极98的下面和基片W保持一定的距离,而且能够防止向其中混入空气而产生空气残留。
而且,在该实施例中,在阳极98的上面,设置和图22所示相同地沿直径方向延伸的十字形状的电镀液导入管104,在与设置在阳极98的该电镀液导入管104上的电镀液导入孔104b相对的位置设置电镀液注入孔98a。并且,在阳极98上设置数个通孔98b。
再者,在该实施例中,示出了在电镀液含浸构件110的上面载置保持阳极98的例子,但也可以配置在使电镀液含浸构件110和阳极98离开的位置上。
在此情况下,尤其作为阳极98,如果使用溶解性阳极,因为从下发生阳极溶解,所以阳极和电镀液含浸构件的间隙随时间经过而变大,往往形成0~20mm左右的间隙。
按照该实施方式,在大致对应于阳极98的电镀液注入孔98a的位置,电镀液从电镀液含浸构件110的下面到达基片W的上面(被电镀面),形成将电镀液含浸构件110和基片W的被电镀面桥接的电镀液柱120。此时,当电镀液流过电镀液含浸构件110的内部时,沿其流动方向稍微地扩散,由此,减轻对电镀液到达基片W时晶粒(シ一ド)层(参照图1A)产生的损伤,即由接触局部的喷流而产生的晶粒层的现象,能够有助于以后过程的膜厚均匀性。
如在图29中以假想线所示,在电镀液从电镀液含浸构件110的下面到达基片W的上面(被电镀面)形成电镀液柱120后,例如使基片W瞬时地上升,可以使电镀液含浸构件110和基片W瞬时地接近。另外,在基片的边缘仅施加压力弯曲成凹状,在此状态下,同样地形成电镀液柱120后,放开压力,使基片回到原来的形状,也能够使电镀液含浸构件110和基片W瞬时地接近。
由此,例如在电镀液含浸构件110的厚度是厚的场合或密度高的(气孔率低)的场合,电镀液流过电镀液含浸构件110的内部时的阻力变大,由此,在不流出规定量的电镀液的情况下,电镀液柱120的结合发生混乱,在此时即使卷入空气,也使电镀液含浸构件110和基片W瞬时地接近,由此在电镀液中也产生向外的激烈液流,将气泡和该电镀液一起向外驱逐,同时,能够在短时间向电镀液含浸构件110和基片W之间供给电镀液。
再者,非通电状态下的电镀液和晶粒层7的接触(参照图1A)导致晶粒层5的减少,如果在通电状态,电镀液在短时间内在基片W的表面不扩散,在电镀初期膜厚也产生偏差,这成为损害以后的电镀膜厚的均匀性的原因。通过在短时间内向电镀液含浸构件110和基片W之间供给电镀液,就能够防止这些弊端。
另外,如图28所示,正在电镀处理中,从电镀液注入孔98a向电镀液含浸构件110供给电镀液,在电镀液含浸构件110和基片W的被电镀面之间注入电镀液,同时经由通孔98b,也能够从电镀液排出管106吸引排出和该注入的电镀液同量的电镀液。
像这样,通过在电镀处理中搅拌电镀液,在发生液体膨胀时不能够抽出的气泡以及在液体膨胀后的电镀处理中发生的气泡都能够除去。
另外,在本电镀装置中,基片W的被电镀面和阳极98的间隔狭窄,所使用的电镀液少量就已足够,但是电镀液中的添加剂或离子的量是有限的,因此为了以短时间进行有效的电镀,必须使这些添加剂等在电镀液中均匀地分布。
在这点,按照该实施方式,在电镀处理中搅拌电镀液,因此能够成为添加剂或离子均匀地分布于其中的电镀液。
如图30所示,在阳极98的上面还设置具有和电镀液导入管104大致相同构成的添加剂导入管105a和添加剂导入口105b的添加剂导入管105,并且在和阳极98的该添加剂导入口105b相对的位置设置添加剂注入孔98c,在电镀液处理中,从添加剂注入孔98c断续地或者连续地供给含有均化剂或载体等添加剂或离子的液体(电镀液),由此可以做到补充由电镀消耗的添加剂或离子。在此场合,添加剂是微量的,因此不需要从通孔98b排出电镀液。另外,在电镀中途通过变化添加剂成分比,使线和间隔(line and space)部(配线部)与平坦部的膜厚高度差小,也能够提高以后过程的CMP特性。
在图31A和图31B以及图32A和图32B表示本发明以硬质的多孔质体构成电镀液含浸构件110的各自不同的其他实施方式。这些实施方式中,在电镀液含浸构件110的下面具备通过电镀液含浸构件110和基片W的相对地旋转使其间的电镀液放射状地向外扩展的机构,其他的构成与图28和图29所示的实施方式相同。
即,图31A和图31B是在电镀液含浸构件110的下面设置向外沿旋转方向弯曲的数个螺旋状的突起(翼)110b,图32A和图32B是电镀液含浸构件110的下面自身,例如具有1/100左右的斜度的中央在下方形成膨胀出的斜面110c。
在这些实施方式中,形成将电镀液含浸构件110和基片W的被电镀面桥接的电镀液柱120后,例如使基片W旋转,是使电镀液含浸构件110和基片W相对地旋转,由此,伴随旋转,一边搅拌电镀液含浸构件110和基片W间的电镀液,一边向外面扩展成放射状,将进入电镀液含浸构件110和基片W之间的气泡和电镀液一起强制向外驱逐,同时以短时间能够向电镀液含浸构件110和基片W之间供给电镀液。
尤其,如图31A和图31B所示,通过在电镀液含浸构件110的下面设置具有增强电镀液扩散的整流作用和伴随旋转的搅拌作用的突起110b,能够使电镀液中有限的添加剂或离子均匀地分布在基片W的表面。
再者,代替图31A和图31B所示的螺旋状突起110b,设置向外扩展成放射状的突起,或代替这些突起,也可以设置凹部(沟)。另外,代替图32A和图32B所示的斜面110c,也可以制成圆弧状的形状。
进而,如图33所示,在用密封材90不透水地将边缘部密封的基片W的被电镀面的上方预先装满电镀液,例如,图32A和图32B所示的下面一边使形成斜面110c的电镀液含浸构件110旋转,一边下降,由此使电镀液含浸构件110和基片W一边相对地旋转,一边逐渐地靠近,可以使电镀液含浸构件110和基片W之间充满电镀液。由此,随着电镀液含浸构件10和基片W相互接近,使电镀液含浸构件10和基片W间的气泡B逐渐地向外移动而确实地将其驱逐,能够用没有气泡的电镀液充满在电镀液含浸构件110和基片W之间。
在上述各实施方式中,虽然示出向上地保持基片的例子,但基片和阳极的上下关系当然不限于此。
按照该实施方式的电镀装置,在由基片保持部使基片向上保持的状态下,在电镀处理前后能够进行电镀处理和称为电镀处理附带的前处理或洗净·干燥处理的其他处理。因此,作为电镀装置在谋求精简化的同时,能够廉价地提供以小的占有面积完成的电镀装置。而且,能够用不残留气泡的电镀液充满在基片的被电镀面和阳极之间,因此在被电镀面上能够形成均匀且质量良好的电镀膜。
在此,如图34和35所示,在下端具有大直径的头部112a的数个略圆柱状的固定销112,使该头部112a在上方不能脱出地埋设而固定在电镀液含浸构件110的内部,插通设置在阳极98内部的贯通孔98c内并向上方突出地配置其轴部。而且,例如通过用合成树脂制的U字状板簧114将该固定销112推向上方,借此在阳极98的下面,通过板簧114的弹力贴紧地安装电镀液含浸构件110。
在该实施例中,在电镀液含浸构件110的下面侧设置规定深度的缝隙110b,使固定销112的轴部112a位于该缝隙110b内,使轴部向电镀液含浸构件110的内部刺进,借此使固定销112固定在电镀液含浸构件110上,利用电镀液含浸构件110自身的弹力复位,将该缝隙110b封堵。
在此,作为上述固定销112的材质,可举出聚丙烯、PEEK、PVC、聚乙烯等,但如果销的强度对电镀液的耐久性是足够的话,当然也不限于上述化合物。另外,固定销112的直径例如是0.5~4mm左右。并且固定销112的安装间距随所使用的电镀液含浸构件110和电镀面积等不同而异,例如在20.32厘米(8英寸)基片的电镀中,安装间距是5~40mm左右,安装个数是10~150个左右,希望安装间距是20mm,安装个数是50~100个左右。
由此,形成阳极98的黑色膜的下面被电镀液含浸构件10所保持的电镀液湿润,而且电镀液含浸构件110起到如过滤器的作用,从而防止黑色膜的干燥或脱落,还防止其氧化。而且,伴随电镀的进行,阳极逐渐地溶解消耗,即使其厚度变薄,利用板簧114的弹力使阳极98和电镀液含浸构件110经常贴紧,在此防止形成空气残留。通常,在1个月的电镀中,阳极消耗20~40mm左右。
如图36所示,作为固定销112,使用在下端具有钓钩状部112b的固定销,将该钓钩状部112b,从电镀液含浸构件110的上面侧挂在该电镀液含浸构件110上而固定,例如可以用合成树脂制的螺旋弹簧120将固定销112推向上方。板簧比螺旋弹簧在安装方法或价格方面是有利的。
另外,如图37所示,从阳极98的上面侧,例如插通阳极98的贯通孔98c内配置直径约2mm的圆柱状的PVC(聚氯乙烯)或者PET制的固定销112,在出现于阳极98下面的固定销112的前端面上施用粘结剂122,就可以做到和电镀液含浸构件110粘结固定。作为该粘结剂122,可举出氯乙烯系、硅改性聚合物、橡胶系、氰基丙烯酸酯系等,但如果对电镀液具有耐久性,有机物的溶出或分离发生少的话,当然也不限于这些。
进而如图38所示,在固定销112的前端设置尖塔状部112c,有利于实现固定销112刺进电镀液含浸构件110中。在此情况下,如图38所示,也可以在电镀液含浸构件110的下面侧设置穴位状的缝隙110c。
图39表示在本发明的其他实施方式的电解镀装置中使用的电解处理装置的主要部分概要图,图40表示其电等效电路图。这是以所谓的面向下方式保持直径200mm的硅片(以下称为基片),可以在该表面(下面)实施镀铜,在该基片W的下面(电镀面),作为导电层(晶粒层)S的铜溅射薄膜,例如以100nm的膜厚形成。
在该电镀装置中,例如具备保持以硫酸铜为基础的电镀液210并在上方开口的杯状电镀槽212,在该电镀槽212的底部例如设置具有直径为30mm的中央孔214a的环状阳极板214。该阳极板214的材质,例如是含0.04重量%磷的铜。在该电镀槽212的周围,配置回收从该电镀槽212的上部溢流的电镀液210的电镀液接收器216。
位于基片W的边缘部、在电镀槽212的上方,压接基片W的下面边缘部,设置阻止来自此的电镀液流出的唇形密封218及位于该唇形密封218的外面、与基片W接触而在该基片W上导入阴极电位的接点220。
在电镀槽212的内部,位于阳极板214和基片W之间配置导电率比电镀液210的导电率小的高电阻结构体222。该高电阻结构体的222,在该实施例中,例如在气孔率是30%、平均孔径是100μm、厚度T1是20mm的氧化铝制的多孔质陶瓷板224的内部具有电镀液210。即,多孔质陶瓷板224本身是绝缘体,但在内部复杂地进入电镀液210,在厚度方向走相当长的路径,由此构成高电阻结构体。即,在多孔质陶瓷板中形成的气孔的曲率高,因此和在厚度d的绝缘物中形成许多孔的陶瓷相比,在相同厚度d的多孔质陶瓷板中的气孔形成2d~3d的长路径。在和该多孔质陶瓷板224的阳极板214的中央孔214a相对的位置,例如以5mm的间距设置数个直径1mm的贯通孔224a。多孔质陶瓷板224可以附着在阳极板214上,另外相反,也可以附着在基片W上。
由此,使基片W向下地配置在电镀槽212的上部,从电镀槽212的底部,通过阳极板214的中央孔214a和多孔质陶瓷板224的贯通孔224a,向上方喷出电镀液210,一边使电镀液210的喷流接触基片W的下面(电镀面),一边在阳极板214(阳极电极)和基片W的导电层S(阴极电极)之间由电镀电源外加规定的电压,在基片W的下面就形成电镀膜。此时,使电镀槽212发生溢流的电镀液210,被电镀液接收器216回收。
使用该实施方式的电镀装置,在分别设定电流密度为20mA/cm2、阳极板214的上面和基片W的下面的距离L为50mm进行镀铜时,和设置多孔质陶瓷板224之前相比,电镀中需要的电源电压约上升2V。这等于多孔质陶瓷板224作为导电率比电镀液210小的电阻体发挥作用。
也就是,电镀槽212的横截面积是约300cm2,因此高电阻结构体222的电阻成为0.333Ω左右,在图40所示的等效电路中,等于由该高电阻结构体222产生的电阻Rp作为新电阻加入。再者,在图40中,电阻R1~R5表示与图74所示电阻R1~R5相同的电阻。
像这样,如果利用高电阻结构体222产生大电阻Rp,基片中央部的电阻和边缘部的电阻的比,即(R2+Rp+R3+R4)/(R2+Rp+R3+R4+R5)就接近1,导电层电阻5的影响可忽略,由基片W表面的电阻产生的电流密度的面内差变小,提高电镀膜的面内均匀性。
高电阻结构体222的电阻值,例如在200mm基片的场合,是0.01Ω以上,较好是0.01~2Ω的范围,更好是0.03~1Ω的范围,最好是0.05~0.5Ω的范围。按照以下的次序测定高电阻结构体222的电阻值。首先,在电镀装置内,在由仅离开规定距离的阳极板214和基片W构成的两电极之间流过规定值的直流电(I),进行电镀,测定此时的直流电源的电压(V1)。接着,在同一电镀装置中,在两电极间配置规定厚度的高电阻结构体,流过相同值的直流电(I),进行电镀,测定此时的直流电源的电压(V2)。由此,从Rp=(V2-V1)/I可以求出高电阻结构体的电阻值。在此场合,构成阳极板的铜的纯度最好是99.99%以上。另外,由阳极板和基片构成的两电极的距离,在直径是200mm的基片的场合,最好是5~25mm,在直径是300mm的场合,最好是15~75mm。通过试验测定基片的外周和中心之间的电阻值,或者从导电层S的材料比电阻和厚度,通过计算可以求出基片W上的导电层S的电阻R5。
如上所述,图41表示使用设置由多孔质陶瓷板224构成的高电阻结构体222的电镀装置(本实施方式例)和不设置由多孔质陶瓷板构成的高电阻结构体的电镀装置(以往例),在基片W的表面实施镀铜时基片面内的电镀膜的膜厚分布。从图41可知,在该实施方式的电镀装置中,不发生基片中央部分的薄膜化现象,形成均匀的镀层。
另一方面,电镀液的比电阻是约5.5Ω·cm,电镀槽212的横截面积是约300cm2,因此通过使基片W和阳极板214离开距离,如果想要得到相同的效果,即用电镀液210得到约0.333Ω的电阻,就必须多离开约18cm,这关系到装置尺寸的大型化。
再者,在该实施方式中,示出了以氧化铝制多孔质陶瓷板构成高电阻结构体的例子,但也证实用碳化硅陶瓷等其他材质也可得到相同的效果。另外,气孔率或孔径、气孔的曲率等,可以根据目的进行适当选择。例如,在该实施方式中,在多孔质陶瓷板上开1mm的贯通孔,促进电镀液的循环,但在气孔径大的场合,可以不要。
另外,如果使用将氯乙烯捆成纤维束状并使其相互熔融粘附,就能够得到大量在厚度方向保持直线贯通的孔的板,可以用这种板构成高电阻结构体。也可以将聚乙烯醇等的发泡体或特氟隆(商品名)等纤维整形成织布或无纺布的形态,并使用它们构成高电阻结构体。并且,这些高电阻结构体或导体和绝缘体组合而成的复合体,或者与导体相互组合的复合体,也可得到同样的效果。
这些高电阻结构体,在装入电镀装置之前都可以进行适宜的前处理。尤其,酸洗、脱脂、用电镀液或者电镀液中的一成分进行清洗等是有效的。高电阻结构体的厚度或形状,只要不脱离本发明的主旨,当然可以进行适当的变化。
另外,在该实施方式中,对于电解镀进行了说明,但如果使电流方向逆转,即,原封不动地使用该装置,使电源的极性受转,能够进行电解蚀刻,在此场合,能够提高蚀刻的均匀性。已经知道,在LSI中的铜配线用的电镀过程中,在电镀过程的前后,加上反电解,进行电解蚀刻。例如已查明,使用该装置,以20mA/cm2的电流密度,进行7.5秒的电镀,就形成50nm的铜镀膜,使电源的极性反转,以5mA/cm2的电流密度,实施20秒的蚀刻,对32nm的铜镀膜进行蚀刻,这样之后,通过实施最终电镀,进行均匀的蚀刻,可提高埋入特性。
图42表示在本发明的其他实施方式的电解镀中使用的电解处理装置。该电镀装置采用所谓的面向上方式,因而基片W向上地载置在基片载置台230上,位于基片W的边缘,设置例如维通橡胶制的唇形密封234、以及位于该唇形密封234的外方并且与基片W的导电层S接触而在该基片W上导入阴极电位的接点236。该唇形密封234,例如具有10mm的高度,做到能够保持电镀液210。
在基片载置台230的上方配置保持具232,构成阳极板238和高电阻结构体240的多孔质陶瓷板242离开规定的间隔地保持固定在该保持具232上。该多孔质陶瓷板242,在该例子中,例如以气孔率是20%、平均孔径是50μm、厚度T2是10mm的SiC制成,通过在内部含有电镀液210构成高电阻结构体240。另外,阳极板238形成用保持具232和多孔质陶瓷板242完全覆盖的结构。希望多孔质陶瓷板242在积存电镀液的其他槽(未图示)中预先含浸电镀液。
而且,在基片W的上面和多孔质陶瓷板242的下面之间设置间隙S1设定为2mm左右的第1电镀室244,而在多孔质陶瓷板242的上面和阳极板238的下面之间设置间隙S2设定为1.5mm左右的第2电镀室246,分别向这些电镀室244、246中导入电镀液210。作为该电镀液210的导入方法,从唇形密封234和多孔质陶瓷板242端面的间隙导入,或通过设置在阳极板238上的贯通孔,对多孔质陶瓷板242的里侧(上部)加压,导入电镀液210的方法。
在该实施方式中,在电解镀中,也可以使基片W和基片载置台230,或者阳极板238和多孔质陶瓷板242旋转。
使用该实施方式的电镀装置,在基片W的上面(电镀面)进行镀铜,调查该镀铜膜的膜厚时已清楚,通过设置由多孔质陶瓷板242构成的高电阻结构体240,和上述实施方式同样地提高膜厚的面内均匀性。
在该实施方式中,形成用多孔质陶瓷板242和保持具232完全覆盖阳极板238、在阳极板238和多孔质陶瓷板242之间充满电镀液210的结构,但这样地构成的同时,通过适当选择多孔质陶瓷板242的气孔率或曲率、孔径等,能够得到在过去没有的新效果。
使用该实施方式的电解镀装置,进行300秒(2μm)的电镀处理时的电镀液210中的铜离子浓度变化示于图43。在图43中,区域A是关于多孔质陶瓷板242和基片W之间的电镀室244内的电镀液210的数据,区域B是关于阳极板238与多孔质陶瓷板242之间的电镀室246内的电镀液210的数据。
从图43可知,在区域A中,随电镀进行,铜离子浓度降低。该降低率和通过电镀在基片表面消耗的铜离子的理论值是一致的。另一方面,在区域B,铜离子浓度反而上升,该上升率和在阳极板发生的铜离子的理论值是一致的。
从以上的事实可以清楚,在夹持多孔质陶瓷板242的区域A(电镀室244)和区域B(电镀室246)之间,几乎不发生铜离子交换,多孔质陶瓷板242充当隔膜的作用。换言之,这叫做在阳极侧发生的反应对基片侧不带来影响。
另外,在进行铜的电解镀时,通常必须在阳极上进行特殊的照料。第一,为了捕获从阳极产生的一价铜离子,在阳极表面需要形成称为“黑色薄膜”的胶质黑色膜,因而在阳极材料中使用含磷铜。该黑色膜一般叫做铜、磷、氯等的复合物,但在电镀液中仅送进二价铜离子,具有捕获一价铜离子的作用,而该一价铜离子是电镀表面异常析出等的原因。
按照该实施方式的电镀装置,从图43可知,在多孔质陶瓷板242的上下不发生铜离子交换,因而不需要像这样的考虑。另外。铜的阳极板238和电镀一起发生电解消耗,其表面也有脱落,但该脱落被多孔质陶瓷板242捕获,也不附着在基片W的电镀表面。进而,代替在阳极中使用溶解性的铜阳极,也可以使用不溶解性的阳极,例如在钛表面覆盖氧化铱的阳极。在此场合,在阳极表面发生多量的氧气,但由于该氧气也不到达基片表面,不会发生一部分镀膜脱落等不良现象。
这样,以适当的物质作为导电率小的物质,导入电镀液中,而且使阳极和阴极分离地一样配置,也能够得到隔膜效果。
图44表示在本发明的其他实施方式的金电解镀装置中使用的电解处理装置,该电镀装置具有箱形的电镀槽250,该电镀槽250的一个开口端,例如用在钛母体材料上涂布氧化铱的不溶解性阳极板252封闭,而另一个开口端,用在电镀槽250侧保持基片W的盖体254开闭自由地封闭。另外,在电镀槽250的盖体254侧端部设置压接在基片W上的唇形密封256,阻止来自基片W的电镀液210流出,还设置位于该唇形密封256的外方、与基片W的导电层S接触而在该基片W上导入阴极电位的接点258。
在电镀槽250的内部,利用预先设置在电镀槽250上的网262a、262b保持地配置2个隔膜260a、260b,以便将基片W和阳极板252隔开。作为该隔膜260a、260b,使用强酸性阳离子交换膜,例如トクャマ制的CMS或デュポン公司制的N-350等。
由此,在电镀槽250的内部,划分形成由面向基片W的电镀室264、面向阳极板252的电解液室266及隔膜260a、260b夹持的高电阻电解液室268。进而,在这些室264、266、268中分别设置个别的液循环路径。
而且,在电镀室264中例如导入以氰化金钾为基础的电镀液270,在电解室266中例如导入由硫酸水溶液(80g/l)构成的电解液(电镀液)272,例如以每分钟20升进行循环。另外,在高电阻电解液室268中,不受电镀处理的制约,例如导入由稀硫酸水溶液(10g/l)构成的、导电率小的高电阻电解液274,由此,在此构成高电阻结构体276。
这样,通过在由2个隔膜260a、260b划分的高电阻电解液室268内充满稀硫酸水溶液等的高电阻电解液274,构成高电阻结构体276,其介于电镀液270、272之中,由此提高体系全体的电镀电阻,能够大幅度地减低由导电层的电阻产生的基片面内镀金膜的膜厚分布。而且,在该实施例中,通过变化稀硫酸液的浓度,任意地选定电镀系的电阻值,根据电镀的种类、基片的状况等,能够适宜地变化电镀条件。
该电镀装置是以盖体254保持基片W,关闭该盖体254,分别向电镀室264、电解液室266导入电镀液270、电解液(电镀液)272,并使其循环,而且以在高电阻电解液室268中充满高电阻电解液274的状态,利用外部电源(未图示)在阳极板252和基片W上的导电层S上流过电流,由此形成电镀膜,在阳极板252的表面发生的氧气278和电解液(电镀液)272一起排出到外部。
即使在该实施方式中,使阳极板和基片的距离非常大,提高电镀液自身的电阻,也能够得到同样的效果,但这不仅使装置巨大化,而且不得不大量地使用镀高价金用的氰化金钾,工业上的不利变大。
在以上的实施方式中,圆盘形状的基片称为被处理基片,但也不一定必须是圆盘状,当然,例如也可以是矩形。
按照该实施方式的电解处理装置,通过高电阻结构体,使浸入电解液中的阳极和阴极之间的电阻比仅由电镀液构成的场合高,能够使由被处理基片的电阻引起的电流密度的面内差更小,由此能够更提高利用电解处理的被处理基片的面内均匀性。
作为电场状态控制手段使用绝缘性部件的实施方式
图45是本发明的其他实施方式的电解镀装置的概略构成图。在图45中所示的电解镀装置是采用所谓的面向上方式的电解镀装置,基片W向上地载置在基片载置台330上。基片W的边缘接触形成环状的唇形密封334的前端而密封,在其内侧充满电镀液310。另外,在位于基片W的表面侧上的唇形密封334的外方,设置接触基片W表面的导电层并在基片W上外加阴极电位的接点336。
在基片W的上方,通过规定的间隙,圆板状的高电阻结构体340和圆板状的阳极板338保持在保持部件332中。在此,在阳极板338上设置沿厚度方向贯通的数个细孔339,在阳极板338的上方设置向上述各细孔339分配并供给电镀液的电镀液导入管341。
高电阻结构体340在该实施方式中如下构成,在多孔质陶瓷板(例如气孔率是20%、平均孔径是50μm、厚度是10mm的SiC制)342的内部含有电镀液310。另外,阳极板338成为被保持部件332和多孔质陶瓷板342完全覆盖的结构。
在该实施方式中,在多孔质陶瓷板(多孔质物质)342的外周侧面中,包围该外周侧面地卷缠带状的绝缘构件350。作为该绝缘构件350的材质,例如可举出像氟橡胶那样的伸缩性材料。
而且,从电镀液导入管341,通过阳极板338的细孔339,向多孔质陶瓷板340加压供给电镀液310,该电镀液浸透在多孔的多孔质陶瓷板342内,与此同时,从其下面流出。由此,多孔质陶瓷板342的内部、以及基片W和多孔质陶瓷板342之间的空间充满电镀液310。再者,电镀液310的导入也可以从唇形密封334和多孔质陶瓷板342端面的间隙进行。在此场合,不需要电镀液导入管341以及阳极板338的细孔339。
在该状态,在阳极板338和基片W之间外加规定的电压,一流过直流电流,就在基片W的导电层的整个表面进行电镀(例如镀铜)。按照该实施方式,多孔质陶瓷板342介于阳极板338和基片W之间,因此如上所述,不易受由于自基片W表面的接点336的距离不同而引起的各部电阻值不同的影响。在基片W的导电层的整个表面进行大致均匀的电镀(例如镀铜)。
但是,接近接点339的外周部附近部分,尽管如此,电流密度变高,有电镀膜厚比其他部分变厚的倾向。
因此,在该实施方式中,通过在多孔质陶瓷板342的外周侧面上卷缠绝缘性部件350,如在图45中以虚线所示,阻碍电流集中在基片W的外周部附近,降低其电流密度,使得与流向基片W的其他部分的电流密度大致相同。
图46是使用上述方法在基片W上进行镀铜时测定基片W的外周部分附近的镀铜膜厚的结果图。如图46所示可知,通过变更绝缘构件350的宽度L(参照图45),可变化基片W的外周部附近的镀铜膜厚。即,如果宽度L变得越长,基片W的外周部附近的电流密度就变得越低,电镀膜厚变得越薄。因此,作为绝缘构件350,使用具有所希望的宽度L(例如L=4mm)的绝缘构件,借此能够使基片W的外周部附近的电镀膜厚和其他部分相同。这样,绝缘构件350是利用宽度L的长度调整多孔质陶瓷板340的外周侧面的掩蔽面积,但绝缘构件350的掩蔽面积的调整,不仅可以调整宽度L,而且也可以通过在掩蔽物自身上钻孔来调整掩蔽面积。
再者,本发明不一定仅在使基片W的外周部附近的电镀膜厚和其他部分相同的电镀膜厚的场合使用。例如在想使基片W的外周部附近的电镀膜厚比其他部分厚的场合,可以使绝缘构件350的宽度L变小,相反的场合则变大。即,按照该实施方式,能够自由地将基片W的外周部附近的电镀膜厚控制至所希望的膜厚。
图47是表示本发明的其他实施方式的图。在该实施方式中,和上述图45所示的实施方式的不同点是,代替安装带状的绝缘构件350,在多孔质陶瓷板342的外周设置可动式的圆筒状绝缘构件350a。该绝缘构件350a设置在绝缘构件保持具351的下端。该绝缘构件保持具351,利用未图示的上下驱动机构可上下自由地移动。如果这样的构成,就使绝缘构件350a的位置上下移动,使对多孔质陶瓷板342的相对位置发生变更,调节多孔质陶瓷板342的外周侧面的露出面积,由此能够任意地控制基片W的外周部附近的电流密度,从而能够和图45所示实施方式相同地任意调整基片W的外周部附近的电镀膜厚。
图48是表示本发明的其他实施方式的图。在该实施方式中,和上述图45所示的实施方式的不同点是,代替安装带状的绝缘构件350,在多孔质陶瓷板342的外周侧面自身上涂布或者浸透绝缘材料,由此设置绝缘材料350b。例如在多孔质陶瓷板342的外周侧面,浸透玻璃或树脂或者硅等作为绝缘材料,由此设置绝缘构件350b。在此场合,通过调节浸透宽度和浸透深度分布,能够任意地控制基片W的外周部附近的电流密度,从而能够和图45所示实施方式相同地任意调整基片W的外周部附近的电镀膜厚。另外,使母体材料(例如SiC)的一部分氧化,也能够控制电流密度。
使用密封构件的实施方式
图49是表示和图45所示相同结构的电解镀装置的多孔质陶瓷板342的外周部附近分的主要部分概略图。但在该电解镀装置中,未图示出图45所示的绝缘构件350。在该电解镀装置中,保持部件332和多孔质陶瓷板340之间的间隙未密封,因此如箭头所示,通过该保持部件332和多孔质陶瓷板340之间的间隙部分,从阳极板338流出电镀液,由此产生电流的通路。该电流通路是不通过多孔质陶瓷板340的内部的通路,因而电阻值低,电流密度变高,存在不能将基片W的外周部附近的电镀膜厚控制得薄的危险。
因此,在该实施方式中,如图50A所示,在上述多孔质陶瓷板340和保持部件332之间设置密封构件360,由此防止从该部分泄漏电镀液,从而能够将基片W的外周部附近的电镀膜厚控制得较薄。
该实施方式中的密封构件360,其断面为反L字状,并且由绝缘物构成,因此同时具有作为图45所示的绝缘构件的作用。另外密封构件360可以如下的构成,即,如在图50B中所示的密封构件断面,使与保持部件332和多孔质陶瓷板340的下面接触的部分密封的环状密封构件360a、与图45所示的带状密封构件350发挥同样机能的绝缘构件360b,作为另外的构件各自安装。
该密封构件360自然也能够适用于图45所示以外的各实施方式。即,防止从高电阻结构体340的外周侧面和保持部件332之间泄漏电镀液的密封构件360和有关其他各实施方式的电场控制手段并用,由此可进行更有效的电场控制。
在想要提高阳极和基片间的电流密度的部分设置不存在高电阻结构体部分作为电场状态控制手段的实施方式
图51是其他的实施方式的电解镀装置的概略构成图。在图51中,和图45所示的实施方式的不同是,在高电阻结构体340的外周安装绝缘构件350,代替此,通过变更高电阻结构体340自身的形状本身,可进行电镀膜厚的控制。
图52A至图52D是在图51所示电解镀装置中使用的高电阻结构体340的平面图。即,该实施方式中的高电阻结构体340例如是多孔质陶瓷板342,如图52A所示,多孔质陶瓷板342的外周形状形成多边形,或如图52B所示,在每个规定的间隔设置缝隙365,或如图52C所示,形成波形(或者齿轮形)。与此相对,阳极板338和基片W如点划线表示是圆形,在基片W的外周附近,不存在多孔质陶瓷板340,仅存在电镀液310,产生和阳极板338相对的部分,与存在多孔质陶瓷板340的部分相比,阳极板338和基片W间的电阻降低,基片W的外周部的电流密度变高。因此,在这些实施方式的场合,能够使基片W的外周附近的电镀膜厚比中央附近的电镀膜厚更厚。
另外,在想要将基片W的中央部分等、基片W的外周部分以外的其他部分的电镀膜厚控制得厚的场合,如图52D所示,通过在多孔质陶瓷板342的内部设置穴366,可以设置在阳极板338和基片W之间不存在多孔质陶瓷板342的部分。
在图51所示的电解镀装置中,通过旋转基片W,遍及基片W的整个面进行均匀膜厚的电镀。代替基片W的旋转,或者在基片W旋转的同时,也可以旋转保持部件332侧。所谓可以旋转基片W和/或保持部件332,并不限于图51所示的例子。
作为电场状态控制手段在高电阻结构体的厚度上保持分布的实施方式
图53是本发明的其他实施方式的电解镀装置的概略构成图。在图53所示的电解镀装置中,高电阻结构体的342的厚度保持二维的分布,由此将基片W表面上的电流密度分布控制成所希望的分布,从而控制电镀膜厚。即,在该实施方式中,高电阻结构体340,例如是多孔质陶瓷板342,使多孔质陶瓷板342是圆形,中心部的厚度比边缘部的厚度薄。如果这样构成,就能够使在中央部的阳极板338和基片W之间的电阻值比边缘部的电阻值低,如前所述,越接近中心,越能够变薄地均匀控制电镀膜厚。
图54是使用厚度均匀的多孔质陶瓷板以及具有如图53所示的厚度分布的多孔质陶瓷板作为多孔质陶瓷板342,在基片W上进行电镀时对电镀膜厚测定值进行比较表示的图。从图54可知,使用图53所示的多孔质陶瓷板342时,达到基片W上的电镀膜厚均匀化。如图55所示,使图53所示的多孔质陶瓷板342形成反向的结构,也得到同样的效果。
同样地,如图56所示,使多孔质陶瓷板342中央的厚度比边缘部的厚度厚,由此也可以使边缘部的电镀膜厚比中央部厚。如图57所示,通过在多孔质陶瓷板342上设置贯通孔367,也可以使设置贯通孔367的部分的电镀膜厚比其他部分厚。另外如图58所示,使多孔质陶瓷板342的外周角部形成倒角形状,由于边缘部的厚度薄,使电阻比其他部分降低,也可以使基片W的外周附近的电镀膜厚比其他部分厚。要点是,通过高电阻结构体340的厚度(也包括厚度=0)保持分布,可以将各部的电镀膜厚控制成所希望的厚度。
作为电场控制手段在多孔质物质的气孔结构上保持分布的实施方式
图59是本发明的其他实施方式的电解镀装置的概略构成图。在图59所示的电解镀装置中,高电阻结构体340使用气孔结构具有二维分布或者三维分布的多孔质物质(例如多孔陶瓷)342a。多孔质物质342a,由于气孔的孔径或数量、排列状态等不同,在其内部保持的电镀液的量或保持状态也不同,由此电阻值也不同。因此在该实施方式中,使中央附近的气孔结构C1和外周附近的气孔结构C2不同,中央附近的气孔结构C1为低电阻。如果这样构成,中央附近的电流密度增大,容易形成该部分的电镀。当然,相反外周附近的气孔结构C2为低电阻,也能够使外周附近的电镀膜厚变厚。另外使用三种以上的气孔结构,也可以进行更复杂的电镀膜厚控制。
作为在气孔结构中产生分布的方法有以下的方法:如图59所示,在多孔质物质342a一体形成时产生分布的方法,或如图60A和图60B所示,分别形成多孔质物质342a内气孔结构不同的部分C1、C2,然后通过组合而形成一体化的方法。
作为气孔结构的要素,有气孔径(例如孔径在50~400μm的范围不同)、连续气孔率(气泡彼此相关的程度...相关方的电阻值变小)、曲率(相关的气孔的厚度方向的弯曲情况...弯曲少时电阻值变小)。
为了使气孔结构不同,例如也可以使其材质本身不同(例如树脂系材料和陶瓷系材料等)。另外,作为控制多孔质物质342a的气孔率分布的手段,也有将多孔质物质342a(在此是多孔陶瓷)的表面或者内部的至少一部分进行封孔处理(使用树脂或硅烷醇系的涂布型绝缘膜,使母体材料的SiC部分氧化等)的方法。另外,也有在实施面一样地封孔处理后,将一部分的封孔部进行开孔,改变面内的气孔分布的方法。
另外,作为多孔质物质342a的材料,也有如图61A和图61B所示的各向异性的结构材料。即,是图61A所示的方向性多孔质结构材料或图61B所示的纤维型多孔质结构材料等。作为构成这些各向异性结构材料的材质,有树脂或陶瓷等。这些各向异性结构材料,在特定方向与气孔有关,而在特定方向电流容易流动(在其他方向电流不易流动),能够提高电流密度的控制性。而且通过使用该各向异性结构材料使多孔质物质342a的中央附近的气孔结构C1和外周附近的气孔结构C2不同,能够使外加在基片W表面上的电流密度分布形成所希望的分布。
以上虽然说明了本发明的实施方式,但本发明并不限于上述实施方式,在权利要求的范围内、及在说明书和附图中记载的技术思想的范围内,可以进行各种的变更。再者,即使是直接说明书和附图中没有记载的任何形状或材质,达到本申请发明的作用、效果的以上也是在本申请发明的技术思想的范围内。
例如在上实施方式中,例示出将本发明用于所谓的面向上方式的电解镀装置的例子,但也能够用于图62所示的所谓的面向下方式的电解镀装置。即,该电解镀装置这样的构成:具备保持电镀液310的杯状电镀槽312,在该电镀槽312的底部设置圆盘形状的阳极板314,在其上设置圆板状的高电阻结构体324,在电镀槽312的周围配置回收从电镀槽312的上部溢流的电镀液310的电镀液接收器316,在设置于电镀槽312的上部的唇形密封318上载置基片W,使接点320接触基片W下面的外周。
于是通过设置在阳极板314中央的贯通孔314a以及在高电阻结构体324中央的小孔324a,一边使电镀液循环,一边在阳极板314和基片W之间外加电压而流过电流,在基片W的下面上形成电镀层。
于是像上述各实施方式那样,或在高电阻结构体324的外周设置绝缘性构件,或改变厚度,或改变气孔结构,就能够使在基片W上形成的电镀膜厚的分布成为所希望的分布。
另外,如图63所示,本发明也能适用于封闭式的电解镀装置。即,该电解镀装置具有箱形的电镀槽350,在该电镀槽350的一个开口端用阳极板352封闭,使用将基片W保持在电镀槽350侧的盖体354开闭自由地封闭另一个开口端。在基片W和阳极板352之间,利用2个网362a、362b保持2个隔膜360a、360b,将2个隔膜360a、360b夹持的高电阻电解液室368划分形成为高电阻结构体376。
而且分别向电镀室364导入电镀液370,向电解液室366导入电解液(电镀液)372,一边进行循环,一边在阳极板352和基片W之间外加电压,由此流过电流,并在基片W上形成电镀层。
于是像上述各实施方式那样,或在高电阻结构体376的上面设置绝缘性构件,或加工网362a、362b的面来改变高电阻电解液室的厚度等形状,就能够使在基片W上形成的电镀膜厚的分布成为所希望的分布。
再者,作为隔膜360a、360b的种类,一般使用强酸性阳离子交换膜,例如トクャマ制CMS或デュポン公司制N-350等,但也可以是改变阳离子的选择性阴离子交换膜或者非离子交换膜。作为高电阻电解液室368中的电解质,一般是(50~200g/l)H2SO4,但可以选择任意的浓度,当然作为电解质,也不限于硫酸。
另外,本发明自然也适用于其他的各种结构的电解镀装置(包括面向上、面向下的任何方式)。在上述各实施方式中,示出了将本发明使用于电解镀装置中的例子,但代替所述的例子,也适用于以基片作为阳极进行的电解蚀刻装置。
另外,在上述各实施方式中,示出了使用圆形的基片作为被处理基片,电场分布也完全是同心圆状的,但被处理基片也可以是圆形以外的各种形状,并且根据需要,电场分布也可以是非同心圆状的。例如作为被处理基片,可以使用LCD等的板状(包括圆形以外的形状),另外阴极接点366不仅是环状,也可以是从一个方向接触被处理基片的。另外阴极接点366也可以接触被处理基片的外周以外的位置。
这样,通过将被处理部件表面的电场状态积极地控制成所希望的状态,就能够使由被处理部件的电解处理产生的状态达到所希望的面内分布的处理状态。
在阳极板(电极)438的电解液导入孔(电镀液导入孔)439内部插入管445的实施方式
图64是本发明的其他实施方式的电解镀装置的概略构成图。即,该电解镀装置是采用所谓的面向上方式的电解镀装置,基片W向上地载置在基片载置台430上,环状唇形密封434的前端接触基片W表面的边缘,由此进行密封。另外,在基片外表面的唇形密封434的外方,设置接触基片W表面的导电层并在基片W上外加阴极电位的接点436。在基片W的上方,通过规定的隔膜,使圆板状的多孔体440和圆板状的阳极板438被保持在保持部件432上。在此,在阳极板438上设置在厚度方向贯通的数个电镀液导通孔439,在阳极板438的上方设置分配并供给电镀液的电镀液导入管441。
一个多孔体440由多孔质陶瓷材料或多孔质树脂材料构成,在该实施例中,例如以气孔率20%、平均孔径50μm的SiC制成(当然也可以由其他的各种材质构成,但希望孔径是20~300μm、气孔率是10~95%),在内部以自己保持含有电镀液410,进行电导通,但比电镀液410的导电率小。另外,阳极板438被保持部件432和多孔体440完全覆盖。
而且在本实施方式中,在电镀液导入管441自身上设置和电镀液导入管连通的管445,该管445插入阳极板438的电镀液导通孔439内,使其前端与多孔体440表面接触。即,在该实施方式中,能够完全不接触阳极板438向多孔体440表面供给电镀液410。该电镀液导入管441和管445由不受电镀液任何影响的材质即合成树脂一体地形成。
而且从电镀液导入管441通过管445向多孔体440表面直接供给的电镀液,一边仅向多孔体440内扩散,一边到达基片W表面,基片W和多孔体440的表面之间形成数个圆形的液柱R,数个液柱R在基片W上相互结合,在基片W上充满电镀液。
而且即使反复进行该电镀过程,随时间经过,管445的前端的内径也不扩大,因而理想的液柱R随时间经过也不崩溃,因此不产生由液柱R结合的紊乱而引起的空气卷入,气泡不会堆积在多孔体440和基片W之间,电镀膜厚不会成为不均匀。
图65是本发明的其他实施方式的电解镀装置的概略构成图。在该电解镀装置中,和上述图64所示实施方式的不同点是,代替在电镀液导入管441上与其一体地形成管445,在阳极板438的电镀液导入孔439内插入另外制成的管447。在此场合,也以不受电镀液任何影响的材质构成管447,使其前端(下端)与多孔体440的上面接触。
即使这样地构成,和图64所示实施方式相同,电镀液也不直接接触阳极板438,即使反复进行电镀过程,随时间经过管447的前端的内径也不扩大。
因此,从多孔体440供给的液柱R随时间经过也不崩溃,而总是保持理想的状态,不发生空气卷入。
在多孔体440内设置电解液通路部的实施方式
图66是本发明的其他实施方式的电解镀装置的概略构成图。在该电解镀装置中,不设置图64所示的电镀液导入管441,用保持部件432保持阳极板438和多孔体440(440a、440b)。而且在阳极板438和多孔体440之间设置液体积存部450。即,在阳极板438上不设置如图64所示的数个细小的电镀液导入孔439,而是在其中央设置1个粗大的电镀液供给部455。
多孔体440,通过在其上下重叠二个部件(上部多孔体440a和下部多孔体440b)而构成。而且在上部多孔体440a上设置数个细的、到达上下面的电镀液通路部457。以低密度(气孔率高)的气孔结构构成电镀液通路部457的部分,以高密度的气孔结构构成除此以外的全体部分。另外,下部多孔体440b以低密度的气孔结构构成其全体。
在此构成时,从阳极板438的电镀液供给部455供给电镀液,在电镀液410首先充满液积存部450后,主要由阻力小的电解液通路部457中通过,到达下部多孔体440b的表面,再一边向下部多孔体440b的内部扩散,一边到达基片W表面,在基片W和下部多孔体440b的表面之间形成数个圆形的液柱R,数个液柱R相互结合,一边排除在基片W上结合的空气,一边在基片W上充满电镀液。
而且即使反复进行该电镀过程,随时间经过电镀液通路部457的前端的内径也不扩大,因而理想的液柱R随时间经过也不崩溃。因此,不发生由液柱R结合的紊乱引起的空气卷入,在下部多孔体440b和基片W之间不堆积气泡,电镀膜厚不会变得不均匀。
图67是本发明的其他实施方式的电解镀装置的概略构成图。在该电解镀装置中,和上述图66所示实施方式的不同点,仅是多孔体440的结构。即,在该多孔体440中,在其内部设置由孔构成的电镀液通路部459。由设置在多孔体440的上面中央的主通路461的数个分支而形成该电镀液通路部459。各电镀液通路部459的前端在多孔体440的内部结束。
而且从阳极板438的电镀液供给部455供给电镀液,在电镀液首先充满液体积存部450后,从多孔体440的主通路461导入各电镀液通路部459,一边从其下端向多孔体440的内部扩散,一边到达基片W的表面,在基片W和多孔体440的表面之间形成数个液柱R,数个液柱R在基片W上相互结合,在基片W上充满电镀液。
而且即使反复进行该电镀过程,随时间经过电镀液通路部459的前端的内径也不扩大,因而理想的液柱R随时间经过也不崩溃,因此,不发生由液柱R结合的紊乱引起的空气卷入,在下部多孔体440b和基片W之间不堆积气泡,电镀膜厚不会变得不均匀。
另外,通过调整电解液通路部459的前端(底面)的位置,能够缩短从电解液通路部459的前端至多孔体440的下面的距离,由此降低电镀液通过多孔体440时的阻力,因此作为多孔体440,即使在使用厚度厚的或密度高的(气孔率低)多孔体的场合,也能够使液体膨胀时的电镀液通过多孔体440的阻力小,其结果,从多孔体440的规定位置出来适量的电镀液。因此从这点看,也不发生由液柱R结合的紊乱引起的空气卷入,在多孔体440和基片W之间不堆积气泡,电镀膜厚也不会变得不均匀。
再者,在该多孔体440中形成由孔构成的电解液通路部459是困难的,因而以图67所示的A、B线上下分割成三部分制作多孔体440,将这三部分结合固定成为一体化。
在阳极板438的电镀液导入孔(电解液导入孔)439的内部插入管的同时在多孔体440的内部设置电解液通路部459的实施方式
图68是本发明的其他实施方式的电解镀装置的概略构成图。在该电解镀装置中,和上述图64所示实施方式相同地在合成树脂制(不受电镀液侵蚀的材质制)的电镀液导入管441自身上设置和该电镀液导入管连通的管445,将该管445插入阳极板438的电镀液导入孔439内,使其前端与多孔体440的表面接触的同时,接触管445的多孔体440部分设置不贯通的细穴,由此构成电解液通路部459。
而且从电镀液导入管441通过管445向多孔体440的电解液通路部459内供给的电镀液,一边从电解液通路部459的底面仅向多孔体440内扩散,一边浸透,到达基片W表面,在基片W和多孔体440的表面之间形成数个圆形的液柱R,数个液柱R相互在基片W上结合,从基片W上一边挤出空气,一边用电镀液充满。
而且即使反复进行该电镀过程,随时间经过管445的前端的内径和电解液通路部459的底面的内径也不扩大,因而理想的液柱R随时间经过也不崩溃,因此,不发生由液柱R结合的紊乱引起的空气卷入,在多孔体440和基片W之间不堆积气泡,电镀膜厚也不会变得不均匀。
同时仅设置电解液通路部459的长度部分,电解液在多孔体440内通过时的通过阻力减低,因而作为多孔体440,即使在使用厚度的厚的或密度高的(气孔率低)多孔体的场合,在液体膨胀时能够从多孔体440的规定位置出来适量的电镀液,从这点看,也不发生由液柱R结合的紊乱而引起的空气卷入,在多孔体440和基片W之间不堆积气泡,电镀膜厚也不会变得不均匀。
图69是本发明的其他实施方式的电解镀装置的概略构成图。在该电解镀装置中,和上述图68所示实施方式的不同点是,代替在电镀液导入管441上设置与其一体形成的管445,在阳极板438的电镀液导入孔439和多孔体440上的电解液通路部459内插入另外制成的管447。
如此构成时,也和图68所示的实施方式相同,即使反复进行该电镀过程,随时间经过管447的前端的内径也不扩大,因而理想的液柱R随时间经过也不崩溃,因此,不发生由液柱R结合的紊乱引起的空气卷入,在多孔体440和基片W之间不堆积气泡,电镀膜厚也不会变得不均匀。同时管447突入多孔体440内,因而电镀液通过多孔体440内时的阻力减低,作为多孔体,即使在使用厚度厚的或密度高的(气孔率低)多孔体的场合,也从多孔体440的规定应置供给适量的电镀液,不发生由液柱R结合的紊乱而引起的空气卷入,在多孔体440和基片W之间不堆积气泡,电镀膜厚也不会变得不均匀。
根据多孔体440使电镀液通过多孔体440的通过阻力不同的实施方式
图70是本发明的其他实施方式的电解镀装置的概略构成图。在该电解镀装置中,和图64所示实施方式相同,在合成树脂制的电镀液导入管441本身上设置和该电镀液导入管连通的管445,但和图64不同的是,在阳极板438和多孔体440的接合面中央,形成使阳极板438侧突出、使多孔体440侧凹下的形状。如果这样地构成,从中央附近的管445供给的电镀液以小的通过阻力,从多孔体440的下面供给,其供给量比其他部分多。也就是说,根据需要不流出所希望的电镀液时,使其部分的多孔体440的电镀液的通过阻力小,从该部分也流出所希望的电镀液(电镀液的适量,根据多孔体的场合也有不同的情况),由此防止液柱R的结合的紊乱,从而防止卷入空气,防止在多孔体440和基片W之间堆积气泡,电镀膜厚变得不均匀。
例如通过使图68或图69所示的电解液通路部459的底部的位置在各自的电解液通路部459中不同,也能够达到这样的调整。即根据场合,通过使电镀液通过多孔体440的通过阻力不同,能够改变从多孔体440的各部供给的电镀液的供给量,能够选择最适合的电镀液的液体膨胀状态。
上述各实施方式示出了适用于电解镀装置的例子,但代替这些例子,也可以适用于以基片作为阳极进行的电解蚀刻装置。
如以上详细地说明,通过在电解液含浸构件内供给电解液,从电解液含浸构件的相反侧供给,即使是在电解液含浸构件和被处理基片之间充满的结构的电解处理装置,在电解液含浸构件和被处理基片之间卷入气泡也不堆积,进行理想的液体膨胀,有得到所希望的电解处理的优良效果。
产业上的应用可能性
本发明是关于基片的电镀装置及方法,尤其是关于在半导体基片上形成的微细配线图案(凹处)中填充铜(Cu)等金属等用途的基片电镀方法及装置。按照本发明,以单一的机构能够进行电镀处理及在电镀处理中附带的处理,进而能够做到在基片的被电镀面和阳极之间充满的电镀液中不残留气泡。

Claims (15)

1. 在基片的导电层上实施电解处理的方法,该方法包括:
在电极和所述基片之间配置高电阻结构体;
遮蔽高电阻结构体区域以控制基片的导电层的电场;
在所述电极和所述基片的导电层之间供给电解液;和
在所述电极和所述基片的导电层之间施加电压以在基片的导电层上实施电解处理。
2. 根据权利要求1所述的方法,其中所述遮蔽步骤包括相对于所述高电阻结构体垂直地移动一构件以控制所述基片的导电层的电场。
3. 根据权利要求1所述的方法,其中保持有电解液的高电阻结构体的电导率比所述电解液的电导率低。
4. 根据权利要求1所述的方法,其还包括:
在所述电极和所述基片的导电层之间施加电压之后,将支持所述高电阻结构体的电极部移动到位于所述基片的侧方的电解液盘之上的位置;和
降低电极部至通常位置以将所述高电阻结构体的下表面与所述电解液盘中的电解液接触。
5. 在基片的导电层上实施电解处理的方法,该方法包括:
在第一电极和所述基片之间配置高电阻结构体;
遮蔽高电阻结构体区域以控制基片的导电层的电场;
使第二电极同基片的导电层电接触;
供给电解液以流体性地连接所述第一电极、所述高电阻结构体和所述基片的导电层;和
在所述电极和所述基片的导电层之间施加电压以在基片的导电层上实施电解处理。
6. 根据权利要求5所述的方法,其中所述电解处理包括在所述基片的导电层上电镀金属。
7. 根据权利要求5所述的方法,其中所述电解处理包括在所述基片的导电层上电镀铜或铜合金。
8. 根据权利要求5所述的方法,其中所述施加电压的步骤包括:
在所述第一电极与所述第二电极之间形成具有第一种极性的第一电位差;和
在形成所述第一电位差之后在所述第一电极与所述第二电极之间形成具有与所述第一种极性相反的第二极性的第二电位差。
9. 根据权利要求5所述的方法,其还包括在所述高电阻结构体和所述基片的导电层之间在所述上方位置形成间隔。
10. 根据权利要求9所述的方法,其中所述间隔为0.5-3mm。
11. 根据权利要求5所述的方法,其还包括洗涤所述基片。
12. 根据权利要求5所述的方法,其还包括朝所述基片喷射前处理液、洗净液和气体之中的至少一种。
13. 根据权利要求5所述的方法,其还包括洗涤和干燥所述基片。
14. 根据权利要求5所述的方法,其还包括在将所述基片和第二电极相互一体地旋转的同时,供给纯水以洗涤所述基片以及所述第二电极。
15. 根据权利要求5所述的方法,其还包括在所述电解处理之后通过喷嘴回收在所述基片的导电层上的电解液。
CNB2004101022112A 1999-12-24 2000-12-25 基片的电镀装置和电镀方法以及电解处理方法及其装置 Expired - Fee Related CN100422389C (zh)

Applications Claiming Priority (18)

Application Number Priority Date Filing Date Title
JP36775499 1999-12-24
JP367754/1999 1999-12-24
JP65459/2000 2000-03-09
JP2000065459 2000-03-09
JP119861/2000 2000-04-20
JP2000119861 2000-04-20
JP2000121841 2000-04-21
JP121841/2000 2000-04-21
JP2000131879A JP4024991B2 (ja) 2000-04-21 2000-04-28 電解処理装置及びその電場状態制御方法
JP131879/2000 2000-04-28
JP2000132015A JP3980809B2 (ja) 2000-05-01 2000-05-01 電解処理装置
JP132015/2000 2000-05-01
JP2000153754A JP3992421B2 (ja) 2000-03-09 2000-05-24 基板のめっき方法
JP153754/2000 2000-05-24
JP2000369320 2000-12-04
JP369320/2000 2000-12-04
JP2000369201A JP4010764B2 (ja) 1999-12-24 2000-12-04 基板のめっき装置およびめっき方法
JP369201/2000 2000-12-04

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB008042535A Division CN1187481C (zh) 1999-12-24 2000-12-25 电解处理装置

Publications (2)

Publication Number Publication Date
CN1624207A CN1624207A (zh) 2005-06-08
CN100422389C true CN100422389C (zh) 2008-10-01

Family

ID=27577765

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB008042535A Expired - Fee Related CN1187481C (zh) 1999-12-24 2000-12-25 电解处理装置
CNB2004101022112A Expired - Fee Related CN100422389C (zh) 1999-12-24 2000-12-25 基片的电镀装置和电镀方法以及电解处理方法及其装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB008042535A Expired - Fee Related CN1187481C (zh) 1999-12-24 2000-12-25 电解处理装置

Country Status (5)

Country Link
US (4) US6632335B2 (zh)
EP (1) EP1179617A4 (zh)
KR (1) KR100773164B1 (zh)
CN (2) CN1187481C (zh)
WO (1) WO2001048274A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107012489A (zh) * 2016-01-06 2017-08-04 应用材料公司 用于在电化学沉积期间遮蔽工件特征的系统和方法

Families Citing this family (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040065540A1 (en) * 2002-06-28 2004-04-08 Novellus Systems, Inc. Liquid treatment using thin liquid layer
US6632335B2 (en) * 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
KR100773165B1 (ko) * 1999-12-24 2007-11-02 가부시키가이샤 에바라 세이사꾸쇼 반도체기판처리장치 및 처리방법
US6547937B1 (en) * 2000-01-03 2003-04-15 Semitool, Inc. Microelectronic workpiece processing tool including a processing reactor having a paddle assembly for agitation of a processing fluid proximate to the workpiece
US8475636B2 (en) * 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US8308931B2 (en) * 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US7622024B1 (en) * 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US7129160B2 (en) 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
US7078308B2 (en) 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US7220166B2 (en) * 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US6746589B2 (en) * 2000-09-20 2004-06-08 Ebara Corporation Plating method and plating apparatus
JP4043234B2 (ja) * 2001-06-18 2008-02-06 株式会社荏原製作所 電解加工装置及び基板処理装置
JP3961377B2 (ja) * 2001-09-20 2007-08-22 株式会社リコー 光走査装置及び画像形成装置
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
JP4034095B2 (ja) * 2002-03-18 2008-01-16 日鉱金属株式会社 電気銅めっき方法及び電気銅めっき用含リン銅アノード
US7690324B1 (en) 2002-06-28 2010-04-06 Novellus Systems, Inc. Small-volume electroless plating cell
US8147660B1 (en) * 2002-04-04 2012-04-03 Novellus Systems, Inc. Semiconductive counter electrode for electrolytic current distribution control
US7189313B2 (en) * 2002-05-09 2007-03-13 Applied Materials, Inc. Substrate support with fluid retention band
JP4058307B2 (ja) * 2002-08-29 2008-03-05 大日本スクリーン製造株式会社 メッキ装置
US6776885B2 (en) * 2002-11-14 2004-08-17 International Business Machines Corporation Integrated plating and planarization apparatus having a variable-diameter counterelectrode
US20040104119A1 (en) * 2002-12-02 2004-06-03 Applied Materials, Inc. Small volume electroplating cell
TWI229367B (en) 2002-12-26 2005-03-11 Canon Kk Chemical treatment apparatus and chemical treatment method
US20040149584A1 (en) * 2002-12-27 2004-08-05 Mizuki Nagai Plating method
JP4303484B2 (ja) * 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 メッキ装置
US20060113192A1 (en) * 2003-01-23 2006-06-01 Keiichi Kurashina Plating device and planting method
JP4312465B2 (ja) * 2003-01-23 2009-08-12 株式会社荏原製作所 めっき方法およびめっき装置
US7374646B2 (en) * 2003-01-31 2008-05-20 Ebara Corporation Electrolytic processing apparatus and substrate processing method
US7704367B2 (en) * 2004-06-28 2010-04-27 Lam Research Corporation Method and apparatus for plating semiconductor wafers
JP2004315889A (ja) * 2003-04-16 2004-11-11 Ebara Corp 半導体基板のめっき方法
JP2004353061A (ja) * 2003-05-30 2004-12-16 Ebara Corp 電解処理方法及び装置
US20050023149A1 (en) * 2003-06-05 2005-02-03 Tsutomu Nakada Plating apparatus, plating method and substrate processing apparatus
JP2005029830A (ja) * 2003-07-10 2005-02-03 Ebara Corp めっき装置及びめっき方法
JP4423356B2 (ja) * 2003-09-02 2010-03-03 株式会社荏原製作所 基板のめっき装置
US20050051437A1 (en) * 2003-09-04 2005-03-10 Keiichi Kurashina Plating apparatus and plating method
US7112122B2 (en) * 2003-09-17 2006-09-26 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
JP2005133160A (ja) * 2003-10-30 2005-05-26 Ebara Corp 基板処理装置及び方法
JP2005146398A (ja) * 2003-11-19 2005-06-09 Ebara Corp めっき方法及びめっき装置
JP4540981B2 (ja) * 2003-12-25 2010-09-08 株式会社荏原製作所 めっき方法
US7479213B2 (en) * 2003-12-25 2009-01-20 Ebara Corporation Plating method and plating apparatus
US20050274604A1 (en) * 2004-02-06 2005-12-15 Koji Saito Plating apparatus
US7153777B2 (en) 2004-02-20 2006-12-26 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
US7566385B2 (en) * 2004-02-23 2009-07-28 E. I. Du Pont De Nemours And Company Apparatus adapted for membrane-mediated electropolishing
JP2005320571A (ja) * 2004-05-07 2005-11-17 Ebara Corp メッキ装置の電極構造
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7563348B2 (en) * 2004-06-28 2009-07-21 Lam Research Corporation Electroplating head and method for operating the same
DE102004038104A1 (de) * 2004-08-05 2006-02-23 Henkel Kgaa Verwendung von ortho-Phenylphenol und/oder dessen Derivaten zur Hemmung der asexuellen Vermehrung von Pilzen
US20060037865A1 (en) * 2004-08-19 2006-02-23 Rucker Michael H Methods and apparatus for fabricating gas turbine engines
US7566391B2 (en) 2004-09-01 2009-07-28 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
US20070238265A1 (en) * 2005-04-05 2007-10-11 Keiichi Kurashina Plating apparatus and plating method
WO2007011751A2 (en) * 2005-07-14 2007-01-25 Nanonexus, Inc. Method and apparatus for producing controlled stresses and stress gradients in sputtered films
US8029653B2 (en) * 2006-02-21 2011-10-04 Ebara Corporation Electroplating apparatus and electroplating method
US20080173549A1 (en) * 2006-06-27 2008-07-24 Moline Andrew J Direct current chrome plating process and variant layered chrome product
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US9045840B2 (en) 2011-11-29 2015-06-02 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
JP2008098449A (ja) * 2006-10-12 2008-04-24 Ebara Corp 基板処理装置及び基板処理方法
KR100806784B1 (ko) * 2006-12-29 2008-02-27 동부일렉트로닉스 주식회사 기판 도금 장치 및 이를 이용한 반도체 소자의 금속 배선형성 방법
US9050634B2 (en) * 2007-02-15 2015-06-09 SCREEN Holdings Co., Ltd. Substrate processing apparatus
JP4971078B2 (ja) * 2007-08-30 2012-07-11 東京応化工業株式会社 表面処理装置
WO2010031215A1 (en) * 2008-09-16 2010-03-25 Acm Research (Shanghai) Inc. Method for substantially uniform copper deposition onto semiconductor wafer
TWI425122B (zh) * 2008-09-17 2014-02-01 Acm Res Shanghai Inc 在半導體晶片上超均勻沉積銅膜的方法
EP2343402B1 (en) * 2008-09-30 2017-08-02 FUJIFILM Corporation Electrolytic treatment method and electrolytic treatment device
US8858774B2 (en) 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US8475637B2 (en) * 2008-12-17 2013-07-02 Novellus Systems, Inc. Electroplating apparatus with vented electrolyte manifold
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
KR20110051588A (ko) * 2009-11-10 2011-05-18 삼성전자주식회사 기판 도금 장치 및 방법
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9028657B2 (en) 2010-09-10 2015-05-12 Novellus Systems, Inc. Front referenced anode
US9005409B2 (en) 2011-04-14 2015-04-14 Tel Nexx, Inc. Electro chemical deposition and replenishment apparatus
US9017528B2 (en) 2011-04-14 2015-04-28 Tel Nexx, Inc. Electro chemical deposition and replenishment apparatus
CN102330125B (zh) * 2011-09-13 2013-08-14 南京航空航天大学 喷射电沉积加工用阵列电极腔
US9309603B2 (en) 2011-09-14 2016-04-12 Applied Materials, Inc Component cleaning in a metal plating apparatus
CN102453934B (zh) * 2012-01-16 2017-09-19 广东保迪环保电镀设备有限公司 内孔局部电镀设备
CN102787332B (zh) * 2012-08-17 2014-12-24 湖北联合天诚防伪技术股份有限公司 一种喷银板贴板电铸的方法
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
EP2746432A1 (en) * 2012-12-20 2014-06-25 Atotech Deutschland GmbH Device for vertical galvanic metal deposition on a substrate
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
FR3006684B1 (fr) * 2013-06-07 2016-10-21 Commissariat Energie Atomique Procede de traitement d'une surface et dispositif mis en œuvre
JP5949696B2 (ja) * 2013-08-07 2016-07-13 トヨタ自動車株式会社 金属皮膜の成膜装置および成膜方法
US9303329B2 (en) 2013-11-11 2016-04-05 Tel Nexx, Inc. Electrochemical deposition apparatus with remote catholyte fluid management
US10504753B2 (en) 2013-12-13 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Brush cleaning apparatus, chemical-mechanical polishing (CMP) system and wafer processing method
CN105316754B (zh) * 2014-07-29 2019-08-16 盛美半导体设备(上海)有限公司 电化学加工工艺及电化学加工装置
CN105417635B (zh) * 2014-09-15 2021-02-02 伊德罗帕德尔园林清洗有限公司 用于净化流体的装置和通过其净化流体的方法
CN104313657A (zh) * 2014-11-10 2015-01-28 临安振有电子有限公司 Hdi印制线路板通孔的电沉积装置
PT3034657T (pt) * 2014-12-19 2019-05-31 Atotech Deutschland Gmbh Porta-substrato para deposição galvânica vertical de metal
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9567685B2 (en) 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US9988733B2 (en) 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
CN106591902A (zh) * 2016-12-01 2017-04-26 深圳美之顺五金塑胶制品有限公司 薄材连续局部镀金、锡、镍控制系统
CN108660501B (zh) * 2017-03-31 2024-02-27 可能可特科技(深圳)有限公司 一种基于fpc电镀的电镀槽
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
CN111032923B (zh) * 2017-08-30 2021-12-28 盛美半导体设备(上海)股份有限公司 电镀装置
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
JP6995139B2 (ja) * 2017-11-30 2022-01-14 三菱電機株式会社 めっき装置およびめっき方法
GB2574177B (en) * 2018-01-25 2021-07-14 Semsysco Gmbh Method and device for plating a recess in a substrate
JP6963524B2 (ja) * 2018-03-20 2021-11-10 キオクシア株式会社 電解メッキ装置
KR102124406B1 (ko) * 2018-03-28 2020-06-18 주식회사 익스톨 수평 도금 장치 및 방법
KR102221258B1 (ko) * 2018-09-27 2021-03-02 세메스 주식회사 약액 토출 장치
US10975489B2 (en) * 2018-11-30 2021-04-13 Lam Research Corporation One-piece anode for tuning electroplating at an edge of a substrate
JP2020105590A (ja) * 2018-12-27 2020-07-09 キオクシア株式会社 基板処理装置および基板処理方法
JP7227875B2 (ja) * 2019-08-22 2023-02-22 株式会社荏原製作所 基板ホルダおよびめっき装置
CN110592645B (zh) * 2019-09-23 2021-08-17 徐州徐工液压件有限公司 一种新型油缸内壁电镀挂具
CN110484948B (zh) * 2019-09-27 2021-07-06 江苏澳光电子有限公司 一种柱形体电镀工艺及其电镀结构
US11352710B2 (en) * 2019-09-30 2022-06-07 Abdurrahman Ildeniz Leak free brush electroplating system
JP7354020B2 (ja) * 2020-03-04 2023-10-02 株式会社荏原製作所 めっき装置および抵抗体
EP3945146A1 (en) * 2020-07-31 2022-02-02 Semsysco GmbH Distribution system for a process fluid for a chemical and/or electrolytic surface treatment of a substrate
CN112301410B (zh) * 2020-11-02 2022-01-18 万安山美电子有限公司 一种电路板电镀装置及其电镀方法
CN112593276A (zh) * 2020-12-07 2021-04-02 娄底市安地亚斯电子陶瓷有限公司 一种基于同一陶瓷不同部位金属层不同厚度的镀镍工艺
KR102449487B1 (ko) * 2020-12-28 2022-09-30 가부시키가이샤 에바라 세이사꾸쇼 도금 장치
TWI775262B (zh) * 2020-12-30 2022-08-21 日商荏原製作所股份有限公司 基板之接液方法及鍍覆裝置
JP6937974B1 (ja) * 2021-03-10 2021-09-22 株式会社荏原製作所 めっき装置、およびめっき方法
US11859302B2 (en) 2021-10-14 2024-01-02 Unimicron Technology Corp. Electroplating apparatus and electroplating method
TWI801144B (zh) * 2021-10-14 2023-05-01 欣興電子股份有限公司 電鍍設備與電鍍方法
TWI809937B (zh) * 2022-06-17 2023-07-21 日商荏原製作所股份有限公司 漏液判定方法及鍍覆裝置
CN115142104B (zh) * 2022-07-28 2024-04-26 福州一策仪器有限公司 电镀装置、多通道电镀装置组和电镀反应系统
CN115449868B (zh) * 2022-08-24 2023-04-07 深圳市华惠连接器有限公司 一种局部电镀设备

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4921220B1 (zh) * 1970-12-23 1974-05-30
JPS5585692A (en) * 1978-12-22 1980-06-27 Hitachi Ltd Plating method
JPH07119782B2 (ja) * 1987-08-07 1995-12-20 三井石油化学工業株式会社 電気機器およびケ−ブルの絶縁劣化検出装置
US5853559A (en) * 1997-02-17 1998-12-29 Mitsubishi Denki Kabushiki Kaisha Apparatus for electroplating a semiconductor substrate
JP6237840B2 (ja) * 2011-11-18 2017-11-29 旭硝子株式会社 化学強化用ガラス

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5835154B2 (ja) 1972-06-19 1983-08-01 キヤノン株式会社 インジソウチ
JPS5570089A (en) * 1978-11-21 1980-05-27 Nippon Electric Co Printed circuit board and method of plating same
JPS6237840A (ja) 1985-08-09 1987-02-18 株式会社明電舎 真空ヒユ−ズ
JP2675309B2 (ja) 1987-09-19 1997-11-12 パイオニア株式会社 無電解めっき方法及びその装置
US4879007B1 (en) * 1988-12-12 1999-05-25 Process Automation Int L Ltd Shield for plating bath
JPH0781197A (ja) * 1993-09-17 1995-03-28 Honda Motor Co Ltd プリンタ
JP3335439B2 (ja) * 1993-09-30 2002-10-15 株式会社リコー データ通信用端末装置
JP3394842B2 (ja) * 1995-04-14 2003-04-07 島田理化工業株式会社 ウエハ処理装置
JP3460401B2 (ja) * 1995-08-14 2003-10-27 株式会社デンソー 電解メッキ装置および方法
US5776327A (en) * 1996-10-16 1998-07-07 Mitsubishi Semiconuctor Americe, Inc. Method and apparatus using an anode basket for electroplating a workpiece
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
JPH1187276A (ja) * 1997-09-12 1999-03-30 Ebara Corp 基板のめっき方法
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6159354A (en) * 1997-11-13 2000-12-12 Novellus Systems, Inc. Electric potential shaping method for electroplating
EP1061157A4 (en) 1998-03-02 2009-05-06 Ebara Corp SUBSTRATE COATING DEVICE
JP3534605B2 (ja) 1998-03-27 2004-06-07 大日本スクリーン製造株式会社 基板メッキ装置
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
WO1999054527A2 (en) * 1998-04-21 1999-10-28 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
JP2000064087A (ja) 1998-08-17 2000-02-29 Dainippon Screen Mfg Co Ltd 基板メッキ方法及び基板メッキ装置
JP2000087296A (ja) 1998-09-17 2000-03-28 Dainippon Screen Mfg Co Ltd 基板メッキ装置
US6132587A (en) 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6251251B1 (en) * 1998-11-16 2001-06-26 International Business Machines Corporation Anode design for semiconductor deposition
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6113759A (en) * 1998-12-18 2000-09-05 International Business Machines Corporation Anode design for semiconductor deposition having novel electrical contact assembly
JP2000232078A (ja) 1999-02-10 2000-08-22 Toshiba Corp メッキ方法及びメッキ装置
US6221437B1 (en) * 1999-04-12 2001-04-24 Reynolds Tech Fabricators, Inc. Heated workpiece holder for wet plating bath
JP3659837B2 (ja) * 1999-05-24 2005-06-15 大日本スクリーン製造株式会社 基板メッキ装置
US6197182B1 (en) * 1999-07-07 2001-03-06 Technic Inc. Apparatus and method for plating wafers, substrates and other articles
US6632335B2 (en) * 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6270646B1 (en) * 1999-12-28 2001-08-07 International Business Machines Corporation Electroplating apparatus and method using a compressible contact
JP7081197B2 (ja) * 2018-02-15 2022-06-07 セイコーエプソン株式会社 媒体搬送装置、及び画像読取装置
JP7107199B2 (ja) * 2018-12-07 2022-07-27 株式会社デンソー 半導体装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4921220B1 (zh) * 1970-12-23 1974-05-30
JPS5585692A (en) * 1978-12-22 1980-06-27 Hitachi Ltd Plating method
JPH07119782B2 (ja) * 1987-08-07 1995-12-20 三井石油化学工業株式会社 電気機器およびケ−ブルの絶縁劣化検出装置
US5853559A (en) * 1997-02-17 1998-12-29 Mitsubishi Denki Kabushiki Kaisha Apparatus for electroplating a semiconductor substrate
JP6237840B2 (ja) * 2011-11-18 2017-11-29 旭硝子株式会社 化学強化用ガラス

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107012489A (zh) * 2016-01-06 2017-08-04 应用材料公司 用于在电化学沉积期间遮蔽工件特征的系统和方法
US11987897B2 (en) 2016-01-06 2024-05-21 Applied Materials, Inc. Systems and methods for shielding features of a workpiece during electrochemical deposition

Also Published As

Publication number Publication date
KR20010110445A (ko) 2001-12-13
CN1187481C (zh) 2005-02-02
US20020020627A1 (en) 2002-02-21
CN1624207A (zh) 2005-06-08
US20080296165A1 (en) 2008-12-04
US6632335B2 (en) 2003-10-14
KR100773164B1 (ko) 2007-11-02
EP1179617A1 (en) 2002-02-13
WO2001048274A1 (en) 2001-07-05
CN1341166A (zh) 2002-03-20
US7387717B2 (en) 2008-06-17
EP1179617A4 (en) 2007-01-24
US20080251385A1 (en) 2008-10-16
US20040069646A1 (en) 2004-04-15

Similar Documents

Publication Publication Date Title
CN100422389C (zh) 基片的电镀装置和电镀方法以及电解处理方法及其装置
CN100439571C (zh) 电镀装置
DE69929967T2 (de) Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
CN108707940B (zh) 使用远程电流动态控制电镀均匀性的装置和方法
CN106480481B (zh) 用于电镀装置的边缘流元件
TWI606154B (zh) 用於電鍍期間之有效率質量傳送的電解液流體動力學之增強
US6143155A (en) Method for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipiolar electrode assembly
KR101832487B1 (ko) 전기 도금 시스템의 분리된 양극 챔버를 위한 압력 조정 전해액 루프
US7247222B2 (en) Electrochemical processing cell
US7794573B2 (en) Systems and methods for electrochemically processing microfeature workpieces
US20050279641A1 (en) Plating method and apparatus that creates a differential between additive disposed on a top surface and a cavity surface of a workpiece using an external influence
US7628898B2 (en) Method and system for idle state operation
US20040256238A1 (en) Electrolytic processing apparatus and substrate processing method
US20060081477A1 (en) Method and apparatus for establishing additive differential on surfaces for preferential plating
KR102619843B1 (ko) 도금 장치 및 도금 방법
US20230056444A1 (en) Electrochemical deposition system for a chemical and/or electrolytic surface treatment of a substrate
KR101978627B1 (ko) 기판상의 수직 갈바닉 금속 디포지션을 위한 디바이스
JP2001316867A5 (ja) 電解メッキ装置、電解メッキ方法及び液処理方法
TWI221862B (en) Apparatus and method for plating a substrate, and method and apparatus for electrolytic treatment
CN102732925A (zh) 用于填充互连结构的方法及设备
US7195696B2 (en) Electrode assembly for electrochemical processing of workpiece
JP3677911B2 (ja) 半導体ウエハのめっき方法及びその装置
CN101016644A (zh) 内热分离器的电镀方法及其装置
CN115803481A (zh) 用于管芯级电沉积厚度分布控制的微惰性阳极阵列
CN1880517A (zh) 电化学处理槽

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081001

Termination date: 20100125