WO2013105575A1 - プラズマ処理装置及びヒータの温度制御方法 - Google Patents

プラズマ処理装置及びヒータの温度制御方法 Download PDF

Info

Publication number
WO2013105575A1
WO2013105575A1 PCT/JP2013/050195 JP2013050195W WO2013105575A1 WO 2013105575 A1 WO2013105575 A1 WO 2013105575A1 JP 2013050195 W JP2013050195 W JP 2013050195W WO 2013105575 A1 WO2013105575 A1 WO 2013105575A1
Authority
WO
WIPO (PCT)
Prior art keywords
zone
temperature
heater
plasma processing
processing apparatus
Prior art date
Application number
PCT/JP2013/050195
Other languages
English (en)
French (fr)
Inventor
薫 大橋
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to KR1020147017920A priority Critical patent/KR102021570B1/ko
Priority to US14/368,548 priority patent/US20150132863A1/en
Publication of WO2013105575A1 publication Critical patent/WO2013105575A1/ja
Priority to US15/428,313 priority patent/US10026631B2/en
Priority to US16/013,189 priority patent/US10629464B2/en
Priority to US16/818,132 priority patent/US20200219740A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits

Definitions

  • the present invention relates to a plasma processing apparatus and a heater temperature control method.
  • Controlling the temperature of the object to be processed placed on the mounting table is indispensable for controlling the etching rate and the like, and is important because it affects the uniformity of plasma processing on the object to be processed.
  • the mounting table is provided with an electrostatic chuck (ESC: Electrostatic Chuck) that electrostatically attracts the workpiece by applying a voltage to the chuck electrode.
  • ESC Electrostatic Chuck
  • a heater built-in electrostatic chuck mechanism has been proposed in which a heater is incorporated in the electrostatic chuck and the surface temperature of the electrostatic chuck can be rapidly changed by heat generated from the heater.
  • Patent Document 1 discloses a temperature control technique using a heater built-in electrostatic chuck mechanism.
  • the heater included in the electrostatic chuck mechanism with a built-in heater is divided into two zones, a circular center zone and an edge zone provided concentrically on the outer periphery thereof, and the temperature is controlled for each zone. .
  • the heater area of one zone is large, and even if the temperature is controlled for each zone, the temperature distribution is uneven in the same zone, and etching is performed. There was a problem that uniformity of rate and etching shape could not be achieved. In particular, there is a problem that etching characteristics deteriorate at the boundary between the center zone and the edge zone.
  • a heater provided in or near the electrostatic chuck is divided into four or more zones, and the temperature of the heater can be controlled for each zone.
  • a temperature control method is provided.
  • a plasma processing apparatus that converts a gas into a plasma by high-frequency power and plasma-processes an object to be processed by the action of the plasma
  • a mounting table that is provided in the processing container and mounts the object to be processed; an electrostatic chuck that is provided on the mounting table and electrostatically attracts the object to be processed by applying a voltage to the chuck electrode; It is provided in or near the electrostatic chuck, and is divided into a circular center zone, two or more middle zones provided concentrically on the outer periphery thereof, and an edge zone provided concentrically on the outermost periphery.
  • a temperature control unit that adjusts the control temperature of the heater for each of the divided zones.
  • the temperature of a heater provided in a plasma processing apparatus that converts a gas into a plasma by high-frequency power and plasma-processes an object to be processed by the action of the plasma.
  • the plasma processing apparatus includes a processing container that can be depressurized, a mounting table that is provided in the processing container and mounts an object to be processed, and is provided on the mounting table, and applies a voltage to the chuck electrode.
  • An electrostatic chuck that electrostatically attracts the object to be treated by application, a circular center zone provided in or near the electrostatic chuck, and two or more middle zones provided concentrically on the outer periphery thereof An edge zone provided concentrically on the outermost periphery, a heater divided into heaters, a set temperature of the heater in each zone, and a surface temperature of the electrostatic chuck with respect to the set temperature And a storage unit that stores in advance a correlation between a current value passed through the heater of each zone so that the control temperature of each zone is corrected by correcting temperature interference from an adjacent zone with respect to the set temperature of each zone A temperature detected by a temperature sensor provided in at least one of the zones as a set temperature of the detected zone, and the acquired set temperature of the zone and the storage A step of calculating a current value to be supplied to the heater of each zone from the correlation stored in the unit, and a step of controlling the temperature of the heater of each zone by flowing the calculated current value to the heater of each zone A method for controlling the temperature of the heater
  • the heater provided in or near the electrostatic chuck can be divided into four or more zones, and the heater can be temperature-controlled for each zone.
  • FIG. 1 is an overall configuration diagram of a plasma processing apparatus according to an embodiment.
  • Diagram for explaining the correction value ⁇ 4, ⁇ 4 The method of calculating relative data set temperatures Y 4 - heat according to an embodiment.
  • the plasma processing apparatus 1 is configured as a lower two-frequency capacitively coupled plasma etching apparatus, and has, for example, a cylindrical vacuum chamber (processing vessel) 10 made of aluminum whose surface is anodized (anodized). ing.
  • the chamber 10 is grounded.
  • a mounting table 12 on which a semiconductor wafer W (hereinafter referred to as a wafer W) as an object to be processed is mounted.
  • the mounting table 12 is made of, for example, aluminum, and is supported by a cylindrical support portion 16 that extends vertically upward from the bottom of the chamber 10 via an insulating cylindrical holding portion 14.
  • a focus ring 18 made of, for example, silicon is disposed on the upper surface of the mounting table 12 and on the peripheral edge of the electrostatic chuck 40 in order to improve in-plane uniformity of etching.
  • An exhaust path 20 is formed between the side wall of the chamber 10 and the cylindrical support portion 16.
  • An annular baffle plate 22 is attached to the exhaust path 20.
  • An exhaust port 24 is provided at the bottom of the exhaust path 20 and is connected to an exhaust device 28 via an exhaust pipe 26.
  • the exhaust device 28 has a vacuum pump (not shown) and depressurizes the processing space in the chamber 10 to a predetermined degree of vacuum.
  • a transfer gate valve 30 that opens and closes the loading / unloading port for the wafer W is attached to the side wall of the chamber 10.
  • a first high frequency power supply 31 for ion attraction and a second high frequency power supply 32 for plasma generation are electrically connected to the mounting table 12 via a matching unit 33 and a matching unit 34.
  • the first high frequency power supply 31 applies a low frequency suitable for drawing plasma ions to the wafer W on the mounting table 12, for example, a first high frequency power of 0.8 MHz, to the mounting table 12.
  • the second high frequency power supply 32 applies a second high frequency power of a frequency suitable for generating plasma in the chamber 10, for example, 60 MHz, to the mounting table 12.
  • the mounting table 12 also functions as a lower electrode.
  • a shower head 38 which will be described later, is provided on the ceiling of the chamber 10 as an upper electrode having a ground potential. Thereby, the high frequency power from the second high frequency power supply 32 is capacitively applied between the mounting table 12 and the shower head 38.
  • An electrostatic chuck 40 is provided on the top surface of the mounting table 12 for holding the wafer W with electrostatic attraction.
  • the electrostatic chuck 40 has an electrode 40a made of a conductive film sandwiched between a pair of insulating layers 40b (see FIGS. 2 to 4) or an insulating sheet, and a DC voltage source 42 is connected to the electrode 40a via a switch 43. Are electrically connected.
  • the electrostatic chuck 40 attracts and holds the wafer W on the electrostatic chuck with a Coulomb force by the voltage from the DC voltage source 42.
  • the heat transfer gas supply source 52 supplies a heat transfer gas such as He gas between the upper surface of the electrostatic chuck 40 and the back surface of the wafer W through the gas supply line 54.
  • the shower head 38 at the ceiling includes an electrode plate 56 having a large number of gas vent holes 56a and an electrode support 58 that detachably supports the electrode plate 56.
  • the gas supply source 62 supplies gas from the gas introduction port 60 a into the shower head 38 via the gas supply pipe 64, and is introduced into the chamber 10 through a number of gas vent holes 56 a.
  • a magnet 66 extending annularly or concentrically is arranged to control plasma generated in the plasma generation space in the chamber 10 by magnetic force.
  • a refrigerant pipe 70 is provided inside the mounting table 12.
  • a refrigerant having a predetermined temperature is circulated and supplied to the refrigerant pipe 70 from the chiller unit 71 through the pipes 72 and 73.
  • a heater 75 divided into four parts is attached to the back surface of the electrostatic chuck 40. The structure of the heater 75 will be described later.
  • a desired AC voltage is applied to the heater 75 from the AC power supply 44. According to such a configuration, the wafer W can be adjusted to a desired temperature by cooling by the chiller unit 71 and heating by the heater 75. Further, these temperature controls are performed based on commands from the control device 80.
  • the control device 80 includes components attached to the plasma processing apparatus 1, such as an exhaust device 28, an AC power supply 44, a DC voltage source 42, an electrostatic chuck switch 43, first and second high frequency power supplies 31, 32, and a matching unit. 33, 34, the heat transfer gas supply source 52, the gas supply source 62, and the chiller unit 71 are controlled. In addition, the control device 80 acquires the sensor temperature detected from the temperature sensor 77 attached to the back surface of the heater 75. The control device 80 is also connected to a host computer (not shown).
  • the control device 80 includes a CPU (Central Processing Unit), a ROM (Read Only Memory), and a RAM (Random Access Memory) (not shown).
  • the CPU follows, for example, various recipes stored in the storage unit 83 shown in FIG. Perform plasma treatment.
  • the storage unit 83 in which the recipe is stored can be realized as a RAM or a ROM using, for example, a semiconductor memory, a magnetic disk, or an optical disk.
  • the recipe may be provided by being stored in a storage medium and read into the storage unit 83 via a driver (not shown), or may be downloaded from a network (not shown) and stored in the storage unit 83. May be.
  • a DSP Digital Signal Processor
  • the function of the control device 80 may be realized by operating using software, or may be realized by operating using hardware.
  • the gate valve 30 is first opened and the wafer W held on the transfer arm is loaded into the chamber 10.
  • the wafer W is held by pusher pins (not shown), and is placed on the electrostatic chuck 40 when the pusher pins are lowered.
  • the gate valve 30 is closed, an etching gas is introduced into the chamber 10 from the gas supply source 62 at a predetermined flow rate and flow rate ratio, and the pressure in the chamber 10 is reduced to a set value by the exhaust device 28. .
  • high frequency power of a predetermined power is supplied from the first high frequency power supply 31 and the second high frequency power supply 32 to the mounting table 12.
  • a voltage is applied from the DC voltage source 42 to the electrode 40a of the electrostatic chuck 40 to fix the wafer W on the electrostatic chuck 40, and from the heat transfer gas supply source 52 to the upper surface of the electrostatic chuck 40 and the wafer W. Supply between the back side.
  • the etching gas introduced in a shower form from the shower head 38 is turned into plasma by the high-frequency power from the second high-frequency power source 32, thereby causing a gap between the upper electrode (shower head 38) and the lower electrode (mounting table 12). Plasma is generated in the plasma generation space, and the main surface of the wafer W is etched by radicals and ions in the plasma. Further, ions can be drawn toward the wafer W by the high frequency power from the first high frequency power supply 31.
  • the wafer W is lifted and held by the pusher pin, the gate valve 30 is opened, and the transfer arm is loaded into the chamber 10, and then the pusher pin is lowered and the wafer W is held on the transfer arm. .
  • the transfer arm goes out of the chamber 10, and the next wafer W is loaded into the chamber 10 by the transfer arm. By repeating this process, the wafer W is continuously processed.
  • FIG. 2 is an enlarged view of the mounting table 12 and the electrostatic chuck 40 of FIG.
  • a heater 75 is attached to the back surface of the electrostatic chuck 40.
  • the heater 75 may be provided in or near the electrostatic chuck 40.
  • the heater 75 is embedded in the insulating layer 40b.
  • the heater 75 includes a circular center zone A, two middle zones (inner middle zone B and outer middle zone C) provided concentrically on the outer periphery thereof, and an edge zone D provided concentrically on the outermost periphery. (See FIGS. 11 and 12).
  • the middle zone is divided into two, but the middle zone may be divided into three or more.
  • the middle zone of the heater 75 is preferably divided into three or more concentric circles. This is to improve the temperature controllability in the middle zone.
  • the electrostatic chuck 40 and the mounting table 12 are bonded together with an adhesive. Accordingly, the heater 75 attached to the electrostatic chuck 40 is fixed between the electrostatic chuck 40 and the mounting table 12 while being embedded in the adhesive layer 74.
  • the arrangement (heater pattern) of the heater 75 is freely changed until immediately before the electrostatic chuck 40 and the mounting table 12 are attached to each other with the adhesive layer 74. be able to. Even after bonding with the adhesive layer 74, the electrostatic chuck 40 and the mounting table 12 are peeled off to change the heater pattern, and then an adhesive is applied on the heater 75 again to attach the electrostatic chuck 40 and the mounting table 12. May be adhered.
  • the heater 75 is embedded in the insulating layer 40b and baked. Therefore, it is impossible to change the heater pattern after the heater 75 is embedded in the insulating layer 40b. Therefore, when the heater 75 is divided and controlled in four zones or more as in the present embodiment, the heater pattern becomes complicated, so the heater 75 can be arranged more easily than the type in which the heater 75 is embedded in FIG. It is preferable to adopt a type in which the heater 75 in FIG.
  • the heater 75 of FIG. 2 is embedded in the adhesive layer 74.
  • the ceramic thin portion of the insulating layer 40b is broken at the time of baking, so the heater 75 cannot be brought close to the end of the electrostatic chuck 40.
  • the adhesive layer in FIG. 2 has no such limitation. For this reason, the heater 75 can be moved to the vicinity of the end of the electrostatic chuck 40. As a result, in the type in which the heater 75 in FIG. 2 is attached, the temperature can be uniformly controlled up to the outermost periphery of the electrostatic chuck 40.
  • the refrigerant pipe 70 provided in the vicinity of the heater 75 may be arranged corresponding to each zone of the heater 75. Thereby, temperature controllability and responsiveness can be enhanced by cooling with the refrigerant flowing in the refrigerant pipes 70 arranged correspondingly and heating by the heater 75.
  • FIG. 5 shows an example of each process of plasma processing performed in the plasma processing apparatus 1 according to the present embodiment.
  • a heater temperature control which is one of the process conditions, a set temperature of two zones (center / edge) obtained by dividing the heater into two is shown as a comparative example.
  • a silicon nitride film (SiN) 106, an amorphous silicon film ( ⁇ -Si) 104, an antireflection film (BARC: Bottom Anti-Reflective Coating) on a silicon oxide film (SiO 2 ) 108. ) 102 and the photoresist film 100 are sequentially stacked.
  • the silicon oxide film 108 is an interlayer insulating film formed by CVD (Chemical Vapor Deposition) using TEOS (Tetraethoxysilan).
  • a BARC film (antireflection film) 102 is formed on the amorphous silicon film ( ⁇ -Si) 104 by, for example, a coating process.
  • the BARC film 102 is made of a polymer resin containing a dye that absorbs light of a specific wavelength, for example, ArF excimer laser light that is irradiated toward the photoresist film 100, and the ArF excimer laser that has passed through the photoresist film 100. This prevents light from being reflected by the amorphous silicon film 104 and reaching the photoresist film 100 again.
  • the photoresist film 100 is formed on the BARC film 102 using, for example, a spin coater (not shown). In the photoresist film 100, a pattern having an opening at a position where a predetermined hole is formed is formed.
  • the BARC film 102 is etched using the resist film 100 as a mask. Thereby, the opening of the resist pattern is transferred to the BARC film 102.
  • the amorphous silicon film 104 is etched using the resist film 100 and the BARC film 102 as a mask. Thereby, the pattern of the BARC film 102 is transferred to the amorphous silicon film 104.
  • the silicon nitride film 106 is etched using the amorphous silicon film 104 as a mask (main etching). As a result, the pattern of the amorphous silicon film 104 is transferred to the silicon nitride film 106.
  • the silicon oxide film 108 is etched using the amorphous silicon film 104 and the silicon nitride film 106 as a mask (overetching). At this time, the silicon nitride film 106 remains in the silicon oxide film 108 a little.
  • the silicon nitride film 106 is completely removed (break-through etching).
  • the resist pattern is sequentially transferred to the lower layer film, and finally, a hole having a predetermined opening width is formed in the silicon oxide film 108.
  • FIG. 6 shows the result of showing the variation in the diameter of the hole formed in the above steps (hereinafter referred to as CD (Critical Dimension)) from the center of the wafer to the outer peripheral direction (radial direction).
  • CD Cross Dimension
  • a plurality of points were measured in the radial direction from the center of the wafer with respect to four points (four points on the cross) that were 90 degrees apart in the circumferential direction.
  • FIG. 6 shows the result of superimposing these measurement points on one axis.
  • FIG. 6 represents the position in the radial direction from the center of the wafer, and the vertical axis in FIG. 6 represents the CD value of the holes formed at each position.
  • the left diagram in FIG. 6 shows the CD value of holes formed in the amorphous silicon film 104 after the etching process of the amorphous silicon film 104 shown in S3, and the right diagram in FIG. 6 shows the silicon oxidation after all the processes in S7.
  • membrane 108 is shown.
  • the heater 75 is divided into a center zone 75c and an edge zone 75e at a position approximately 130 (mm) from the outer peripheral edge of the wafer.
  • the CD value variation became more remarkable after the entire process.
  • temperature control is poor at the point where the CD value is large near the wafer center (thickness near the wafer center) and the point where the CD value is small near the outermost periphery of the wafer (thinning near the wafer outermost periphery). It turns out that it is enough.
  • the reason why there is a singular point near the center of the wafer W in this way is that the plasma density is high above the center of the wafer W, especially the radical density is high. The reason is that the outer peripheral side of the wafer is hard to escape heat and is easily trapped.
  • the center zone A and the edge zone D having the singular points are individually temperature controlled with the temperature control singularities near the wafer center and the outermost periphery of the wafer where the temperature is difficult to be uniform. Split as possible.
  • the CD value gradually increases toward the outer peripheral side in the result of FIG. 6, and therefore, in-plane uniformity of the wafer temperature cannot be maintained if it is controlled as one zone.
  • the middle region is divided into two middle zones (inner middle zone B and outer middle zone C).
  • the heater 75 according to the present embodiment employs a configuration that is divided into four zones. However, the heater 75 is not limited to this, and the heater 75 is divided into three or more zones in total. You may divide into five or more zones.
  • FIG. 7 shows the result of measuring the relationship between the set temperature of the heater and the in-plane uniformity of the wafer temperature when the temperature is controlled by dividing the heater into two zones.
  • the center zone is set to 60 ° C.
  • the plasma processing is performed in a state where the heater temperature in the edge zone is set to 40 ° C., 50 ° C., 60 ° C., and 70 ° C. It is the average value of temperature.
  • the temperature is higher than the set temperature because of the temperature rise of the wafer due to heat input from the plasma. In either case, in-plane uniformity of the wafer temperature could not be achieved.
  • the temperature of the middle zone cannot be controlled, it can be seen that there is a large variation between the outer peripheral side of the center and the edge region. It can also be seen that as the set temperature of the heater increases, heat is more difficult to escape on the outer peripheral side of the wafer, and the wafer temperature increases.
  • the rhombus plot in the lower diagram of FIG. 7 is the CD value when the center and edge temperatures of the heater divided into two zones are set to 60 ° C. and 40 ° C., and the square plot in the lower diagram of FIG. This is a CD value when the temperature of the center and edge of the divided heater is set to 60 ° C. and 50 ° C. According to this, as the set temperature of the edge zone increases, the edge CD value decreases. Furthermore, if the center zone CD temperature increases, the CD value on the center side also decreases. If the center and edge temperatures are set to 60 ° C. and 60 ° C., the curve S2 is predicted.
  • the heater when the heater is divided into four zones and the set temperatures of each zone are set to 70 ° C., 60 ° C., 70 ° C., and 50 ° C., the set temperatures are set in the center zone A and the outer middle zone C. Since the temperature increases from 60 ° C. to 70 ° C., the CD value is predicted to decrease, and it is predicted that the in-plane uniformity of the wafer temperature can be achieved as shown by the curve S1.
  • the set temperature of the center zone / edge zone in the etching process of the BARC film 102 in the two-zone control is 60/50 ° C.
  • the center zone in the etching process of the silicon nitride film 106 in the two-zone control was 35/35 ° C.
  • the set temperature of the center zone / inner middle zone / outer middle zone / edge zone in the etching process of the BARC film 102 in the 4-zone control is 60/45/45/43 ° C.
  • the set temperature of the center zone / inner middle zone / outer middle zone / edge zone in the etching process of the silicon nitride film 106 was 40/45/50/50 ° C.
  • FIGS. 9 and 10 are diagrams showing zones obtained by dividing the heater according to one embodiment into four.
  • the area of the center zone A is the largest, and the area of the zone decreases as it goes from the center zone A to the edge zone D toward the outer peripheral side. That is, the area of the heater at the outermost periphery is the smallest. According to this, since the temperature can be finely adjusted as going to the outermost peripheral portion, the uniformity of temperature can be improved.
  • the area of the center zone A is the largest, and the area of the zone decreases as it goes from the center zone A to the outer middle zone C toward the outer periphery, but the outer middle zone C has a smaller area than the edge zone D. . That is, the area of the heater in the second outer middle zone C from the outermost peripheral portion is the smallest. According to this, since the temperature of the middle zone inside the outermost peripheral part can be adjusted more finely, the uniformity of temperature can be improved.
  • the AC power supply 44 can be switched on and off in the middle zone (inner middle zone B, outer middle zone C).
  • the temperature interference from the outer middle zone C to the adjacent zones D and B can be avoided by turning off the power supply of the outer middle zone C having the smallest zone area shown in FIG.
  • control based on the correlation between the temperatures of the adjacent zones D and B becomes possible, and the temperature controllability of the wafer W may be improved. Further, energy consumption can be reduced by turning off the power.
  • the AC power supply 44 cannot be switched on / off.
  • the plasma density is high near the center of the wafer, and heat is hard to escape from the outermost peripheral region of the wafer, so the center zone A and the edge zone D have singularities of temperature distribution, and temperature control is performed. Is considered an indispensable area.
  • the heater 75 provided in or near the electrostatic chuck 40 is divided into four or more zones.
  • the temperature of the heater is more finely controlled by separately controlling the temperature of the center zone A where the singular point is generated from the plasma state and the apparatus configuration and the outermost edge zone D and dividing the middle region into two or more. Control can be performed.
  • in-plane uniformity of the wafer temperature can be achieved.
  • the size of the wafer is 450 mm or more
  • the area of the middle region is particularly large, and it becomes difficult to control the temperature of the middle region. Therefore, it is particularly meaningful to control the temperature by dividing the middle region finely according to the size of the wafer W as the size of the wafer increases.
  • the heater 75 according to the present embodiment is divided into four zones, and there are one adjacent zone in the zones A and D at both ends, and two adjacent zones in the middle zones B and C in the center.
  • each zone is subject to temperature interference from adjacent zones.
  • the middle zones B and C in the center are subject to temperature interference from the zones on both sides. Therefore, if temperature interference from the adjacent zone with respect to the set temperature of each zone is corrected, temperature control with higher accuracy becomes possible.
  • the surface of the electrostatic chuck 40 is positioned above the heater 75, the surface temperature of the electrostatic chuck 40 is not necessarily the same as the set temperature of the heater 75 in each zone, and a deviation occurs. Therefore, if the deviation is corrected, more accurate temperature control is possible.
  • a first for correcting the deviation of the surface temperature of the electrostatic chuck 40 with respect to the set temperatures of the center zone A, the inner middle zone B, the outer middle zone C, and the edge zone D The correction values are denoted by ⁇ 1 , ⁇ 2 , ⁇ 3 , ⁇ 4 .
  • second correction values for correcting temperature interference from adjacent zones of the center zone A, inner middle zone B, outer middle zone C, and edge zone D are denoted by ⁇ 1 , ⁇ 2 , ⁇ 3 , ⁇ 4 .
  • a temperature sensor 77 is used to set these correction values.
  • the position of the temperature sensor 77 is provided on the back surface of the heater in the inner middle zone B in the present embodiment, as shown in FIG.
  • the position of the temperature sensor 77 is not limited to this, and may be provided in another zone.
  • the temperature sensor 77 is not limited to one, and a plurality of temperature sensors 77 may be provided.
  • four temperature sensors 77a, 77b, 77c, and 77d are provided on the circumference. As a result, the temperature distribution in the circumferential direction can be measured more accurately.
  • control device 80 The heater temperature control method is executed by the control device 80.
  • the functional configuration of the control device 80 according to the present embodiment will be described with reference to FIG. 13, and the operation (temperature control) will be described with reference to FIG. 19.
  • FIG. 13 is a functional configuration diagram of the control device 80.
  • the control device 80 includes an acquisition unit 81, a storage unit 83, a temperature setting unit 84, a temperature control unit 85, a determination unit 86, and a plasma processing execution unit 87.
  • the acquisition unit 81 inputs the temperature of the back surface of the heater 75 detected by the temperature sensor 77 as needed.
  • sensor values from the plurality of temperature sensors 77 are input.
  • the temperature setting unit 84 adjusts the first correction values ⁇ 1 , ⁇ 2 , ⁇ 3 , ⁇ 4 for correcting the deviation of the surface temperature of the electrostatic chuck 40 with respect to the set temperature of each zone, and the set temperature of each zone.
  • Second correction values ⁇ 1 , ⁇ 2 , ⁇ 3 , ⁇ 4 for correcting temperature interference from the adjacent zone are calculated and stored in the storage unit 83 in advance. The calculation method will be described later.
  • the storage unit 83 stores the zone based on the set temperature of each zone, the first correction values ⁇ 1 , ⁇ 2 , ⁇ 3 , ⁇ 4 , and the second correction values ⁇ 1 , ⁇ 2 , ⁇ 3 , ⁇ 4.
  • the correlation between the value of the current passed through the heater 75 and the temperature corrected every time is stored in advance.
  • the storage unit 83 stores a process recipe in which process procedures and conditions are set. For example, the process recipe shown in FIG. 5 and process conditions for each process may be set in the process recipe.
  • the temperature control unit 85 adjusts the control temperature of the heater 75 for each zone.
  • the temperature control unit 85 may adjust the control temperature of the heater 75 for each zone while correcting the deviation of the surface temperature of the electrostatic chuck 40 with respect to the set temperature of each zone. Further, the temperature control unit 85 may adjust the control temperature of the heater 75 for each zone while correcting the temperature interference from the adjacent zone with respect to the set temperature of each zone.
  • the temperature control unit 85 may control only one of these adjustments, or may control both. During these adjustments, at least one of the first correction values ⁇ 1 , ⁇ 2 , ⁇ 3 , ⁇ 4 and the second correction values ⁇ 1 , ⁇ 2 , ⁇ 3 , ⁇ 4 stored in the storage unit 83.
  • the control temperature of the heater 75 may be adjusted for each zone.
  • the temperature control unit 85 sets the temperature detected by the temperature sensor 77 provided in at least one of the zones as the set temperature of the detected zone, and stores the zone stored in the storage unit 83.
  • Current value to be supplied to the heaters in each zone is calculated from the correlation between the set temperature and the current value to be supplied to the heaters in each zone.
  • the determination unit 86 determines that it is time to replace the electrostatic chuck 40 when at least one of the calculated heater current values for each zone is lower than a predetermined threshold value. The reason is that if the heater 75 is repeatedly used, the heater 75 is peeled off from the ceramic electrostatic chuck 40 due to thermal expansion or the like, and the temperature of the portion is maintained at a high temperature, resulting in a decrease in the current value. is there.
  • the threshold value may be stored in the storage unit 83 in advance.
  • the plasma processing execution unit 87 executes a plasma etching process according to the process recipe stored in the storage unit 83.
  • FIG. 14 is a diagram for explaining a method of calculating correction values ⁇ 1 and ⁇ 1 for the heater set temperature Y 1 according to the present embodiment.
  • Figure 15 is heat according to the present embodiment - correction value alpha 2 for the other set temperature Y 2, the method of calculating the beta 2, 16 heat according to the present embodiment - correction value alpha 3 for the other set temperature Y 3, beta 3 calculation method of FIG. 17 heat according to the present embodiment - correction value alpha 4 for data set temperature Y 4, is a diagram for explaining a method of calculating the beta 4.
  • FIG. 18 is a diagram for explaining the correction for the set temperature of each zone and the input current value to each zone.
  • the heater control temperature As described below, by correcting the heater control temperature, the temperature interference from the adjacent zone and the deviation between the set temperature of the heater 75 and the surface temperature of the electrostatic chuck 40 are corrected, and these factors are corrected.
  • the heater temperature is accurately controlled by the input current value to the heater corresponding to the control temperature.
  • variables X 1 , X 2 , X 3 , and X 4 are the target temperatures of center zone A, inner middle zone B, outer middle zone C, and edge zone D, that is, static temperatures that are actually controlled.
  • the surface temperature in each zone of the electric chuck 40 is shown, and the variables Y 1 , Y 2 , Y 3 , Y 4 show the set temperature of the heater 75 in each zone.
  • Variables Z 1 , Z 2 , and Z 3 are adjacent temperatures indicating temperature interference from adjacent zones. Specifically, as shown in FIG. 14, the adjacent temperature for center zone A is represented by a variable Z 1. Further, the adjacent temperature for the inner middle zone B in FIG. 15 is indicated by variables Z 1 and Z 2 , and the adjacent temperature for the outer middle zone C in FIG. 16 is indicated by variables Z 2 and Z 3 , and for the edge zone in FIG. adjacent temperature indicated by the variable Z 3.
  • variables X 1 , X 2 , X 3 , X 4 indicating the target temperature of the own zone (surface temperature of the electrostatic chuck 40) and the variables Z 1 , Z 2 , Z 3 indicating the adjacent temperatures are infrared spectroscopy. Measured using IR. Further, variables Y 1 , Y 2 , Y 3 , and Y 4 indicating the set temperature of the heater 75 are measured using a fluorescence thermometer.
  • the relationship between the heater set temperature Y 1 and the target temperature X 1 is expressed by the equation (1) when the influence of the temperature Z 1 in the adjacent zone is taken into consideration.
  • Y 2 ⁇ 2 X 2 + ⁇ 2 (Z 1 , Z 2 ) (2)
  • the straight line of Formula (2) is shown in the graph of FIG.
  • the adjacent temperatures Z 1 and Z 2 are fixed values in a specific combination within a range assumed for temperature control, and ⁇ 2 (Z 1 , Z 2 ) is constant. If the temperature sensor 77 detects the rear surface of the sensor temperature T 2 of the inner middle zone B, the heater set temperature Y 2 may be a sensor temperature T 2 equivalent to a measured value.
  • the first correction values ⁇ 3 and ⁇ 4 and the second correction values ⁇ 3 and ⁇ 4 for temperature control of the outer middle zone C and the edge zone D are obtained from the equations (3) and (4). calculate.
  • the temperature setting unit 84 calculates all the correction values shown in FIG. 18 in advance for all possible combinations of temperature setting values of adjacent zones.
  • the calculated first correction values ⁇ 1 , ⁇ 2 , ⁇ 3 , ⁇ 4 and the second correction values ⁇ 1 , ⁇ 2 , ⁇ 3 , ⁇ 4 are stored in the storage unit 83. Further, the storage unit 83 stores the set temperatures Y 1 , Y 2 , Y 3 , Y 4 of each zone, the first correction values ⁇ 1 , ⁇ 2 , ⁇ 3 , ⁇ 4, and the second correction value ⁇ 1.
  • the relative relationship to the temperature change between adjacent zones is measured in advance, the temperature of one zone is actually measured, and the measured temperature is used as the base temperature for each zone.
  • the input current value to the heater 75 is obtained. Thereby, the temperature control corrected with respect to the heater of each zone is attained.
  • the influence from the adjacent zone is approximated as ⁇ 2 (Z 1 , Z 2 ).
  • the influence from not only the adjacent zone but also the outer zone is taken into consideration.
  • the accuracy of correction is improved.
  • further correction is made by approximating ⁇ 2 (Z 1 , Z 2 , Z 3 ) in consideration of not only the center zone A and the outer middle zone C but also the influence from the edge zone D. (See equation (6)).
  • a correction value including not only the adjacent but also the combination of the temperatures Z outside the adjacent ones may be calculated in advance as in the following formulas (5) to (8).
  • the acquisition unit 81 acquires the sensor temperature T 2 detected by the temperature sensor 77 attached to the inner middle zone B (step S100).
  • the temperature setting unit 84 uses the sensor temperature T 2 as a base temperature, substitutes the sensor temperature T 2 for the heater setting temperature Y 2 of Equation (2), substitutes the target value for the target temperature X 2, and sets the adjacent zone.
  • the temperature Z is calculated (step S102).
  • the temperature setting unit 84 substitutes the target value for X 1 , X 3 , and X 4 and substitutes the temperature effect Z of the adjacent zone based on the equations (1), (3), and (4).
  • the heater set temperatures Y 1 , Y 3 , Y 4 are calculated (step S104).
  • Y 1 ⁇ 1 X 1 + ⁇ 1 (Z 1 ) (1)
  • Y 3 ⁇ 3 X 3 + ⁇ 3 (Z 2 , Z 3 ) (3)
  • Y 4 ⁇ 4 X 4 + ⁇ 4 (Z 3 ) (4)
  • the temperature control unit 85 uses the heaters corresponding to the heater set temperatures Y 1 , Y 2 , Y 3 , and Y 4.
  • Input current values I 1 , I 2 , I 3 , and I 4 are calculated, and the heaters in each zone are energized with the heater input current values I 1 , I 2 , I 3 , and I 4.
  • the temperature is controlled (step S106).
  • the determination unit 86 determines whether there is an input current value lower than a predetermined threshold among the input current values I 1 , I 2 , I 3 , and I 4 of the heater. It is determined that it is time to replace the chuck 40 (step S108), and this process is terminated. If it is determined that there is no, this process is immediately terminated.
  • the heater 75 provided in or near the electrostatic chuck 40 is divided into four or more zones. .
  • the temperature of the heater is more finely controlled by separately controlling the temperature of the center zone A where the singular point is generated from the plasma state and the apparatus configuration and the outermost edge zone D and dividing the middle region into two or more. Control can be performed. As a result, in-plane uniformity of the wafer temperature can be achieved.
  • each zone receives temperature interference from adjacent zones.
  • temperature interference increases in the central zone. Therefore, in the temperature control method that can be executed by the plasma processing apparatus 1 according to the present embodiment, the temperature interference from the adjacent zone with respect to the set temperature of each zone is corrected. Further, the deviation of the surface temperature of the electrostatic chuck 40 installed above the heater 75 is corrected with respect to the set temperature of each zone. Thereby, temperature control with higher accuracy is possible.
  • plasma etching is described as an example of the plasma processing performed in the plasma processing apparatus, but the present invention is not limited to plasma etching.
  • a thin film is formed on the wafer by chemical vapor deposition (CVD: Chemical Vapor Deposition).
  • CVD chemical vapor deposition
  • the present invention can also be applied to a plasma processing apparatus that performs plasma CVD, plasma oxidation, plasma nitridation, sputtering, ashing, and the like.
  • the plasma processing apparatus is not limited to a capacitively coupled plasma processing apparatus that generates capacitively coupled plasma (CCP: CapacitivelyitiveCoupled Plasma) by high-frequency discharge generated between parallel plate electrodes in the chamber.
  • CCP capacitively coupled plasma
  • An inductively coupled plasma processing device that generates an inductively coupled plasma (ICP) under a high frequency induction electromagnetic field by placing an antenna on or around the surface of the substrate, generates plasma waves using microwave power
  • ICP inductively coupled plasma
  • the present invention can also be applied to a microwave plasma processing apparatus.
  • the object to be processed in the present invention is not limited to a semiconductor wafer, and may be, for example, a large substrate for a flat panel display (FPD: Flat Panel Display), an EL element, or a substrate for a solar cell. .
  • FPD Flat Panel Display
  • EL element Organic Electrode
  • the heater may have a smaller area as it goes from the center zone to the two or more middle zones toward the outer periphery, and the outermost middle zone may be smaller than the edge zone on the outer periphery.
  • the heater may have a smaller area as it goes from the center zone to the edge zone toward the outer periphery.
  • the temperature control unit may adjust the control temperature of the heaters in other zones with only the heaters in the outermost middle zone turned off.
  • the temperature control unit may adjust the control temperature of the heater for each zone while correcting the deviation of the surface temperature of the electrostatic chuck with respect to the set temperature of each zone.
  • the temperature control unit may adjust the control temperature of the heater for each zone while correcting the temperature interference from the adjacent zone to the set temperature of each zone.
  • a first correction value for correcting the deviation of the surface temperature of the electrostatic chuck with respect to the set temperature of each zone, and a second correction for correcting temperature interference from an adjacent zone with respect to the set temperature of each zone may further be provided, and the temperature control unit may adjust the control temperature of the heater for each zone based on the first correction value and the second correction value.
  • the temperature setting unit includes a set temperature of each zone, a current value passed through the heater so as to be a control temperature calculated for each zone based on the first correction value and the second correction value.
  • the temperature control unit sets the temperature detected by a temperature sensor provided in at least one of the zones as the set temperature of the measured zone, May be calculated from the set temperature and the correlation stored in the storage unit.
  • a determination unit may be further provided that determines that it is time to replace the electrostatic chuck when at least one of the calculated heater current values for each zone is lower than a predetermined threshold value.
  • Three or more temperature sensors provided in any one of the zones may be provided on the circumference.
  • a refrigerant pipe is further provided in the vicinity of the heater provided in or near the mounting table, and a cooling device for circulating the refrigerant in the refrigerant pipe is further provided.
  • the refrigerant pipe is divided corresponding to each zone of the heater. May be arranged.
  • the mounting table may place an object to be processed having a diameter of 450 mm or more, and the middle zone of the heater may be concentrically divided into three or more.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

 高周波電力によりガスをプラズマ化し、該プラズマの作用により被処理体をプラズマ処理するプラズマ処理装置であって、減圧可能なチャンバと、チャンバ内に設けられ、被処理体を載置する載置台と、載置台に設けられ、チャック電極に電圧を印加することにより被処理体を静電吸着する静電チャックと、静電チャック内又は近傍に設けられ、円形のセンタゾーンと、その外周側に同心円状に設けられた2つ以上のミドルゾーンと、最外周に同心円状に設けられたエッジゾーンと、に分割されたヒータと、ヒータの制御温度を、前記分割されたゾーン毎に調整する温度制御部と、を備えるプラズマ処理装置が提供される。

Description

プラズマ処理装置及びヒータの温度制御方法
 本発明は、プラズマ処理装置及びヒータの温度制御方法に関する。
 載置台に載置された被処理体の温度制御は、エッチングレート等の制御に不可欠であり、被処理体に対するプラズマ処理の均一性に影響を及ぼすため重要である。
 載置台には、チャック電極に電圧を印加することにより被処理体を静電吸着する静電チャック(ESC:Electrostatic Chuck)が設けられている。近年、静電チャック内にヒータを組み込んで、そのヒータからの発熱により静電チャックの表面温度を急速に変更することが可能なヒータ内蔵静電チャック機構が提案されている。例えば、特許文献1には、ヒータ内蔵静電チャック機構による温度制御技術が開示されている。特許文献1では、ヒータ内蔵静電チャック機構に含まれるヒ-タが円形のセンタゾーンとその外周側に同心円状に設けられたエッジゾーンの2ゾーンに分割され、ゾーン毎に温度制御されている。
特開2008-85329号公報
 しかしながら、ヒ-タを2ゾーンに分割して温度制御する方法では、1ゾーンのヒ-タ面積が大きく、ゾーン毎に温度制御しただけでは同じゾーン内にて温度分布に不均一が生じ、エッチングレートやエッチング形状の均一性が図れないという課題があった。特に、センタゾーンとエッジゾーンとの境界部分にてエッチング特性が悪くなるという課題があった。
 上記課題に対して、静電チャック内又は近傍に設けられたヒ-タを4つ以上のゾーンに分割し、ゾーン毎にヒ-タを温度制御することが可能な、プラズマ処理装置及びヒータの温度制御方法を提供する。
 上記課題を解決するために、本発明のある観点によれば、高周波電力によりガスをプラズマ化し、該プラズマの作用により被処理体をプラズマ処理するプラズマ処理装置であって、減圧可能な処理容器と、前記処理容器内に設けられ、被処理体を載置する載置台と、前記載置台に設けられ、チャック電極に電圧を印加することにより被処理体を静電吸着する静電チャックと、前記静電チャック内又は近傍に設けられ、円形のセンタゾーンと、その外周側に同心円状に設けられた2つ以上のミドルゾーンと、最外周に同心円状に設けられたエッジゾーンと、に分割されたヒータと、前記ヒータの制御温度を、前記分割されたゾーン毎に調整する温度制御部と、を備えることを特徴とするプラズマ処理装置が提供される。
 また、上記課題を解決するために、本発明の別の観点によれば、高周波電力によりガスをプラズマ化し、該プラズマの作用により被処理体をプラズマ処理するプラズマ処理装置に設けられたヒータの温度制御方法であって、前記プラズマ処理装置は、減圧可能な処理容器と、前記処理容器内に設けられ、被処理体を載置する載置台と、前記載置台に設けられ、チャック電極に電圧を印加することにより被処理体を静電吸着する静電チャックと、前記静電チャック内又は近傍に設けられ、円形のセンタゾーンと、その外周側に同心円状に設けられた2つ以上のミドルゾーンと、最外周に同心円状に設けられたエッジゾーンと、に分割されたヒータと、前記各ゾーンのヒ-タの設定温度と、該設定温度に対する前記静電チャックの表面温度のずれ及び前記各ゾーンの設定温度に対する隣接ゾーンからの温度干渉、を補正した前記各ゾーンの制御温度になるように前記各ゾーンのヒ-タに流す電流値と、の相関関係を予め記憶した記憶部とを備え、前記各ゾーンのうち少なくとも一つのゾーンに設けられた温度センサにより検出された温度を、前記検出されたゾーンの設定温度として取得する工程と、前記取得したゾーンの設定温度と前記記憶部に記憶された前記相関関係とから各ゾーンのヒータに流す電流値を算出する工程と、前記算出された電流値を各ゾーンのヒータに流すことにより前記各ゾーンのヒータの温度を制御する工程と、を含むヒータの温度制御方法が提供される。
 以上説明したように本発明によれば、静電チャック内又は近傍に設けられたヒ-タを4つ以上のゾーンに分割し、ゾーン毎にヒ-タを温度制御することができる。
一実施形態に係るプラズマ処理装置の全体構成図。 図1の静電チャック近傍のヒータ内蔵静電チャック機構の拡大図。 変形例1に係る静電チャック近傍のヒータ内蔵静電チャック機構の拡大図。 変形例2に係る静電チャック近傍のヒータ内蔵静電チャック機構の拡大図。 一実施形態に係るプラズマ処理装置を用いたプロセス工程例を示した図。 ヒ-タを2ゾーンに分割した場合の温度制御によるプロセス結果を示した図。 ヒ-タを2ゾーン、4ゾーンに分割した場合の温度制御によるプロセス結果と予測値を示した図。 ヒ-タを2ゾーン及び4ゾーンに分割した場合の温度制御によるプロセス結果を示した図。 一実施形態に係るヒ-タの各ゾーンの面積比及び電源切替を説明するための図。 一実施形態に係るヒ-タの各ゾーンの面積比及び電源切替を説明するための図。 一実施形態に係る各ゾーンのヒ-タと温度センサの配置を示した図。 一実施形態に係る各ゾーンのヒ-タと温度センサの配置を示した図。 一実施形態に係る制御装置の機能構成図。 一実施形態に係るヒ-タの設定温度Yに対する補正値α、βの算出方法を説明するための図。 一実施形態に係るヒ-タの設定温度Yに対する補正値α、βの算出方法を説明するための図。 一実施形態に係るヒ-タの設定温度Yに対する補正値α、βの算出方法を説明するための図。 一実施形態に係るヒ-タの設定温度Yに対する補正値α、βの算出方法を説明するための図。 各ゾーンの設定温度に対する補正と各ゾーンへの入力電流値を説明するための図。 一実施形態に係る温度制御を示したフローチャート。
 以下に添付図面を参照しながら、本発明の実施形態について説明する。なお、本明細書及び図面において、実質的に同一の機能構成を有する構成要素については、同一の符号を付することにより重複説明を省略する。
 [プラズマ処理装置の全体構成]
 まず、本発明の一実施形態に係るプラズマ処理装置の全体構成について、図1を参照しながら説明する。プラズマ処理装置1は、下部2周波の容量結合型プラズマエッチング装置として構成されており、例えば表面がアルマイト処理(陽極酸化処理)されたアルミニウムからなる円筒形の真空チャンバ(処理容器)10を有している。チャンバ10は、接地されている。
 チャンバ10内には、被処理体としての半導体ウエハW(以下、ウエハWと称呼する)を載置する載置台12が設けられている。載置台12は、たとえばアルミニウムからなり、絶縁性の筒状保持部14を介してチャンバ10の底から垂直上方に延びる筒状支持部16に支持されている。載置台12の上面であって静電チャック40の周縁部には、エッチングの面内均一性を高めるために、例えばシリコンから構成されたフォーカスリング18が配置されている。
 チャンバ10の側壁と筒状支持部16との間には排気路20が形成されている。排気路20には環状のバッフル板22が取り付けられている。排気路20の底部には排気口24が設けられ、排気管26を介して排気装置28に接続されている。排気装置28は図示しない真空ポンプを有しており、チャンバ10内の処理空間を所定の真空度まで減圧する。チャンバ10の側壁には、ウエハWの搬入出口を開閉する搬送用のゲートバルブ30が取り付けられている。
 載置台12には、イオン引き込み用の第1高周波電源31及びプラズマ生成用の第2高周波電源32が整合器33及び整合器34を介して電気的に接続されている。第1高周波電源31は、載置台12上のウエハWにプラズマのイオンを引き込むのに適した低めの周波数、例えば0.8MHzの第1高周波電力を載置台12に印加する。第2高周波電源32は、チャンバ10内にてプラズマを生成するために適した周波数、例えば60MHzの第2高周波電力を載置台12に印加する。このようにして載置台12は下部電極としても機能する。チャンバ10の天井部には、後述するシャワーヘッド38が接地電位の上部電極として設けられている。これにより、第2高周波電源32からの高周波電力は載置台12とシャワーヘッド38との間に容量的に印加される。
 載置台12の上面にはウエハWを静電吸着力で保持するための静電チャック40が設けられている。静電チャック40は導電膜からなる電極40aを一対の絶縁層40b(図2~図4参照)又は絶縁シートの間に挟み込んだものであり、電極40aには直流電圧源42がスイッチ43を介して電気的に接続されている。静電チャック40は、直流電圧源42からの電圧により、クーロン力でウエハWを静電チャック上に吸着保持する。
 伝熱ガス供給源52は、Heガス等の伝熱ガスをガス供給ライン54に通して静電チャック40の上面とウエハWの裏面との間に供給する。
 天井部のシャワーヘッド38は、多数のガス通気孔56aを有する電極板56と、この電極板56を着脱可能に支持する電極支持体58とを有する。ガス供給源62は、ガス供給配管64を介してガス導入口60aからシャワーヘッド38内にガスを供給し、多数のガス通気孔56aからチャンバ10内に導入される。
 チャンバ10の周囲には、環状または同心円状に延在する磁石66が配置され、磁力によりチャンバ10内のプラズマ生成空間に生成されるプラズマを制御する。
 載置台12の内部には冷媒管70が設けられている。この冷媒管70には、チラーユニット71から配管72,73を介して所定温度の冷媒が循環供給される。また、静電チャック40の裏面には4分割されたヒータ75が貼り付けられている。なお、ヒータ75の構造については後述する。ヒータ75には交流電源44から所望の交流電圧が印加される。かかる構成によれば、チラーユニット71による冷却とヒータ75による加熱によってウエハWを所望の温度に調整することができる。また、これらの温度制御は、制御装置80からの指令に基づき行われる。
 制御装置80は、プラズマ処理装置1に取り付けられた各部、たとえば排気装置28、交流電源44、直流電圧源42、静電チャック用のスイッチ43、第1及び第2高周波電源31,32、整合器33,34、伝熱ガス供給源52、ガス供給源62及びチラーユニット71を制御する。また、制御装置80は、ヒータ75の裏面に装着された温度センサ77から検出されたセンサ温度を取得する。なお、制御装置80は、図示しないホストコンピュータとも接続されている。
 制御装置80は、図示しないCPU(Central Processing Unit),ROM(Read Only Memory)、RAM(Random Access Memory)を有し、CPUは、たとえば図13に示した記憶部83に格納された各種レシピに従ってプラズマ処理を実行する。レシピが格納される記憶部83は、例えば半導体メモリ、磁気ディスク、または光学ディスクなどを用いてRAM、ROMとして実現されうる。レシピは、記憶媒体に格納して提供され、図示しないドライバを介して記憶部83に読み込まれるものであってもよく、また、図示しないネットワークからダウンロードされて記憶部83に格納されるものであってもよい。また、上記各部の機能を実現するために、CPUに代えてDSP(Digital Signal Processor)が用いられてもよい。なお、制御装置80の機能は、ソフトウエアを用いて動作することにより実現されてもよく、ハードウエアを用いて動作することにより実現されてもよい。
 かかる構成のプラズマ処理装置1において、エッチングを行なうには、先ずゲートバルブ30を開口して搬送アーム上に保持されたウエハWをチャンバ10内に搬入する。ウエハWは、図示しないプッシャーピンにより保持され、プッシャーピンが降下することにより静電チャック40上に載置される。ウエハWを搬入後、ゲートバルブ30が閉じられ、ガス供給源62からエッチングガスを所定の流量および流量比でチャンバ10内に導入し、排気装置28によりチャンバ10内の圧力を設定値に減圧する。さらに、第1高周波電源31及び第2高周波電源32から所定のパワーの高周波電力を載置台12に供給する。また、直流電圧源42から電圧を静電チャック40の電極40aに印加して、ウエハWを静電チャック40上に固定し、伝熱ガス供給源52から静電チャック40の上面とウエハWの裏面との間に供給する。シャワーヘッド38からシャワー状に導入されたエッチングガスは、第2高周波電源32からの高周波電力によりプラズマ化され、これにより、上部電極(シャワーヘッド38)と下部電極(載置台12)との間のプラズマ生成空間にてプラズマが生成され、プラズマ中のラジカルやイオンによってウエハWの主面がエッチングされる。また、第1高周波電源31からの高周波電力によりウエハWに向かってイオンを引き込むことができる。
 プラズマエッチング終了後、ウエハWがプッシャーピンにより持ち上げられ保持され、ゲートバルブ30を開口して搬送アームがチャンバ10内に搬入された後に、プッシャーピンが下げられウエハWが搬送アーム上に保持される。次いで、その搬送アームがチャンバ10の外へ出て、次のウエハWが搬送アームによりチャンバ10内へ搬入される。この処理を繰り返すことで連続してウエハWが処理される。
 (ヒータの構成)
 ここで、ヒータ75の構成について図2を用いてさらに詳しく説明する。図2は、図1の載置台12及び静電チャック40の拡大図である。静電チャック40の裏面にはヒータ75が貼り付けられている。ただし、ヒータ75は、静電チャック40内又は近傍に設けられてもよい。例えば、図3では、ヒータ75は、絶縁層40bの内部に埋め込まれている。
 ヒータ75は、円形のセンタゾーンAと、その外周側に同心円状に設けられた2つのミドルゾーン(内ミドルゾーンB、外ミドルゾーンC)と、最外周に同心円状に設けられたエッジゾーンDとに分割されている(図11、図12を参照)。本実施形態では、ミドルゾーンは2つに分割されているが、ミドルゾーンは3つ以上に分割されてもよい。特に、直径が450mm以上のウエハWの場合、ヒータ75のミドルゾーンは、同心円状に3つ以上に分割されていることが好ましい。ミドルゾーンでの温度制御性を高めるためである。
 静電チャック40と載置台12とは接着剤により貼り合わされている。これにより、静電チャック40に貼り付けられたヒータ75は、接着層74に埋め込まれた状態で、静電チャック40と載置台12との間にて固定される。図2のように静電チャック40の裏面にヒータ75を貼り付けるタイプでは、接着層74で静電チャック40と載置台12とを貼り合わせる直前まで自在にヒータ75の配置(ヒータパターン)を変えることができる。接着層74で貼り合わせた後であっても静電チャック40と載置台12とを剥がしてヒータパターンを変えた後、再びヒータ75上に接着剤を付けて静電チャック40と載置台12とを接着させてもよい。
 一方、静電チャック40にヒータ75を埋め込むタイプでは、絶縁層40bにヒータ75を埋め込んだ状態で焼き固める。よって、絶縁層40bにヒータ75を埋め込んだ後、ヒータパターンを変えることは不可能である。よって、本実施形態のように、4ゾーン以上にヒータ75を分割して制御する場合には、ヒータパターンが複雑になるため、図3のヒータ75を埋め込むタイプよりも、容易にヒータ75の配置を変えられる、図2のヒータ75を貼り付けるタイプを採用することが好ましい。
 また、図2のヒータ75を貼り付けるタイプでは、接着層74にヒータ75が埋め込まれている。図3の絶縁層40bにヒータ75を埋め込むタイプは、焼き固め時に絶縁層40bのセラミックの薄い部分が割れてしまうためヒータ75を静電チャック40の端部近傍まで這わせることができない。しかし、図2の接着層にはこのような制限がない。このため、ヒータ75を静電チャック40の端部近傍まで這わせることができる。この結果、図2のヒータ75を貼り付けるタイプでは、静電チャック40の最外周まで均一に温度を制御することができる。
 なお、図4に示したように、ヒータ75近傍に設けられた冷媒管70は、ヒータ75の各ゾーンに対応して配置されていてもよい。これにより、対応して配置された冷媒管70内を流れる冷媒による冷却及びヒータ75による加熱によって温度制御性及び応答性を高めることができる。
 (プラズマ処理)
 以上、本実施形態に係るプラズマ処理装置1及びヒータ75の構成について説明した。次に、本実施形態に係るプラズマ処理装置1にて実行されるプラズマ処理の一例を、図5を参照しながら説明する。
 図5には、本実施形態に係るプラズマ処理装置1にて行われるプラズマ処理の各工程の一例を示す。プロセス条件の一つであるヒータの温度制御としては、比較例としてヒータを2分割した2ゾーン(センタ/エッジ)の設定温度が示される。
 図5のS1に示したように、シリコン酸化膜(SiO)108上に、シリコン窒化膜(SiN)106、アモルファスシリコン膜(α-Si)104、反射防止膜(BARC:Bottom Anti-Reflective Coating)102及びフォトレジスト膜100が順に積層されている。シリコン酸化膜108は、TEOS(Tetraethoxysilan)を用いた、CVD(Chemical Vapor Deposition)により形成された層間絶縁膜である。
 アモルファスシリコン膜(α-Si)104上に、例えば塗布処理によってBARC膜(反射防止膜)102が形成される。BARC膜102は或る特定の波長の光、例えば、フォトレジスト膜100に向けて照射されるArFエキシマレーザ光を吸収する色素を含む高分子樹脂からなり、フォトレジスト膜100を透過したArFエキシマレーザ光がアモルファスシリコン膜104によって反射されて再びフォトレジスト膜100に到達するのを防止する。フォトレジスト膜100は、BARC膜102上に、例えばスピンコータ(図示せず)を用いて形成される。フォトレジスト膜100には、所定のホールを形成する位置に開口部を有するパターンが形成されている。
 まず、S2に示したように、レジスト膜100をマスクとしてBARC膜102がエッチングされる。これにより、レジストパターンの開口部はBARC膜102に転写される。本工程のプロセス条件は、圧力が5(mTorr)、第2高周波電力/第1高周波電力が200/50(W)、ガスがCF/O、ヒータの設定温度がセンタ/エッジ=60/50℃である。
 次に、S3に示したように、レジスト膜100及びBARC膜102をマスクとしてアモルファスシリコン膜104がエッチングされる。これにより、BARC膜102のパターンがアモルファスシリコン膜104に転写される。本工程のプロセス条件は、圧力が25(mTorr)、第2高周波電力/第1高周波電力が200/100(W)、ガスがHBr、ヒータの設定温度がセンタ/エッジ=50/40℃である。
 次に、S4に示したように、Oアッシングが実行され、レジスト膜100及びBARC膜102が除去される。本工程のプロセス条件は、圧力が50(mTorr)、第2高周波電力/第1高周波電力が750/0(W)、ガスがO、ヒータの設定温度がセンタ/エッジ=50/40℃である。
 次に、S5に示したように、アモルファスシリコン膜104をマスクとしてシリコン窒化膜106がエッチングされる(メインエッチング)。これにより、アモルファスシリコン膜104のパターンがシリコン窒化膜106に転写される。本工程のプロセス条件は、圧力が20(mTorr)、第2高周波電力/第1高周波電力が400/300(W)、ガスがCH/CHF/O、ヒータの設定温度がセンタ/エッジ=35/35℃である。
 次に、S6に示したように、アモルファスシリコン膜104及びシリコン窒化膜106をマスクとしてシリコン酸化膜108がエッチングされる(オーバーエッチング)。このとき、シリコン窒化膜106がシリコン酸化膜108に少し残った状態になる。本工程のプロセス条件は、圧力が20(mTorr)、第2高周波電力/第1高周波電力が400/300(W)、ガスがCH/CHF/O、ヒータの設定温度がセンタ/エッジ=35/35℃である。
 最後に、S7に示したように、完全にシリコン窒化膜106が除去される(ブレイクスルーエッチング)。本工程のプロセス条件は、圧力が10(mTorr)、第2高周波電力/第1高周波電力が200/150(W)、ガスがCl、ヒータの設定温度がセンタ/エッジ=35/35℃である。また、ブレイクスルーエッチング後、Oアッシングが実行される。これにより、デポジションが除去される。本工程のプロセス条件は、圧力が50(mTorr)、第2高周波電力/第1高周波電力が750/0(W)、ガスがO、ヒータの設定温度がセンタ/エッジ=35/35℃である。
 以上の工程により、レジストパターンが順次下層膜に転写され、最終的にシリコン酸化膜108に所定の開口幅を有するホールが形成される。
 (CD値の計測結果:2ゾーン)
 以上の工程にて、形成されるホールの直径(以下、CD(Critical Dimension)と称呼する。)のバラツキをウエハの中心から外周方向(径方向)に示した結果を図6に示す。計測は、円周方向に90度ずつ離れた4点(十字の4ポイント)に対してウエハの中心から径方向に複数ポイント計測した。図6はこれらの計測ポイントを1つの軸に重ねた結果である。
 図6の横軸はウエハの中心からの径方向の位置を示し、図6の縦軸は各位置に形成されたホールのCD値を示す。図6の左図は、S3に示したアモルファスシリコン膜104のエッチング工程後に、アモルファスシリコン膜104に形成されたホールのCD値を示し、図6の右図は、S7の全工程後、シリコン酸化膜108に形成されたホールのCD値を示す。なお、ヒータ75は、ウエハの外周端から概ね130(mm)の位置にてセンタゾーン75cとエッジゾーン75eとに2分割されている。
 図6の左図の結果から、アモルファスシリコン膜104のエッチング工程の段階で既に径方向のCD値に最大で5(nm)程度の差異が生じている。ウエハの中心から径方向に温度制御の均一性が図られていないため、エッチングレートにバラツキが生じた結果であると考えられる。
 また、図6の右図の結果から、全工程後にはCD値のバラツキがより顕著になった。特に、ウエハ中心付近でCD値が大きくなっている点(ウエハ中心付近の太り)、及びウエハの最外周付近でCD値が小さくなっている点(ウエハ最外周付近の細り)で温度制御が不十分であることがわかる。このようにウエハWの中心付近に特異点があるのは、ウエハWの中心付近の上方にてプラズマの密度が高く、特にラジカルの密度が高いからであり、ウエハの最外周領域に特異点があるのは、ウエハの外周側は熱が逃げにくくこもり易いからである。
 以上の結果から、本実施形態では、温度が均一になりにくいウエハ中心付近及びウエハ最外周付近を温度制御の特異点として、特異点があるセンタゾーンA及びエッジゾーンDはそれぞれが別々に温度制御可能なように分割した。更に、その間のミドル領域についても図6の結果では外周側に向かってCD値がなだらかに大きくなっていることから、1つのゾーンとして制御するとウエハ温度の面内均一性が保たれない。このため、本実施形態では、ミドル領域を2つのミドルゾーン(内ミドルゾーンB、外ミドルゾーンC)に分割した。このようにして、本実施形態に係るヒータ75では、4つのゾーンに分割された構成を採用するが、これに限らず、ヒータ75はミドル領域を3つ以上のゾーンに分割することによって全部で5つ以上のゾーンに分割してもよい。
 (各ゾーンの設定温度)
 次に、各ゾーンの設定温度について、図7を参照しながら説明する。図7の上図は、ヒータを2ゾーンに分割して温度制御した場合のヒータの設定温度とウエハ温度の面内均一性との関係を計測した結果である。図5で説明したプロセス中にセンタゾーンを60℃に設定し、エッジゾーンのヒータの設定温度を40℃、50℃、60℃、70℃に設定した状態でプラズマ処理を行った際のウエハの温度の平均値である。温度が設定温度より高くなっているのは、プラズマからの入熱によるウエハの温度上昇である。いずれの場合もウエハ温度の面内均一性が図れなかった。特に、ミドルゾーンの温度が制御できないためにセンタの外周側とエッジ領域でバラツキが大きくなっていることがわかる。また、ヒータの設定温度が上がる程、ウエハの外周側にて熱が逃げにくくこもり、ウエハ温度が高くなっていることがわかる。
 以上の結果を踏まえ、図7の下図の曲線S1に、ヒータを4ゾーンに分割して温度制御した場合のヒータの設定温度とウエハ温度の面内均一性との関係を予測して示す。
 図7の下図の菱形のプロットは2ゾーンに分割されたヒータのセンタ及びエッジの温度を60℃、40℃に設定した場合のCD値であり、図7の下図の四角のプロットは2ゾーンに分割されたヒータのセンタ及びエッジの温度を60℃、50℃に設定した場合のCD値である。これによれば、エッジゾーンの設定温度が上がるとエッジのCD値が小さくなる。更に、センタゾーンの設定温度が上がるとセンタ側のCD値は小さくなることも加味すると、センタ及びエッジの温度を60℃、60℃に設定した場合には、曲線S2になると予測される。
 よって、ヒータを4ゾーンに分割した場合であって、各ゾーンの設定温度を70℃、60℃、70℃、50℃に設定した場合には、センタゾーンA、外ミドルゾーンCでは設定温度が60℃→70℃に上がるためCD値は下がると予測され、曲線S1のようにウエハ温度の面内均一性が図れると予測される。
 (CD値の計測結果:4ゾーン)
 以上に示した設定温度とCD値との相関関係に基づき、図5の各工程について4ゾーンのヒータの設定温度の適正化を図り、最適温度をレシピに設定して、全工程の処理を行った。その結果を図8の右図に示す。図8の左図に示した2ゾーンの場合の全工程の処理結果と比べると、4ゾーンのヒータの温度制御では、2ゾーンの場合のように「ウエハ中心付近の太り」及び「ウエハ最外周付近の細り」が見られず、ウエハ温度の面内均一性が図られている。なお、2ゾーン制御のときのBARC膜102のエッチング工程でのセンタゾーン/エッジゾーンの設定温度は、60/50℃であり、2ゾーン制御のときのシリコン窒化膜106のエッチング工程でのセンタゾーン/エッジゾーンの設定温度は、35/35℃であった。また、4ゾーン制御のときのBARC膜102のエッチング工程でのセンタゾーン/内ミドルゾーン/外ミドルゾーン/エッジゾーンの設定温度は、60/45/45/43℃であり、4ゾーン制御のときのシリコン窒化膜106のエッチング工程でのセンタゾーン/内ミドルゾーン/外ミドルゾーン/エッジゾーンの設定温度は、40/45/50/50℃であった。
 (ゾーン面積)
 次に、各ゾーンの面積について、図9及び図10を参照しながら説明する。図9及び図10は、一実施形態に係るヒ-タを4分割した各ゾーンを示した図である。図9では、センタゾーンAの面積が最も大きく、センタゾーンAからエッジゾーンDまで外周側に行くほどゾーンの面積が小さくなる。つまり、最外周部のヒータの面積が最も小さくなる。これによれば、最外周部に行くに従って細かく温度調節ができるため温度の均一性を改善することができる。
 図10では、センタゾーンAの面積が最も大きく、センタゾーンAから外ミドルゾーンCまで外周側に行くほどゾーンの面積が小さくなるが、外ミドルゾーンCはエッジゾーンDより面積が小さくなっている。つまり、最外周部から2番目の外ミドルゾーンCのヒータの面積が最も小さくなる。これによれば、最外周部より内側のミドルゾーンをより細かく温度調整できるため温度の均一性を改善することができる。
 (電源の切り替え)
 図9及び図10で示したヒ-タ75の構成では、ミドルゾーン(内ミドルゾーンB、外ミドルゾーンC)において、交流電源44のオン、オフを切り替えることができる。例えば、図10に示した最もゾーン面積の小さい外ミドルゾーンCの電源をオフすることにより、外ミドルゾーンCから隣接ゾーンD,Bへの温度干渉を回避できる。これによって隣接ゾーンD、Bの温度の相関関係による制御が可能になり、ウエハWの温度制御性を高めることができる場合がある。また、電源をオフすることにより消費エネルギーの低減を図ることができる。
 一方、センタゾーンA及びエッジゾーンDでは、交流電源44のオン、オフの切り替えはできない。これは、前述したとおり、ウエハの中心付近はプラズマ密度が高く、ウエハの最外周領域は熱が逃げにくくこもり易いためにセンタゾーンA及びエッジゾーンDには温度分布の特異点があり、温度制御が不可欠な領域と考えられるためである。
 以上に説明したように、本実施形態に係るヒータ75を設けたプラズマ処理装置1によれば、静電チャック40内又は近傍に設けられたヒ-タ75を4つ以上のゾーンに分割する。これにより、プラズマの状態や装置構成から特異点が生じるセンタゾーンAと最外周のエッジゾーンDとを別々に温度制御するとともに、ミドル領域を2つ以上に分割することによって、よりきめ細かくヒータの温度制御を行うことができる。この結果、ウエハ温度の面内均一性を図ることができる。ウエハのサイズが450mm以上になった場合には特にミドル領域の面積が大きくなるため、ミドル領域の温度制御が難しくなる。よって、ウエハのサイズが大きくなるほどミドル領域をウエハWのサイズに応じて細かく分割して温度制御することは特に意義がある。
 [ヒータの温度制御方法]
 本実施形態のヒータ75は、4つのゾーンに分割されており、両端のゾーンA、Dには隣接ゾーンが1つ、中央のミドルゾーンB,Cには隣接ゾーンが2つ存在する。よって、各ゾーンは隣接ゾーンからの温度干渉を受ける。特に中央のミドルゾーンB,Cは両側のゾーンから温度干渉を受けることになる。そこで、各ゾーンの設定温度に対する隣接ゾーンからの温度干渉を補正すれば、より精度の高い温度制御が可能となる。
 また、静電チャック40の表面はヒータ75の上方に位置するため、各ゾーンのヒータ75の設定温度に対して静電チャック40の表面温度は必ずしも同じにはならず、ずれが生じる。よって、そのずれを補正すれば、より精度の高い温度制御が可能となる。
 以下では、隣接ゾーンからの温度干渉、及びヒータ75の温度と静電チャック40の表面温度とのずれを補正し、補正後の温度にて各ゾーンのヒータを温度制御する温度制御方法について説明する。
 なお、以下では、図18に示したようにセンタゾーンA,内ミドルゾーンB、外ミドルゾーンC、エッジゾーンDの設定温度に対する静電チャック40の表面温度のずれを補正するための第1の補正値を、α、α、α、αで示す。また、センタゾーンA,内ミドルゾーンB、外ミドルゾーンC、エッジゾーンDの隣接ゾーンからの温度干渉を補正するための第2の補正値をβ、β、β、βで示す。更に、これらの補正値を設定するために、温度センサ77を用いる。温度センサ77の位置は、図11に示したように、本実施形態では内ミドルゾーンBのヒータ裏面に設けられる。しかし、温度センサ77の位置はこれに限らず、他ゾーンに設けられてもよい。また、温度センサ77は1つに限られず、複数設けられてもよい。特に、温度センサ77は円周上に3つ以上設けられることが好ましい。例えば、図12では、4つの温度センサ77a、77b、77c、77dが円周上に設けられている。これによって円周方向の温度分布をより正確に計測できる。
 [制御装置80の機能構成]
 ヒータの温度制御方法は、制御装置80により実行される。以下では、本実施形態に係る制御装置80の機能構成について図13を参照しながら説明した後、その動作(温度制御)について図19を参照しながら説明する。
 図13は、制御装置80の機能構成図である。制御装置80は、取得部81、記憶部83、温度設定部84、温度制御部85、判定部86及びプラズマ処理実行部87を有する。
 取得部81は、温度センサ77により検出されたヒータ75裏面の温度を随時入力する。複数の温度センサ77が設置されている場合には、複数の温度センサ77からのセンサ値を入力する。
 温度設定部84は、各ゾーンの設定温度に対する静電チャック40の表面温度のずれを補正するための第1の補正値α、α、α、α、及び各ゾーンの設定温度に対する隣接ゾーンからの温度干渉を補正するための第2の補正値β、β、β、βを算出し、記憶部83に予め記憶しておく。算出方法については後述する。
 記憶部83には、各ゾーンの設定温度と、第1の補正値α、α、α、α、及び第2の補正値β、β、β、βに基づきゾーン毎に補正された温度になるようにヒ-タ75に流す電流値と、の相関関係を予め記憶しておく。また、記憶部83は、プロセスの手順と条件を設定したプロセスレシピを記憶する。例えば、プロセスレシピには、図5に示したプロセスの手順と各工程のプロセス条件が設定されてもよい。
 温度制御部85は、ヒータ75の制御温度をゾーン毎に調整する。温度制御部85は、各ゾーンの設定温度に対する静電チャック40の表面温度のずれを補正しながらヒ-タ75の制御温度をゾーン毎に調整してもよい。また、温度制御部85は、各ゾーンの設定温度に対する隣接ゾーンからの温度干渉を補正しながらヒ-タ75の制御温度をゾーン毎に調整してもよい。温度制御部85は、これらの調整の一方のみを制御してもよく、両方を制御してもよい。これらの調整の際、記憶部83に記憶された第1の補正値α、α、α、α、及び第2の補正値β、β、β、βの少なくともいずれかに基づきヒータ75の制御温度をゾーン毎に調整してもよい。その際、温度制御部85は、各ゾーンのうち少なくとも一つのゾーンに設けられた温度センサ77により検出された温度を、温度検出されたゾーンの設定温度とし、記憶部83に記憶された該ゾーンの設定温度と各ゾーンのヒータに流す電流値との相関関係から各ゾーンのヒータに流す電流値を算出する。
 判定部86は、算出されたゾーン毎のヒータの電流値の少なくともいずれかが予め定められた閾値より低い場合、静電チャック40を交換すべき時期であると判定する。その理由は、ヒータ75を繰り返し使用すると熱膨張等によりヒータ75がセラミックの静電チャック40から剥離し、その部分の温度が高温状態で維持されてしまい、その結果電流値が下がってしまうためである。なお、閾値は、予め記憶部83に記憶されてもよい。
 プラズマ処理実行部87は、記憶部83に記憶されたプロセスレシピに従いプラズマエッチング処理を実行する。
 [補正値の算出]
 次に、ヒータ設定温度Y,Y,Y,Yの補正関数を求める。具体的には、第1の補正値α,α,α,α及び第2の補正値β,β,β,βを予め算出し、第1の補正値α,α,α,α及び第2の補正値β,β,β,βを用いて補正後のヒータ制御温度を求める方法について、図14~図18を参照しながら説明する。図14は、本実施形態に係るヒ-タの設定温度Yに対する補正値α、βの算出方法を説明するための図である。図15は本実施形態に係るヒ-タの設定温度Yに対する補正値α、βの算出方法、図16は本実施形態に係るヒ-タの設定温度Yに対する補正値α、βの算出方法、図17は本実施形態に係るヒ-タの設定温度Yに対する補正値α、βの算出方法を説明するための図である。図18は、各ゾーンの設定温度に対する補正と各ゾーンへの入力電流値を説明するための図である。
 以下のように、ヒータ制御温度を補正することにより、隣接ゾーンからの温度干渉及びヒータ75の設定温度と静電チャック40の表面温度とのずれを補正し、これらの要因を補正したヒータ75の制御温度に対応するヒータへの入力電流値によりヒータの温度が精度よく制御される。
 以下の説明では、変数X,X,X,XはセンタゾーンA、内ミドルゾーンB、外ミドルゾーンC、エッジゾーンDの各目標温度、つまり実際に制御すべき温度である静電チャック40の各ゾーンにおける表面温度を示し、変数Y,Y,Y,Yは各ゾーンにおけるヒータ75の設定温度を示す。変数Z,Z,Zは隣接ゾーンからの温度干渉を示す隣接温度である。具体的には、図14に示したように、センタゾーンAに対する隣接温度は変数Zで示される。また、図15の内ミドルゾーンBに対する隣接温度は変数Z,Zで示され、図16の外ミドルゾーンCに対する隣接温度は変数Z,Zで示され、図17のエッジゾーンに対する隣接温度は変数Zで示される。
 なお、自ゾーンの目標温度(静電チャック40の表面温度)を示す変数X,X,X,X及び隣接温度を示す変数Z,Z,Zは、赤外分光法IRを用いて測定される。また、ヒータ75の設定温度を示す変数Y,Y,Y,Yは、蛍光温度計を用いて測定される。
 例えば、センタゾーンAのヒータに関して、ヒータ設定温度Yと目標温度Xとの関係は、隣接ゾーンの温度Zの影響を考慮した場合、式(1)で示される。
 Y=α+β(Z)・・・(1)
 式(1)の直線を図14のグラフに示す。自ゾーンの静電チャック40の表面温度を実測すると、隣接温度Zの影響を受けなければ、傾きαは同じになる。ここでは、β(Z)は一定と仮定する。温度センサ77がセンタゾーンAの裏面のセンサ温度Tを検出した場合、ヒータ設定温度Yは実測値であるセンサ温度Tと同値とすることができる。よって、ヒータ設定温度Y(=センサ温度T)及び静電チャック40の表面温度の実測値Xを、少なくとも2つ異なる点で測定することにより、第1の補正値α及び第2の補正値βが算出される。
 同様にして、内ミドルゾーンBのヒータに関して、ヒータ設定温度Yと目標温度Xとの関係は、隣接温度Z,Zの影響を考慮した場合、式(2)で示される。
 Y=α+β(Z,Z)・・・(2)
 式(2)の直線を図15のグラフに示す。このとき、隣接温度Z及びZは温度制御として想定される範囲内の特定の組み合わせで固定した値であり、β(Z,Z)は一定としている。温度センサ77が内ミドルゾーンBの裏面のセンサ温度Tを検出した場合、ヒータ設定温度Yは実測値であるセンサ温度Tと同値とすることができる。よって、ヒータ設定温度Y(=センサ温度T)及び静電チャック40の表面温度の実測値Xを、少なくとも2つ異なる点で測定することにより、第1の補正値α及び第2の補正値βが算出される。
 同様にして、式(3)、式(4)から外ミドルゾーンC、エッジゾーンDの温度制御のための第1の補正値α、α及び第2の補正値β、βを算出する。
 Y=α+β(Z,Z)・・・(3)
 Y=α+β(Z)・・・(4)
 式(3)の直線を図16のグラフに示し、式(4)の直線を図17のグラフに示す。なお、ヒータ設定温度Y=センサ温度T、ヒータ設定温度Y=センサ温度Tとする。
 このようにして、想定される隣接ゾーンの温度設定値の組み合わせのすべてにおいて温度設定部84は、図18に示したすべての補正値を予め算出しておく。算出された第1の補正値α、α、α、α及び第2の補正値β、β、β、βは、記憶部83に記憶される。また、記憶部83には、各ゾーンの設定温度Y、Y、Y、Yと、第1の補正値α、α、α、α及び第2の補正値β、β、β、βに基づきゾーン毎に算出された制御温度になるようにヒ-タ75の各ゾーンに流す電流値I、I、I、Iと、の相関関係が予め記憶されている。
 以上の補正値の算出方法によれば、予め隣接する各ゾーン間の温度変化に対する相対関係を予め測定しておき、1ゾーンの温度を実際に計測し、計測された温度をベース温度として各ゾーンのヒータ75への入力電流値を求める。これにより、各ゾーンのヒータに対して補正された温度制御が可能になる。
 なお、上記説明では、例えば内ミドルゾーンBのヒータに関して、隣接ゾーンからの影響をβ(Z,Z)として近似したが、隣接ゾーンだけでなくその外側ゾーンからの影響を考慮すると更に補正の精度が向上する。例えば内ミドルゾーンBのヒータに関して言えば、センタゾーンA及び外ミドルゾーンCだけでなく、エッジゾーンDからの影響を考慮してβ(Z,Z,Z)として近似すると更に補正の精度が高まる(式(6)参照)。同様にして下記の式(5)~式(8)のように隣接だけでなくその外側の温度Zの組み合わせまでが含まれた補正値を予め算出しておけばよい。
 Y=α+β(Z,Z,Z)・・・(5)
 Y=α+β(Z,Z,Z)・・・(6)
 Y=α+β(Z,Z,Z)・・・(7)
 Y=α+β(Z,Z,Z)・・・(8)
 更に、外ミドルゾーンCの電源をオフにした場合、外ミドルゾーンCからの温度干渉はなくなるため、各ゾーンのヒータ設定温度と目標温度との関係は式(9)~式(11)のようになる。
 Y=α+β(Z,Z)・・・(9)
 Y=α+β(Z,Z)・・・(10)
 Y=α+β(Z,Z)・・・(11)
 [制御装置の動作]
 最後に、制御装置80の動作、つまり、制御装置80によって実行される温度制御について、図19のフローチャートを参照しながら説明する。なお、ここでは、隣接ゾーンの温度をZで表す。前述したように、各ゾーンの第1及び第2の補正値α~α、β~βは予め算出され、記憶部83に記憶されている。また、補正後のヒータ設定温度Y~Yに対応する入力電流値I~Iの相関関係も記憶部83に記憶されている。
 本処理が開始されると、まず、取得部81は、内ミドルゾーンBに取り付けられた温度センサ77により検出されたセンサ温度Tを取得する(ステップS100)。次に、温度設定部84は、センサ温度Tをベース温度として、式(2)のヒータ設定温度Yにセンサ温度Tを代入し、目標温度Xに目標値を代入し、隣接ゾーンの温度Zを算出する(ステップS102)。
 Y=α+β(Z,Z)・・・(2)
 次に、温度設定部84は、式(1)、式(3)、式(4)に基づき、X、X、Xに目標値を代入し、隣接ゾーンの温度影響Zを代入し、これによりヒータ設定温度Y、Y、Yを算出する(ステップS104)。
 Y=α+β(Z)・・・(1)
 Y=α+β(Z,Z)・・・(3)
 Y=α+β(Z)・・・(4)
 ついで、記憶部83に記憶された各ゾーンの設定温度Yと電流値Iとの相関関係に基づき、温度制御部85は、ヒータ設定温度Y、Y、Y、Yに対応するヒータの入力電流値I、I、I、Iを算出し、ヒータの入力電流値I、I、I、Iを各ゾーンのヒータに通電させることにより、各ゾーンのヒータ温度を制御する(ステップS106)。
 次に、判定部86は、ヒータの入力電流値I、I、I、Iのうち、所定の閾値より低い入力電流値があるかを判定し、あると判定した場合、静電チャック40を交換すべき時期であると判定し(ステップS108)、本処理を終了する。ないと判定された場合、直ちに本処理を終了する。
 [効果]
 以上に説明したように、本実施形態に係るヒータ75を設けたプラズマ処理装置1によれば、静電チャック40内又は近傍に設けられたヒ-タ75は4つ以上のゾーンに分割される。これにより、プラズマの状態や装置構成から特異点が生じるセンタゾーンAと最外周のエッジゾーンDとを別々に温度制御するとともに、ミドル領域を2つ以上に分割することによって、よりきめ細かくヒータの温度制御を行うことができる。この結果、ウエハ温度の面内均一性を図ることができる。
 また、各ゾーンは隣接ゾーンからの温度干渉を受ける。特に中央のゾーンで温度干渉が大きくなる。そこで、本実施形態に係るプラズマ処理装置1で実行され得る温度制御方法では、各ゾーンの設定温度に対する隣接ゾーンからの温度干渉を補正する。また、各ゾーンの設定温度に対し、ヒータ75より上方に設置されている静電チャック40の表面温度のずれを補正する。これにより、より精度の高い温度制御が可能となる。
 以上、添付図面を参照しながら本発明の好適な実施形態について詳細に説明したが、本発明はかかる例に限定されない。本発明の属する技術の分野における通常の知識を有する者であれば、特許請求の範囲に記載された技術的思想の範疇において、各種の変更例または修正例に想到し得ることは明らかであり、これらについても、当然に本発明の技術的範囲に属するものと了解される。
 以上ではプラズマ処理装置で実行されるプラズマ処理としてプラズマエッチングを例に挙げて説明したが、本発明はプラズマエッチングに限られず、例えば、化学気相蒸着(CVD: Chemical Vapor Deposition)によりウエハ上に薄膜を形成するプラズマCVD、プラズマ酸化、プラズマ窒化、スパッタリング、アッシング等を行うプラズマ処理装置にも適用可能である。
 また、本発明に係るプラズマ処理装置は、チャンバ内の平行平板電極間に生じる高周波の放電により容量結合プラズマ(CCP:Capacitively Coupled Plasma)を生成する容量結合型プラズマ処理装置に限られず、例えば、チャンバの上面または周囲にアンテナを配置して高周波の誘導電磁界の下で誘導結合プラズマ(ICP:Inductively Coupled Plasma)を生成する誘導結合型プラズマ処理装置、マイクロ波のパワーを用いてプラズマ波を生成するマイクロ波プラズマ処理装置等にも適用可能である。
 本発明においてプラズマ処理を施される被処理体は、半導体ウエハに限られず、例えば、フラットパネルディスプレイ(FPD:Flat Panel Display)用の大型基板、EL素子又は太陽電池用の基板であってもよい。
 前記ヒータは、前記センタゾーンから前記2つ以上のミドルゾーンまで外周側に行くほどゾーンの面積が小さくなり、最外周のミドルゾーンはその外周側の前記エッジゾーンより面積が小さくてもよい。
 前記ヒータは、前記センタゾーンから前記エッジゾーンまで外周側に行くほどゾーンの面積が小さくてもよい。
 前記温度制御部は、前記最外周のミドルゾーンのヒータのみをオフした状態でそれ以外のゾーンの前記ヒータの制御温度を調整してもよい。
 前記温度制御部は、各ゾーンの設定温度に対する前記静電チャックの表面温度のずれを補正しながら前記ヒ-タの制御温度をゾーン毎に調整してもよい。
 前記温度制御部は、各ゾーンの設定温度に対する隣接ゾーンからの温度干渉を補正しながら前記ヒ-タの制御温度をゾーン毎に調整してもよい。
 前記各ゾーンの設定温度に対する前記静電チャックの表面温度のずれを補正するための第1の補正値、及び前記各ゾーンの設定温度に対する隣接ゾーンからの温度干渉を補正するための第2の補正値を設定する温度設定部を更に備え、前記温度制御部は、前記第1の補正値及び前記第2の補正値に基づき前記ヒータの制御温度をゾーン毎に調整してもよい。
 前記温度設定部は、前記各ゾーンの設定温度と、前記第1の補正値及び前記第2の補正値に基づきゾーン毎に算出された制御温度になるように前記ヒ-タに流す電流値と、の相関関係を予め記憶部に記憶し、前記温度制御部は、前記各ゾーンのうち少なくとも一つのゾーンに設けられた温度センサにより検出された温度を前記計測したゾーンの設定温度とし、該ゾーンの設定温度と前記記憶部に記憶された前記相関関係とから前記各ゾーンのヒータに流す電流値を算出してもよい。
 前記算出されたゾーン毎のヒータの電流値の少なくともいずれかが予め定められた閾値より低い場合、前記静電チャックの交換時期と判定する判定部を更に備えてもよい。
 前記いずれかのゾーンに設けられた温度センサは、円周上に3つ以上設けられてもよい。
 前記載置台内又は近傍に設けられた前記ヒータ近傍に冷媒管を設け、該冷媒管内に冷媒を循環させる冷却装置を更に備え、前記冷媒管は、前記ヒ-タの各ゾーンに対応して分割して配置されてもよい。
 前記載置台は、直径が450mm以上の被処理体を載置し、前記ヒータのミドルゾーンは、同心円状に3つ以上に分割されてもよい。
 本国際出願は、2012年1月13日に出願された日本国特許出願2012-005590号に基づく優先権及び2012年1月18日に出願された米国仮出願61/587706号に基づく優先権を主張するものであり、その全内容を本国際出願に援用する。
 1     プラズマ処理装置
 10    チャンバ
 12    載置台(下部電極)
 31    第1高周波電源
 32    第2高周波電源
 38    シャワーヘッド(上部電極)
 40    静電チャック
 44    交流電源
 62    ガス供給源
 70    冷媒管
 71    チラーユニット
 75    ヒ-タ
 77    温度センサ
 80    制御装置
 81    取得部
 83    記憶部
 84    温度設定部
 85    温度制御部
 86    判定部
 87    プラズマ処理実行部
 100   レジスト膜
 102   BARC膜
 104   α-Si膜
 106   SiN膜
 108   SiO
 A     センタゾーン
 B     内ミドルゾーン
 C     外ミドルゾーン
 D     エッジゾーン

Claims (13)

  1.  高周波電力によりガスをプラズマ化し、該プラズマの作用により被処理体をプラズマ処理するプラズマ処理装置であって、
     減圧可能な処理容器と、
     前記処理容器内に設けられ、被処理体を載置する載置台と、
     前記載置台に設けられ、チャック電極に電圧を印加することにより被処理体を静電吸着する静電チャックと、
     前記静電チャック内又は近傍に設けられ、円形のセンタゾーンと、その外周側に同心円状に設けられた2つ以上のミドルゾーンと、最外周に同心円状に設けられたエッジゾーンと、に分割されたヒータと、
     前記ヒータの制御温度を、前記分割されたゾーン毎に調整する温度制御部と、
     を備えることを特徴とするプラズマ処理装置。
  2.  前記ヒータは、前記センタゾーンから前記2つ以上のミドルゾーンまで外周側に行くほどゾーンの面積が小さくなり、最外周のミドルゾーンはその外周側の前記エッジゾーンより面積が小さいことを特徴とする請求項1に記載のプラズマ処理装置。
  3.  前記ヒータは、前記センタゾーンから前記エッジゾーンまで外周側に行くほどゾーンの面積が小さくなることを特徴とする請求項1に記載のプラズマ処理装置。
  4.  前記温度制御部は、前記最外周のミドルゾーンのヒータのみをオフした状態でそれ以外のゾーンの前記ヒータの制御温度を調整することを特徴とする請求項2に記載のプラズマ処理装置。
  5.  前記温度制御部は、各ゾーンの設定温度に対する前記静電チャックの表面温度のずれを補正しながら前記ヒ-タの制御温度をゾーン毎に調整することを特徴とする請求項1に記載のプラズマ処理装置。
  6.  前記温度制御部は、各ゾーンの設定温度に対する隣接ゾーンからの温度干渉を補正しながら前記ヒ-タの制御温度をゾーン毎に調整することを特徴とする請求項1に記載のプラズマ処理装置。
  7.  前記各ゾーンの設定温度に対する前記静電チャックの表面温度のずれを補正するための第1の補正値、及び前記各ゾーンの設定温度に対する隣接ゾーンからの温度干渉を補正するための第2の補正値を設定する温度設定部を更に備え、
     前記温度制御部は、前記第1の補正値及び前記第2の補正値に基づき前記ヒータの制御温度をゾーン毎に調整することを特徴とする請求項6に記載のプラズマ処理装置。
  8.  前記温度設定部は、前記各ゾーンの設定温度と、前記第1の補正値及び前記第2の補正値に基づきゾーン毎に算出された制御温度になるように前記各ゾーンのヒ-タに流す電流値と、の相関関係を予め記憶部に記憶し、
     前記温度制御部は、前記各ゾーンのうち少なくとも一つのゾーンに設けられた温度センサにより検出された温度を前記計測したゾーンの設定温度とし、該ゾーンの設定温度と前記記憶部に記憶された前記相関関係とから前記各ゾーンのヒータに流す電流値を算出することを特徴とする請求項7に記載のプラズマ処理装置。
  9.  前記算出されたゾーン毎のヒータの電流値の少なくともいずれかが予め定められた閾値より低い場合、前記静電チャックの交換時期と判定する判定部を更に備えることを特徴とする請求項8に記載のプラズマ処理装置。
  10.  前記いずれかのゾーンに設けられた温度センサは、円周上に3つ以上設けられていることを特徴とする請求項8に記載のプラズマ処理装置。
  11.  前記載置台内又は近傍に設けられた前記ヒータと対向する位置に冷媒管を設け、該冷媒管内に冷媒を循環させる冷却装置を更に備えることを特徴とする請求項1に記載のプラズマ処理装置。
  12.  前記載置台は、直径が450mm以上の被処理体を載置し、
     前記ヒータのミドルゾーンは、同心円状に3つ以上に分割されていることを特徴とする請求項1に記載のプラズマ処理装置。
  13.  高周波電力によりガスをプラズマ化し、該プラズマの作用により被処理体をプラズマ処理するプラズマ処理装置に設けられたヒータの温度制御方法であって、
     前記プラズマ処理装置は、減圧可能な処理容器と、前記処理容器内に設けられ、被処理体を載置する載置台と、前記載置台に設けられ、チャック電極に電圧を印加することにより被処理体を静電吸着する静電チャックと、前記静電チャック内又は近傍に設けられ、円形のセンタゾーンと、その外周側に同心円状に設けられた2つ以上のミドルゾーンと、最外周に同心円状に設けられたエッジゾーンと、に分割されたヒータと、前記各ゾーンのヒ-タの設定温度と、該設定温度に対する前記静電チャックの表面温度のずれ及び前記各ゾーンの設定温度に対する隣接ゾーンからの温度干渉、を補正した前記各ゾーンの制御温度になるように前記ヒ-タに流す電流値と、の相関関係を予め記憶した記憶部とを備え、
     前記各ゾーンのうち少なくとも一つのゾーンに設けられた温度センサにより検出された温度を、前記検出されたゾーンの設定温度として取得する工程と、
     前記取得したゾーンの設定温度と前記記憶部に記憶された前記相関関係とから各ゾーンのヒータに流す電流値を算出する工程と、
     前記算出された電流値を各ゾーンのヒータに流すことにより前記各ゾーンのヒータの温度を制御する工程と、
     を含むヒータの温度制御方法。
PCT/JP2013/050195 2012-01-13 2013-01-09 プラズマ処理装置及びヒータの温度制御方法 WO2013105575A1 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020147017920A KR102021570B1 (ko) 2012-01-13 2013-01-09 플라즈마 처리 장치 및 히터의 온도 제어 방법
US14/368,548 US20150132863A1 (en) 2012-01-13 2013-01-09 Plasma processing apparatus and heater temperature control method
US15/428,313 US10026631B2 (en) 2012-01-13 2017-02-09 Plasma processing apparatus and heater temperature control method
US16/013,189 US10629464B2 (en) 2012-01-13 2018-06-20 Plasma processing apparatus and heater temperature control method
US16/818,132 US20200219740A1 (en) 2012-01-13 2020-03-13 Plasma processing apparatus and heater temperature control method

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2012-005590 2012-01-13
JP2012005590A JP5973731B2 (ja) 2012-01-13 2012-01-13 プラズマ処理装置及びヒータの温度制御方法
US201261587706P 2012-01-18 2012-01-18
US61/587,706 2012-01-18

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US14/368,548 A-371-Of-International US20150132863A1 (en) 2012-01-13 2013-01-09 Plasma processing apparatus and heater temperature control method
US15/428,313 Division US10026631B2 (en) 2012-01-13 2017-02-09 Plasma processing apparatus and heater temperature control method

Publications (1)

Publication Number Publication Date
WO2013105575A1 true WO2013105575A1 (ja) 2013-07-18

Family

ID=48781522

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2013/050195 WO2013105575A1 (ja) 2012-01-13 2013-01-09 プラズマ処理装置及びヒータの温度制御方法

Country Status (5)

Country Link
US (4) US20150132863A1 (ja)
JP (1) JP5973731B2 (ja)
KR (1) KR102021570B1 (ja)
TW (1) TWI582819B (ja)
WO (1) WO2013105575A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106206233A (zh) * 2014-12-16 2016-12-07 株式会社日立高新技术 等离子体处理装置
US10029332B2 (en) 2014-09-04 2018-07-24 Samsung Electronics Co., Ltd. Spot heater and device for cleaning wafer using the same
CN112331549A (zh) * 2017-05-30 2021-02-05 东京毅力科创株式会社 载置台和等离子体处理装置

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
JP6106519B2 (ja) * 2013-05-09 2017-04-05 東京エレクトロン株式会社 基板処理方法、プログラム、制御装置、成膜装置及び基板処理システム
DE102013109155A1 (de) * 2013-08-23 2015-02-26 Aixtron Se Substratbehandlungsvorrichtung
US9435692B2 (en) * 2014-02-05 2016-09-06 Lam Research Corporation Calculating power input to an array of thermal control elements to achieve a two-dimensional temperature output
JP6240532B2 (ja) * 2014-02-27 2017-11-29 東京エレクトロン株式会社 静電チャックの温度制御方法
KR101575505B1 (ko) 2014-07-21 2015-12-07 주식회사 스피드터치 공정온도 조절 장치
JP6530220B2 (ja) * 2015-03-30 2019-06-12 日本特殊陶業株式会社 セラミックヒータ及びその制御方法、並びに、静電チャック及びその制御方法
JP6537329B2 (ja) * 2015-04-07 2019-07-03 東京エレクトロン株式会社 温度制御装置、温度制御方法およびプログラム
JP6525751B2 (ja) * 2015-06-11 2019-06-05 東京エレクトロン株式会社 温度制御方法及びプラズマ処理装置
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US10381248B2 (en) 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
US10237916B2 (en) 2015-09-30 2019-03-19 Tokyo Electron Limited Systems and methods for ESC temperature control
JP6618336B2 (ja) * 2015-11-19 2019-12-11 株式会社Screenホールディングス 基板の温度分布調整方法
US10582570B2 (en) * 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US10345802B2 (en) * 2016-02-17 2019-07-09 Lam Research Corporation Common terminal heater for ceramic pedestals used in semiconductor fabrication
JP6587955B2 (ja) * 2016-02-24 2019-10-09 株式会社Screenホールディングス 熱処理装置
US10634479B2 (en) * 2016-06-20 2020-04-28 Tokyo Electron Limited Measuring instrument for measuring electrostatic capacity and method of calibrating transfer position data in processing system by using measuring instrument
JP6688172B2 (ja) * 2016-06-24 2020-04-28 東京エレクトロン株式会社 基板処理システムおよび方法
JP6961025B2 (ja) * 2016-06-24 2021-11-05 東京エレクトロン株式会社 基板処理システム
JP6986947B2 (ja) * 2017-01-19 2021-12-22 東京エレクトロン株式会社 基板処理装置、温度制御方法及び温度制御プログラム
US11069545B2 (en) * 2017-01-19 2021-07-20 Tokyo Electron Limited Substrate processing apparatus, temperature control method, and temperature control program
US10509425B2 (en) * 2017-01-20 2019-12-17 Lam Research Corporation Virtual metrology method for ESC temperature estimation using thermal control elements
JP6688763B2 (ja) * 2017-05-30 2020-04-28 東京エレクトロン株式会社 プラズマ処理方法
JP7077006B2 (ja) * 2017-12-20 2022-05-30 日本特殊陶業株式会社 保持装置
JP7088732B2 (ja) * 2018-04-27 2022-06-21 株式会社堀場エステック 基板処理装置及び基板処理装置用プログラム
CN108624871A (zh) * 2018-05-11 2018-10-09 中晟光电设备(上海)股份有限公司 一种温度控制系统、薄膜沉积设备及温度控制方法
CN112424922A (zh) 2018-07-17 2021-02-26 Asml荷兰有限公司 粒子束检查装置
JP2020043171A (ja) * 2018-09-07 2020-03-19 東京エレクトロン株式会社 温調方法
CN111009454B (zh) * 2018-10-05 2024-05-17 东京毅力科创株式会社 等离子体处理装置、监视方法以及记录介质
JP7249791B2 (ja) * 2019-01-25 2023-03-31 東京エレクトロン株式会社 ヒータの温度制御方法、ヒータ及び載置台
JP7071946B2 (ja) * 2019-06-21 2022-05-19 東京エレクトロン株式会社 プラズマ処理装置
JP7339905B2 (ja) * 2020-03-13 2023-09-06 キオクシア株式会社 貼合装置および貼合方法
US11646183B2 (en) * 2020-03-20 2023-05-09 Applied Materials, Inc. Substrate support assembly with arc resistant coolant conduit
JP7116249B2 (ja) * 2020-04-21 2022-08-09 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US11688615B2 (en) * 2020-08-19 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for heating semiconductor wafers
JP2022046103A (ja) * 2020-09-10 2022-03-23 東京エレクトロン株式会社 基板を加熱する装置及び方法
JP7364547B2 (ja) * 2020-09-25 2023-10-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2022111771A (ja) * 2021-01-20 2022-08-01 東京エレクトロン株式会社 プラズマ処理システム及びプラズマ処理方法
CN113110644B (zh) * 2021-04-26 2022-09-16 北京北方华创微电子装备有限公司 静电卡盘的温度控制方法和温度控制系统
US20220397354A1 (en) * 2021-06-09 2022-12-15 Watlow Electric Manufacturing Company Cold conduit insulation device
US11485124B1 (en) * 2021-07-29 2022-11-01 Nikko-Materials Co., Ltd. Laminating apparatus and laminating method using same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008177285A (ja) * 2007-01-17 2008-07-31 Hitachi High-Technologies Corp プラズマ処理装置
JP2009054871A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
WO2010053173A1 (ja) * 2008-11-10 2010-05-14 株式会社Kelk 半導体ウェーハの温度制御装置および温度制御方法

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5668524A (en) * 1994-02-09 1997-09-16 Kyocera Corporation Ceramic resistor and electrostatic chuck having an aluminum nitride crystal phase
JPH08302474A (ja) * 1995-04-28 1996-11-19 Anelva Corp Cvd装置の加熱装置
US5796074A (en) * 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5880923A (en) * 1997-06-09 1999-03-09 Applied Materials Inc. Method and apparatus for improved retention of a semiconductor wafer within a semiconductor wafer processing system
JP4040814B2 (ja) * 1998-11-30 2008-01-30 株式会社小松製作所 円盤状ヒータ及び温度制御装置
US6423949B1 (en) * 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
EP1199908A4 (en) * 1999-10-22 2003-01-22 Ibiden Co Ltd CERAMIC HEATING PLATE
EP1383167A1 (en) * 1999-12-09 2004-01-21 Ibiden Co., Ltd. Ceramic plate for semiconductor producing/inspecting apparatus
US20040149718A1 (en) * 2000-04-07 2004-08-05 Yasutaka Ito Ceramic heater
WO2001091166A1 (fr) * 2000-05-26 2001-11-29 Ibiden Co., Ltd. Dispositif de fabrication et de controle d'un semi-conducteur
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
JP2002076102A (ja) * 2000-08-31 2002-03-15 Ibiden Co Ltd セラミック基板
EP1341216A1 (en) * 2000-12-05 2003-09-03 Ibiden Co., Ltd. Ceramic substrate for semiconductor manufacturing and inspecting devices, and method of manufacturing the ceramic substrate
JP4128339B2 (ja) * 2001-03-05 2008-07-30 株式会社日立製作所 試料処理装置用プロセスモニタ及び試料の製造方法
US6741446B2 (en) * 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP3825277B2 (ja) * 2001-05-25 2006-09-27 東京エレクトロン株式会社 加熱処理装置
US6535372B2 (en) * 2001-06-20 2003-03-18 Applied Materials, Inc. Controlled resistivity boron nitride electrostatic chuck apparatus for retaining a semiconductor wafer and method of fabricating the same
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
JP4502168B2 (ja) * 2001-07-06 2010-07-14 ルネサスエレクトロニクス株式会社 化学機械研磨装置および化学機械研磨方法
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
JPWO2003008359A1 (ja) * 2001-07-19 2004-11-04 イビデン株式会社 セラミック接合体およびその接合方法、セラミック構造体
JP3639546B2 (ja) * 2001-07-25 2005-04-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US6736720B2 (en) * 2001-12-26 2004-05-18 Lam Research Corporation Apparatus and methods for controlling wafer temperature in chemical mechanical polishing
US6921724B2 (en) * 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US7734439B2 (en) * 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers
US7778533B2 (en) * 2002-09-12 2010-08-17 Applied Materials, Inc. Semiconductor thermal process control
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
JP4838585B2 (ja) * 2003-07-14 2011-12-14 三菱レイヨン株式会社 固定床多管式反応器
US7110917B2 (en) * 2003-11-14 2006-09-19 Ricoh Company, Ltd. Abnormality determining method, and abnormality determining apparatus and image forming apparatus using same
CN101019208B (zh) * 2004-06-28 2010-12-08 京瓷株式会社 晶片加热装置及半导体制造装置
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
TWI281833B (en) * 2004-10-28 2007-05-21 Kyocera Corp Heater, wafer heating apparatus and method for manufacturing heater
US7815740B2 (en) * 2005-03-18 2010-10-19 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate processing method
US20060289447A1 (en) * 2005-06-20 2006-12-28 Mohamed Zakaria A Heating chuck assembly
JP4758716B2 (ja) * 2005-09-16 2011-08-31 株式会社タムラ製作所 加熱装置の制御方法
JP4790458B2 (ja) * 2006-03-22 2011-10-12 東京エレクトロン株式会社 プラズマ処理装置
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP5245268B2 (ja) * 2006-06-16 2013-07-24 東京エレクトロン株式会社 載置台構造及び熱処理装置
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7901509B2 (en) * 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
US7838800B2 (en) 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7445446B2 (en) * 2006-09-29 2008-11-04 Tokyo Electron Limited Method for in-line monitoring and controlling in heat-treating of resist coated wafers
US20090095095A1 (en) * 2006-11-02 2009-04-16 Tokyo Electron Limited Microstructure inspecting apparatus, microstructure inspecting method and substrate holding apparatus
JP5029257B2 (ja) * 2007-01-17 2012-09-19 東京エレクトロン株式会社 載置台構造及び処理装置
US20080228308A1 (en) * 2007-03-13 2008-09-18 Tokyo Electron Limited Critical dimension uniformity optimization
JP2008288340A (ja) * 2007-05-16 2008-11-27 Panasonic Corp プラズマ処理装置、プラズマ処理方法、及び洗浄時期予測プログラム
TWI508129B (zh) * 2007-10-31 2015-11-11 Lam Res Corp 利用氣體壓力來控制液體冷卻劑與構件體間之熱傳導的溫度控制模組
JP5032269B2 (ja) * 2007-11-02 2012-09-26 東京エレクトロン株式会社 被処理基板の温度調節装置及び温度調節方法、並びにこれを備えたプラズマ処理装置
CN101861641B (zh) * 2007-11-14 2012-03-21 东京毅力科创株式会社 等离子体处理装置以及半导体衬底的等离子体处理方法
JP5414172B2 (ja) * 2007-12-05 2014-02-12 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US7848840B2 (en) * 2008-01-04 2010-12-07 Applied Materials, Inc. Method of controlling process parameters for semiconductor manufacturing apparatus
KR101094982B1 (ko) * 2008-02-27 2011-12-20 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법
JP2009231401A (ja) * 2008-03-21 2009-10-08 Tokyo Electron Ltd 載置台構造及び熱処理装置
JP5210706B2 (ja) * 2008-05-09 2013-06-12 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
JP5433171B2 (ja) * 2008-06-16 2014-03-05 株式会社日立ハイテクノロジーズ 試料温度の制御方法
US8419960B2 (en) * 2008-07-11 2013-04-16 Tokyo Electron Limited Plasma processing apparatus and method
KR101582785B1 (ko) * 2008-08-12 2016-01-07 어플라이드 머티어리얼스, 인코포레이티드 정전 척 조립체
JP2010050046A (ja) * 2008-08-25 2010-03-04 Hitachi High-Technologies Corp プラズマ処理装置
JP5705133B2 (ja) * 2009-02-04 2015-04-22 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 静電チャックシステムおよび基板表面に亘って温度プロファイルを半径方向に調整するための方法
US8404572B2 (en) * 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
JP5239988B2 (ja) * 2009-03-24 2013-07-17 東京エレクトロン株式会社 載置台構造及び処理装置
JP5651317B2 (ja) * 2009-03-31 2015-01-07 東京エレクトロン株式会社 半導体製造装置及び温調方法
US20100279435A1 (en) * 2009-04-30 2010-11-04 Applied Materials, Inc. Temperature control of chemical mechanical polishing
JP2010278166A (ja) * 2009-05-27 2010-12-09 Tokyo Electron Ltd プラズマ処理用円環状部品、及びプラズマ処理装置
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US8916793B2 (en) * 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
JP2011187758A (ja) * 2010-03-10 2011-09-22 Tokyo Electron Ltd 温度制御システム、温度制御方法、プラズマ処理装置及びコンピュータ記憶媒体
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
KR101141261B1 (ko) * 2010-08-12 2012-05-04 한국에너지기술연구원 미세구조유체의 동적 열전도도 측정장치 및 방법
JP5552401B2 (ja) * 2010-09-08 2014-07-16 株式会社荏原製作所 研磨装置および方法
US8633423B2 (en) * 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
US9207689B2 (en) * 2011-03-08 2015-12-08 Tokyo Electron Limited Substrate temperature control method and plasma processing apparatus
JP5712741B2 (ja) * 2011-03-31 2015-05-07 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US8552346B2 (en) * 2011-05-20 2013-10-08 Applied Materials, Inc. Methods and apparatus for controlling temperature of a multi-zone heater in an process chamber
JP5810674B2 (ja) * 2011-06-28 2015-11-11 オムロン株式会社 制御装置、加熱装置制御システム、制御方法、プログラムおよび記録媒体
US9279774B2 (en) * 2011-07-12 2016-03-08 Kla-Tencor Corp. Wafer inspection
MX344029B (es) * 2011-08-30 2016-12-02 Watlow Electric Mfg Sistema de arreglo termico.
US10269615B2 (en) * 2011-09-09 2019-04-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9048178B2 (en) * 2011-09-27 2015-06-02 Tokyo Electron Limited Plasma etching method and semiconductor device manufacturing method
JP6223983B2 (ja) * 2011-09-30 2017-11-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 温度制御付き静電チャック
EP2764408B1 (en) * 2011-10-06 2019-08-21 ASML Netherlands B.V. Chuck, lithography apparatus and method of using a chuck
US10256123B2 (en) * 2011-10-27 2019-04-09 Applied Materials, Inc. Component temperature control using a combination of proportional control valves and pulsed valves
US8844106B2 (en) * 2011-11-10 2014-09-30 Lam Research Corporation Installation fixture for elastomer bands and methods of using the same
JP5912439B2 (ja) * 2011-11-15 2016-04-27 東京エレクトロン株式会社 温度制御システム、半導体製造装置及び温度制御方法
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
KR20130098707A (ko) * 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
US9706605B2 (en) * 2012-03-30 2017-07-11 Applied Materials, Inc. Substrate support with feedthrough structure
US9984866B2 (en) * 2012-06-12 2018-05-29 Component Re-Engineering Company, Inc. Multiple zone heater
US9100992B2 (en) * 2012-10-08 2015-08-04 Minco Products, Inc. Heater assembly
US10049948B2 (en) * 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
JP6312451B2 (ja) * 2014-01-29 2018-04-18 東京エレクトロン株式会社 給電部カバー構造及び半導体製造装置
US11158526B2 (en) * 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9831111B2 (en) * 2014-02-12 2017-11-28 Applied Materials, Inc. Apparatus and method for measurement of the thermal performance of an electrostatic wafer chuck
JP6219227B2 (ja) * 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
JP6219229B2 (ja) * 2014-05-19 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構
US10079165B2 (en) * 2014-05-20 2018-09-18 Applied Materials, Inc. Electrostatic chuck with independent zone cooling and reduced crosstalk
JP6530220B2 (ja) * 2015-03-30 2019-06-12 日本特殊陶業株式会社 セラミックヒータ及びその制御方法、並びに、静電チャック及びその制御方法
KR20180011119A (ko) * 2015-05-22 2018-01-31 어플라이드 머티어리얼스, 인코포레이티드 방위방향으로 튜닝가능한 다중-구역 정전 척
US9812342B2 (en) * 2015-12-08 2017-11-07 Watlow Electric Manufacturing Company Reduced wire count heater array block
US10690414B2 (en) * 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
JP6688172B2 (ja) * 2016-06-24 2020-04-28 東京エレクトロン株式会社 基板処理システムおよび方法
JP2019067846A (ja) * 2017-09-29 2019-04-25 東京エレクトロン株式会社 温度制御方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008177285A (ja) * 2007-01-17 2008-07-31 Hitachi High-Technologies Corp プラズマ処理装置
JP2009054871A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
WO2010053173A1 (ja) * 2008-11-10 2010-05-14 株式会社Kelk 半導体ウェーハの温度制御装置および温度制御方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10029332B2 (en) 2014-09-04 2018-07-24 Samsung Electronics Co., Ltd. Spot heater and device for cleaning wafer using the same
US10576582B2 (en) 2014-09-04 2020-03-03 Samsung Electronics Co., Ltd. Spot heater and device for cleaning wafer using the same
CN106206233A (zh) * 2014-12-16 2016-12-07 株式会社日立高新技术 等离子体处理装置
CN112331549A (zh) * 2017-05-30 2021-02-05 东京毅力科创株式会社 载置台和等离子体处理装置

Also Published As

Publication number Publication date
KR102021570B1 (ko) 2019-09-16
JP2013145806A (ja) 2013-07-25
US20150132863A1 (en) 2015-05-14
JP5973731B2 (ja) 2016-08-23
KR20140114817A (ko) 2014-09-29
TW201344740A (zh) 2013-11-01
TWI582819B (zh) 2017-05-11
US20180301362A1 (en) 2018-10-18
US20200219740A1 (en) 2020-07-09
US20170213751A1 (en) 2017-07-27
US10629464B2 (en) 2020-04-21
US10026631B2 (en) 2018-07-17

Similar Documents

Publication Publication Date Title
JP5973731B2 (ja) プラズマ処理装置及びヒータの温度制御方法
JP6100672B2 (ja) 温度制御機構、温度制御方法及び基板処理装置
TWI787414B (zh) 電漿蝕刻裝置及電漿蝕刻方法
JP5732941B2 (ja) プラズマエッチング装置及びプラズマエッチング方法
TWI808206B (zh) 電漿蝕刻方法及電漿蝕刻裝置
JP6027492B2 (ja) エッチング方法及びエッチング装置
KR20170072809A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US10615008B2 (en) Temperature control method
JP6488164B2 (ja) プラズマ処理装置
KR20160146534A (ko) 온도 제어 방법 및 플라즈마 처리 장치
JP2019041024A (ja) 冷媒用の流路を有する部材、冷媒用の流路を有する部材の制御方法及び基板処理装置
US10896832B2 (en) Substrate processing method and substrate processing apparatus
US20210183631A1 (en) Plasma processing apparatus and plasma processing method
US10748779B2 (en) Substrate processing method
TW202032715A (zh) 載置台及基板處理裝置
JP6085106B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP7160291B2 (ja) エッチング方法、及びエッチング装置
JP2011124274A (ja) プラズマ処理装置
JP2022061274A (ja) 基板支持体、基板処理装置および基板処理方法
TW202042304A (zh) 處理方法及電漿處理裝置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13736327

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 14368548

Country of ref document: US

ENP Entry into the national phase

Ref document number: 20147017920

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13736327

Country of ref document: EP

Kind code of ref document: A1