WO2009113213A1 - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
WO2009113213A1
WO2009113213A1 PCT/JP2008/071960 JP2008071960W WO2009113213A1 WO 2009113213 A1 WO2009113213 A1 WO 2009113213A1 JP 2008071960 W JP2008071960 W JP 2008071960W WO 2009113213 A1 WO2009113213 A1 WO 2009113213A1
Authority
WO
WIPO (PCT)
Prior art keywords
plate
chamber
fixing
side wall
plasma
Prior art date
Application number
PCT/JP2008/071960
Other languages
English (en)
French (fr)
Inventor
利泰 速水
靖之 林
Original Assignee
住友精密工業株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 住友精密工業株式会社 filed Critical 住友精密工業株式会社
Priority to KR1020107022566A priority Critical patent/KR101438455B1/ko
Priority to EP08873212.8A priority patent/EP2256792B1/en
Priority to US12/922,520 priority patent/US8771461B2/en
Publication of WO2009113213A1 publication Critical patent/WO2009113213A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Definitions

  • the present invention relates to a plasma processing apparatus for supplying a predetermined processing gas into a processing chamber to form a plasma and processing a substrate disposed in the processing chamber with the plasma processing gas.
  • the plasma processing apparatus for example, one disclosed in Japanese Patent Application Laid-Open No. 2000-268895 is known.
  • the plasma processing apparatus includes a processing chamber constituting a reaction chamber, a susceptor that is disposed in the processing chamber and holds a substrate on an upper surface, a gas supply mechanism that supplies a processing gas into the processing chamber, and a supply to the processing chamber A plasma generation mechanism for converting the processed gas into plasma, and a high-frequency power source for applying a high-frequency voltage to the plasma generation mechanism.
  • the processing chamber includes a lower member that is open at the top, an annular body that is provided so that the lower surface contacts the upper surface of the side wall of the lower member, and a lid that is provided such that the lower surface contacts the upper surface of the annular body.
  • the annular body includes a cylindrical electrode formed in a hollow cylindrical shape, an annular upper insulating material and a lower insulating material provided at an upper end portion and a lower end portion of the cylindrical electrode, and an upper insulating material, respectively. And an annular holding member that is connected to and holds the lower end of the lower insulating material.
  • the plasma generation mechanism includes a cylindrical electrode constituting a part of the processing chamber and annular permanent magnets provided on the outer peripheral surfaces of the upper insulating material and the lower insulating material, respectively.
  • the high-frequency power source applies a high-frequency voltage to the cylindrical electrode.
  • the processing chamber is periodically cleaned to remove deposits.
  • the processing chamber is cleaned by, for example, disassembling the processing chamber and cleaning each component using a predetermined cleaning liquid or pure water.
  • the cylindrical electrode, the upper insulating material, and the lower insulating material are held by the holding member, and these are integrally configured. It is convenient to disassemble and assemble the processing chamber as compared to disassembling each one and assembling.
  • the present invention has been made in view of the above circumstances, and an object thereof is to provide a plasma processing apparatus capable of efficiently maintaining a processing chamber.
  • the upper chamber and the lower chamber are formed in an upper and lower two-part configuration, a processing chamber in which a substrate is disposed in the lower chamber, a gas supply means for supplying a processing gas into the upper chamber, and a gas supplied to the upper chamber.
  • a plasma processing apparatus comprising: plasma generating means for converting the processed gas into plasma; and voltage applying means for applying a high frequency voltage to the plasma generating means,
  • the lower chamber has an internal space that opens to the upper surface
  • the upper chamber has an internal space that opens to the lower surface
  • the internal spaces are configured to communicate with each other.
  • a lifting plate which is horizontally disposed and is provided so as to be movable up and down, to which at least a part of members constituting the upper chamber is attached; Elevating means for supporting the elevating plate to elevate and lower; And comprising at least three fixing means for fixing the upper chamber, first, second and third,
  • the upper chamber includes an annular plate whose lower surface on the outer peripheral side is in contact with the upper portion of the lower chamber, a side wall member that is formed in a hollow cylindrical shape and whose lower end is placed on the annular plate, It comprises at least a top plate placed on the upper end of the side wall member,
  • the elevating plate penetrates up and down and has a through hole of a size that allows the top plate to pass through.
  • the plasma generating means is disposed outside the side wall member and fixed to the lower surface of the elevating plate,
  • the first fixing means is configured to connect and fix the elevating plate and the top plate, while being capable of releasing the connection and fixing.
  • the second fixing means is configured to connect and fix the elevating plate and the annular plate, while being capable of releasing the connection and fixing.
  • the third fixing means is configured to fix the lower chamber and the annular plate while being able to release the fixing.
  • the processing gas is supplied into the processing chamber by the gas supply unit.
  • a high-frequency voltage is applied to the plasma generating means by the voltage applying means to turn the processing gas into plasma.
  • the substrate appropriately carried into the processing chamber is processed by the plasma processing gas.
  • the connection and fixation of the lifting plate and the top plate by the first fixing means are released. Then, since only the top plate is removed, it can be easily removed from the plasma processing apparatus for maintenance. After the maintenance, the plasma processing apparatus can be easily assembled by placing the top plate on the upper end of the side wall member and then connecting and fixing the top plate and the lift plate by the first fixing means.
  • the lifting plate is raised by the lifting means and the ceiling plate is lifted together with the lifting plate.
  • the plate, the first fixing means and the plasma generating means are moved upward.
  • the side wall member that remains without being lifted is removed, so that it can be easily removed from the plasma processing apparatus for maintenance.
  • the side wall member is placed on the annular plate, the elevation plate is lowered by the elevating means, the top plate, the first fixing means and the plasma generating means are moved downward, and then this annular shape is obtained by the second fixing means.
  • the plasma processing apparatus can be easily assembled by connecting and fixing the plate and the lifting plate.
  • the annular plate when maintaining the annular plate of the upper chamber, the annular plate can be removed from the plasma processing apparatus by removing the side wall member and further releasing the fixation of the lower chamber and the annular plate by the third fixing means. It can be easily removed and maintained.
  • the side wall member is placed on the annular plate, the raising / lowering plate is lowered by the raising / lowering means, and the annular plate and the raising / lowering plate by the second fixing means. And the plasma processing apparatus can be easily assembled.
  • the lower chamber and the annular plate are first fixed by the third fixing means, and then the lifting plate is lifted by the lifting means, and the top and first plates are fixed together with the lifting plate.
  • the means, the plasma generating means, the second fixing means, the side wall member, and the annular plate are moved upward, that is, the entire upper chamber is moved upward. Then, the lower chamber is opened and can be easily maintained.
  • the elevating plate is lowered by the elevating means and the top plate, the first fixing means, the plasma generating means, the second fixing means, the side wall member and the annular plate are moved downward, and then the annular plate is moved by the third fixing means. And the lower chamber are fixed, the plasma processing apparatus can be easily assembled.
  • the lifting / lowering means can be released by releasing the fixation of the top plate by the first fixing means or by releasing the fixation of the annular plate by the second fixing means or the third fixing means.
  • the parts that constitute the processing chamber can be easily removed from the plasma processing equipment, and removed when the parts after maintenance are returned to the original state. Since it is sufficient to perform an operation reverse to the time, maintenance such as replacement or cleaning of the target part can be easily performed in a short time.
  • the top plate and the upper end of the side wall member may be formed with engaging portions for engaging with each other to position the top plate with respect to the side wall member.
  • the lower end portion of the side wall member may be formed with an engaging portion for engaging with each other to position the side wall member with respect to the annular plate, and further, the lower surface of the annular plate and the lower chamber In the upper part, engaging portions for engaging with each other to position the annular plate with respect to the lower chamber may be formed. In this way, it is possible to facilitate the work of attaching the top plate, the side wall member, and the annular plate once removed, and to prevent a reduction in assembly accuracy.
  • the plasma processing apparatus further includes a cover body that covers at least the lifting plate, the lifting means, and the upper chamber, and the cover body has a working hole penetrating from outside to inside at a lateral position of the upper chamber; You may provide the door which opens and closes the said work hole. In this case, the worker opens the door and performs maintenance from the work hole. In this way, it is possible to protect the operator by preventing the worker from being injured during the operation of the elevating means and the elevating plate and preventing the high frequency from affecting the human body.
  • the processing chamber can be efficiently maintained.
  • FIG. 2 is a cross-sectional view in the direction of arrows AA in FIG.
  • FIG. 3 is a cross-sectional view in the direction of arrow BB in FIG. 1. It is sectional drawing which shows the state which removed the top plate etc. It is sectional drawing which shows the state which raised the raising / lowering board, the top plate, the holding member, etc. It is sectional drawing which shows the state which raised the raising / lowering board and the upper chamber.
  • FIG. 1 is a cross-sectional view showing a schematic configuration of an etching apparatus according to an embodiment of the present invention
  • FIG. 2 is a cross-sectional view in the direction of arrows AA in FIG. 1
  • FIG. 3 is a cross-sectional view in the direction of arrow BB in FIG. 1.
  • the etching apparatus 1 which is a plasma processing apparatus of this example includes a processing chamber 11 formed in a two-part configuration of a lower chamber 12 and an upper chamber 13, and can move up and down in the lower chamber 12.
  • the lower chamber 12 has an internal space that opens to the upper surface, and the upper chamber 13 has an internal space that opens to the lower surface, and these internal spaces communicate with each other.
  • the lower chamber 12 includes an annular side wall 12a, and an annular engagement protrusion 12b is formed on the upper end surface of the side wall 12a. Further, the side wall 12a is opened and closed by the shutter 17, and an opening 12c for carrying in and out the silicon substrate K is formed.
  • the upper chamber 13 has an annular plate 14 whose lower surface on the outer peripheral side is in contact with the upper end surface of the side wall 12 a of the lower chamber 12, and a hollow cylindrical shape inside, and a lower end mounted on the inner peripheral side of the annular plate 14.
  • the side wall member 15 to be placed and the top plate 16 on which the lower surface on the outer peripheral side is placed on the upper end surface of the side wall member 15 are configured.
  • the annular plate 14 is formed on the lower surface of the outer peripheral side, and is formed with an annular engagement groove 14a that engages with the engagement protrusion 12b of the side wall 12a of the lower chamber 12, and an annular shape formed at the corner of the upper surface on the inner peripheral side.
  • An engagement recess 14b, the top plate 16 includes an annular engagement projection 16a formed on the lower surface on the outer peripheral side, and the side wall member 15 has an engagement recess 14b of the annular plate 14 at its lower end. The engaging portion that engages and the upper end of the engaging portion engage with the engaging protrusion 16 a of the top plate 16.
  • the base 20 is composed of an upper member 21 and a lower member 22 arranged vertically, a silicon substrate K is placed on the upper member 21, and the elevating cylinder 23 is connected to the lower member 22.
  • the exhaust device 24 includes an exhaust pump 25 and an exhaust pipe 26 connecting the exhaust pump 25 and the lower chamber 12.
  • the exhaust pump 25 exhausts the gas in the lower chamber 12 through the exhaust pipe 26.
  • the inside of the processing chamber 11 is reduced to a predetermined pressure.
  • the gas supply device 27 includes a processing gas supply unit 28 for supplying an etching gas (for example, SF 6 gas) and an etching-resistant layer forming gas (for example, C 4 F 8 gas) as a processing gas, and a processing gas supply unit 28. And a processing gas supply pipe 29 for connecting the top plate 16 of the upper chamber 13 to the etching gas and the etching resistant layer forming gas from the processing gas supply section 28 into the upper chamber 13 through the processing gas supply pipe 29. Supply.
  • etching gas for example, SF 6 gas
  • an etching-resistant layer forming gas for example, C 4 F 8 gas
  • the plasma generation mechanism 30 includes a plurality of annular coils 31 arranged vertically around the side wall member 15 and a hollow cylindrical shape inside, and holds the coils 31 on the inner peripheral surface thereof.
  • the holding member 32 is provided with a hole 32a.
  • the holding member 32 includes a flange portion 32 b on the outer peripheral surface of the lower end, the lower end surface abuts on the upper surface of the annular plate 14, and the upper end portion is fixed to the lower surface of the elevating plate 41 of the elevating device 40.
  • the coil high-frequency power source 33 applies a high-frequency voltage to the coil 31 to form a magnetic field in the upper chamber 13, and an electric field induced by the magnetic field causes an etching gas and an anti-resistance to be supplied in the upper chamber 13.
  • the etching layer forming gas is turned into plasma.
  • the base high-frequency power supply 34 applies a high-frequency voltage to the base 20 to generate a potential difference (bias potential) between the base 20 and the plasma.
  • the elevating device 40 includes an elevating plate 41 that is horizontally disposed at substantially the same height as the top plate 16 and is provided so as to be movable up and down, and an elevating mechanism 42 that supports the elevating plate 41 and moves up and down.
  • the elevating plate 41 is formed with a through hole 41 a that penetrates vertically and is larger than the outer diameter of the top plate 16.
  • the elevating mechanism 42 includes a ball screw 43 that is arranged so that its axis is along the vertical direction and is rotatable about the axis, a nut 44 that engages with the ball screw 43 and moves along the ball screw 43, and the ball screw 43.
  • a drive motor 45 that rotates the shaft about the axis, and a nut 44 is inserted and fixed in the mounting hole 41b of the lift plate 41.
  • Three ball screws 43, nuts 44, drive motors 45, and mounting holes 41b are provided at equal intervals in the circumferential direction.
  • the fixing mechanism 46 includes an annular fixing plate 47, first fixing bolts 48 and 49 for connecting and fixing the top plate 16 and the lifting plate 41 with the fixing plate 47, and a flange portion 32 b of the holding member 32. It consists of a second fixing bolt 50 for fixing the annular plate 14 and a third fixing bolt 51 for fixing the annular plate 14 and the side wall 12 a of the lower chamber 12.
  • the first fixing bolts 48 and 49, the second fixing bolt 50, and the third fixing bolt 51 are provided at equal intervals in the circumferential direction.
  • the holding member 32 also functions as a connecting member that connects the lower surface of the elevating plate 41 and the upper surface of the annular plate 14.
  • the first fixing bolts 48, 49 are inserted into through holes 47 a formed in the fixing plate 47 from the upper ends thereof and screwed into screw holes 16 b formed in the upper surface of the top plate 16 ( And a tip of which is inserted into a through hole 47b formed in the fixed plate 47 from above and screwed into a screw hole 41c formed in the upper surface of the elevating plate 41 (reference 49). Show).
  • the tip of the second fixing bolt 50 is inserted from above into a through hole 32c formed in the flange 32b, and is screwed into a screw hole 14c formed in the upper surface of the annular plate 14.
  • the front end of the fixing bolt 51 is inserted into a through hole 14d formed in the annular plate 14 from above, and is screwed into a screw hole 12d formed in the upper end surface of the side wall 12a.
  • the cover body 55 includes a lower cover 56 that covers the lower chamber 12 and an upper cover 57 that covers the upper chamber 13 and the lifting device 40, and the upper cover 57 covers the upper side from the upper end of the side wall 12 a of the lower chamber 12.
  • the lower cover 56 covers the lower side from the upper end of the side wall 12 a of the lower chamber 12.
  • the upper cover 57 On the inner surface of the upper cover 57, a support plate 58 that supports the drive motor 45 is disposed above the elevating plate 41, and a support member 59 that rotatably supports the lower end of the ball screw 43 about the axis. Arranged. Further, the upper cover 57 includes a working hole 57a penetrating from the outside to the inside at a lateral position of the upper chamber 13, and a double door 60 that opens and closes the working hole 57a.
  • the control device controls the operation of the lifting cylinder 23, the exhaust device 24, the gas supply device 27, the coil high-frequency power source 33, the base high-frequency power source 34, and the lifting device 40. Specifically, a high-frequency voltage is applied to the coil 31 and the base 20 by the coil high-frequency power source 33 and the base high-frequency power source 34, respectively, an etching gas is supplied into the processing chamber 11 from the processing gas supply unit 28, and exhausted. An etching process for bringing the inside of the processing chamber 11 to a predetermined pressure by the pump 25 and a high frequency voltage is applied to the coil 31 by the high frequency power source 33 for the coil, and an etching resistant layer forming gas is supplied from the processing gas supply unit 28 into the processing chamber 11. Then, the etching-resistant layer forming step in which the inside of the processing chamber 11 is set to a predetermined pressure by the exhaust pump 25 is repeatedly performed.
  • control device (not shown) rotates the ball screw 43 about the axis by the drive motor 45 to move the nut 44 upward or downward along the ball screw 43, thereby moving the lifting plate 41 up and down. .
  • the etching process and the etching resistant layer forming process are alternately and repeatedly performed.
  • the etching gas is turned into plasma, and radicals in the plasma are converted into silicon.
  • the silicon substrate K is etched by chemically reacting with atoms or by ions in the plasma moving toward the base 20 side by a bias potential and colliding with the silicon substrate K.
  • the etching-resistant layer forming step the etching-resistant layer forming gas is turned into plasma, and a polymer generated from radicals in the plasma is transferred to the surface of the silicon substrate K (such as grooves and holes formed by etching, sidewalls and bottom surfaces). )
  • an etching resistant layer fluorocarbon film
  • the top plate 16 of the upper chamber 13 when maintaining the top plate 16 of the upper chamber 13, as shown in FIG. 4, the first fixing bolts 48 and 49 are removed, the fixing plate 47 is removed, and the elevator plate 41 and the top plate 16 are connected.
  • the fixation is released, only the top plate 16 is removed, so that it can be easily removed from the etching apparatus 1 for maintenance.
  • the top plate 16 is placed on the upper end of the side wall member 15 so that the upper end of the side wall member 15 fits into the engagement protrusion 16a of the top plate 16, and the fixing plate 47 and the first fixing bolt 48, If the top plate 16 and the lift plate 41 are connected and fixed using 49, the etching apparatus 1 can be easily assembled.
  • the second fixing bolt 50 is removed to release the fixing between the flange portion 32 b of the holding member 32 and the annular plate 14.
  • the drive motor 45 is rotated to raise the top plate 16, the first fixing bolts 48 and 49, the coil 31, and the holding member 32 together with the lifting plate 41, only the side wall member 15 is removed, and this is removed from the etching apparatus 1. It can be easily removed and maintained.
  • the side wall member 15 is placed on the annular plate 14 so that the lower end of the side wall member 15 fits into the engagement recess 14b of the annular plate 14, and the drive motor 45 is rotated to rotate the drive plate 45 together with the lift plate 41.
  • the etching apparatus 1 After the plate 16, the first fixing bolts 48 and 49, the coil 31 and the holding member 32 are lowered to the lower end position, that is, until the top plate 16 is placed on the upper end portion of the side wall member 15, the second fixing bolt If the flange portion 32b of the holding member 32 and the annular plate 14 are fixed by 50, the etching apparatus 1 can be easily assembled.
  • the third fixing bolt 51 is further removed with the side wall member 15 removed, and the fixation between the annular plate 14 and the side wall 12a of the lower chamber 12 is released. Then, the annular plate 14 can be easily removed from the etching apparatus 1 and maintained. After the maintenance is completed, the third fixing bolt 51 is mounted by placing the annular plate 14 on the upper end surface of the side wall 12a so that the engaging protrusion 12b of the side wall 12a of the lower chamber 12 fits into the engaging groove 14a of the annular plate 14.
  • the drive motor 45 is rotated to move the elevating plate 41 to the lower end position (the top plate 16 is the side wall member). If the flange portion 32b of the holding member 32 and the annular plate 14 are fixed by the second fixing bolt 50 after being lowered to the position at which the upper end portion 15 is placed), the etching apparatus 1 can be easily assembled. it can.
  • the third fixing bolt 51 is removed to release the fixation between the annular plate 14 and the side wall 12a of the lower chamber 12, and then the drive motor 45 is rotated to raise and lower the lifting plate 41.
  • the top plate 16 the first fixing bolts 48 and 49, the coil 31, the holding member 32, the annular plate 14, the side wall member 15 and the second fixing bolt 50 are raised, that is, when the entire upper chamber 13 is moved upward.
  • the lower chamber 12 is opened and can be easily maintained.
  • the driving motor 45 is rotated to lower the top plate 16, the first fixing bolts 48 and 49, the coil 31, the holding member 32, the annular plate 14, the side wall member 15 and the second fixing bolt 50 together with the lifting plate 41.
  • the etching apparatus 1 can be easily assembled.
  • the operator For maintenance, the operator opens the door 60 and works from the work hole 57.
  • the fixing of the top plate 16 by the first fixing bolts 48 and 49 and the fixing plate 47 is released, and the annular shape by the second fixing bolt 50 and the third fixing bolt 51 is used.
  • the fixation of the plate 14 and raising the elevating plate 41 by the elevating mechanism 42 it is possible to easily remove the parts to be maintained from the etching apparatus 1 among the parts constituting the processing chamber 11.
  • the part after maintenance is returned to the original state, it is sufficient to perform an operation opposite to that at the time of removal. Therefore, maintenance such as replacement or cleaning of the target part can be performed easily and in a short time.
  • the top plate 16 can be positioned with respect to the side wall member 15 by fitting the upper end of the side wall member 15 into the engagement protrusion 16a of the top plate 16, and the engagement of the annular plate 14
  • the side wall member 15 By fitting the lower end of the side wall member 15 in the mating recess 14b, the side wall member 15 can be positioned with respect to the annular plate 14, and the engagement protrusion 12b of the side wall 12a of the lower chamber 12 is inserted into the engagement groove 14a of the annular plate 14. Since the annular plate 14 can be positioned with respect to the side wall 12a by fitting, the mounting work of the top plate 16, the side wall member 15, and the annular plate 14 once removed can be facilitated, and the assembly accuracy is lowered. Can be prevented.
  • the operator can be prevented from being injured during the operation of the lifting plate 41 and the lifting mechanism 42, and high frequency can be prevented from affecting the human body. Can be protected.
  • the lifting plate 41 and the annular plate 14 are connected and fixed by the holding member 32 and the second fixing bolt 50.
  • the present invention is not limited to this, and the upper portion is fixed to the lower surface of the lifting plate 41.
  • the connected member may be provided separately from the holding member 32, and the lower part of the connected member may be fixed to the annular plate 14 by the second fixing bolt 50.
  • the configuration of the upper chamber 13 is not limited to the above-described one, and may be a shape including constituent members in addition to the annular plate 14, the side wall member 15, and the top plate 16.
  • the etching apparatus 1 may further include a heating device that heats the upper chamber 13 and a cooling device that cools the upper chamber 13. In this case, the heating device and the cooling device are respectively attached to and detached from the lifting plate 41. It is preferable to install it freely, because it is easy to maintain.
  • the etching process is given as an example of the plasma process.
  • the present invention is not limited to this, and the plasma processing apparatus of the present invention can be applied to an ashing process or a film forming process.
  • the substrate to be plasma processed is not limited to the silicon substrate K, and may be any substrate such as a glass substrate.
  • the present invention is suitable as a plasma processing apparatus capable of efficiently maintaining a processing chamber.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

 本発明は、処理チャンバを効率的にメンテナンスすることができるプラズマ処理装置に関する。プラズマ処理装置1は、下部チャンバ12及び上部チャンバ13を有する処理チャンバ11と、シリコン基板Kが載置される基台20と、処理ガス供給装置27と、コイル32と、コイル用高周波電源33と、貫通穴41aを有し、昇降自在に設けられる昇降板41と、昇降板41を支持して昇降させる昇降機構42と、上部チャンバ13を固定するための固定機構46とを備える。固定機構46は、固定板47と、固定板47により天板16と昇降板41とを連結,固定するための第1固定ボルト48,49と、保持部材32の鍔部32bと環状板14とを固定するための第2固定ボルト50と、環状板14と下部チャンバ12の側壁12aとを固定するための第3固定ボルト51とからなる。

Description

プラズマ処理装置
 本発明は、処理チャンバ内に所定の処理ガスを供給してプラズマ化し、プラズマ化した処理ガスによって、処理チャンバ内に配置された基板を処理するプラズマ処理装置に関する。
 従来、前記プラズマ処理装置として、例えば、特開2000-268995号公報に開示されたものが知られている。このプラズマ処理装置は、反応室を構成する処理チャンバと、処理チャンバ内に配置され、上面に基板を保持するサセプタと、処理チャンバ内に処理ガスを供給するガス供給機構と、処理チャンバ内に供給された処理ガスをプラズマ化するためのプラズマ生成機構と、プラズマ生成機構に高周波電圧を印加する高周波電源などを備える。
 前記処理チャンバは、上部が開口した下部部材と、下部部材の側壁上面に下面が当接するように設けられた環状体と、環状体の上面に下面が当接するように設けられた蓋体などから構成されており、前記環状体は、内部が中空の円筒状に形成された円筒電極と、円筒電極の上端部及び下端部にそれぞれ設けられる環状の上部絶縁材及び下部絶縁材と、上部絶縁材の上端及び下部絶縁材の下端に接続してこれらを保持する環状の保持部材とから構成される。
 前記プラズマ生成機構は、前記処理チャンバの一部を構成している円筒電極と、上部絶縁材及び下部絶縁材の外周面にそれぞれ設けられる環状の永久磁石とから構成される。前記高周波電源は、円筒電極に高周波電圧を印加する。
 ところで、プラズマ処理を行うと、処理チャンバの内面には各種の生成物が付着するが、この付着物は、パーティクルとなって基板に付着するなどの問題を引き起こす。このため、処理チャンバは定期的に洗浄されて付着物が除去される。尚、処理チャンバの洗浄は、例えば、処理チャンバを分解し、その各構成部品を所定の洗浄液や純水を用いて洗浄することで行なわれる。
 そして、上記従来のプラズマ処理装置では、保持部材によって円筒電極,上部絶縁材及び下部絶縁材が保持され、これらが一体的に構成されているので、円筒電極,上部絶縁材及び下部絶縁材を1つずつ分解し、また、組み立てる場合に比べ、処理チャンバを分解したり、組み立てるのに好都合である。
特開2000-268995号公報
 しかしながら、上記従来のプラズマ処理装置では、例えば、下部部材のみや円筒電極のみなど構成部品の一部をメンテナンスする場合であっても、メンテナンス対象でない蓋体などを含むすべての部品を分解しなければならないので、処理チャンバの分解作業や組立作業に時間を要し、効率的にメンテナンスを行うことができないという問題があった。
 本発明は、以上の実情に鑑みなされたものであって、処理チャンバを効率的にメンテナンスすることができるプラズマ処理装置の提供をその目的とする。
 上記目的を達成するための本発明は、
 上部チャンバ及び下部チャンバの上下2部構成に形成され、前記下部チャンバ内に基板が配置される処理チャンバと、前記上部チャンバ内に処理ガスを供給するガス供給手段と、前記上部チャンバ内に供給された処理ガスをプラズマ化するためのプラズマ生成手段と、前記プラズマ生成手段に高周波電圧を印加する電圧印加手段とを備えたプラズマ処理装置であって、
 前記下部チャンバは、上面に開口する内部空間を有し、前記上部チャンバは、下面に開口する内部空間を有して、これらの内部空間が相互に連通するように構成されたプラズマ処理装置において、
 水平に配置されて昇降自在に設けられ、前記上部チャンバを構成する少なくとも一部の部材が取り付けられる昇降板と、
 前記昇降板を支持して昇降させる昇降手段と、
 前記上部チャンバを固定するための第1,第2及び第3の少なくとも3つの固定手段とを備えてなり、
 前記上部チャンバは、外周側の下面が前記下部チャンバの上部に当接する環状板と、内部が中空の筒状に形成され、その下端部が前記環状板上に載置される側壁部材と、前記側壁部材の上端部に載置される天板とから少なくとも構成され、
 前記昇降板は、上下に貫通し、前記天板が通過可能な大きさの貫通穴を有し、
 前記プラズマ生成手段は、前記側壁部材の外方に配置されて前記昇降板の下面に固設され、
 前記第1固定手段は、前記昇降板と天板とを連結,固定する一方、これらの連結,固定を解除可能に構成され、
 前記第2固定手段は、前記昇降板と環状板とを連結,固定する一方、これらの連結,固定を解除可能に構成され、
 前記第3固定手段は、前記下部チャンバと環状板とを固定する一方、これらの固定を解除可能に構成されてなることを特徴とするプラズマ処理装置に係る。
 この発明によれば、例えば、シリコン基板やガラス基板などの処理対象基板に、エッチング処理,アッシング処理及び成膜処理などのプラズマ処理を行うときには、ガス供給手段により処理チャンバ内に処理ガスを供給するとともに、電圧印加手段によりプラズマ生成手段に高周波電圧を印加して処理ガスをプラズマ化する。これにより、処理チャンバ内に適宜搬入された基板が、プラズマ化した処理ガスによって処理される。
 そして、このようなプラズマ処理を行うと、処理チャンバの内面には各種の生成物が付着するが、この付着物の除去といった処理チャンバのメンテナンスは、次のようにして行うことができる。
 即ち、上部チャンバの天板をメンテナンスする際には、第1固定手段による昇降板及び天板の連結,固定を解除する。そうすると、天板のみが外れるため、これを当該プラズマ処理装置から容易に取り外してメンテナンスすることができる。メンテナンス後は、天板を側壁部材の上端部に載置した後、第1固定手段によりこの天板と昇降板とを連結,固定することで、当該プラズマ処理装置を容易に組み立てることができる。
 一方、上部チャンバの側壁部材をメンテナンスする際には、まず、第2固定手段による昇降板及び環状板の連結,固定を解除した後、昇降手段により昇降板を上昇させて、この昇降板とともに天板,第1固定手段及びプラズマ生成手段を上方に移動させる。そうすると、上昇せずに留まった側壁部材のみが外れるため、これを当該プラズマ処理装置から容易に取り外してメンテナンスすることができる。メンテナンス後は、側壁部材を環状板上に載置し、昇降手段により昇降板を下降させて天板,第1固定手段及びプラズマ生成手段を下方に移動させた後、第2固定手段によりこの環状板と昇降板とを連結,固定することで、当該プラズマ処理装置を容易に組み立てることができる。
 また、上部チャンバの環状板をメンテナンスする際には、側壁部材を取り外した状態で、更に、第3固定手段による下部チャンバ及び環状板の固定を解除すれば、この環状板を当該プラズマ処理装置から容易に取り外してメンテナンスすることができる。メンテナンス後は、第3固定手段により環状板と下部チャンバとを固定し、側壁部材を環状板上に載置した後、昇降手段により昇降板を下降させ、第2固定手段により環状板と昇降板とを連結,固定すれば、当該プラズマ処理装置を容易に組み立てることができる。
 また、下部チャンバをメンテナンスする際には、まず、第3固定手段による下部チャンバ及び環状板の固定を解除した後、昇降手段により昇降板を上昇させて、この昇降板とともに天板,第1固定手段,プラズマ生成手段,第2固定手段,側壁部材及び環状板を上方に移動させる、即ち、上部チャンバ全体を上方に移動させる。そうすると、下部チャンバが開口し、これを容易にメンテナンスすることができる。メンテナンス後は、昇降手段により昇降板を下降させて天板,第1固定手段,プラズマ生成手段,第2固定手段,側壁部材及び環状板を下方に移動させた後、第3固定手段により環状板と下部チャンバとを固定することで、当該プラズマ処理装置を容易に組み立てることができる。
 このように、本発明に係るプラズマ処理装置によれば、第1固定手段による天板の固定を解除することや、第2固定手段や第3固定手段による環状板の固定を解除して昇降手段により昇降板を上昇させることで、処理チャンバを構成する部品の内、メンテナンス対象とする部品を当該プラズマ処理装置から簡単に取り外すことができ、また、メンテナンス後の部品を元に戻す際には取り外し時とは逆の操作を行えば良いので、この対象部品を交換したり、洗浄するといったメンテナンスを容易に且つ短時間で実施することができる。
 尚、前記天板及び側壁部材の上端部には、互いに係合して前記天板を前記側壁部材に対し位置決めするための係合部がそれぞれ形成されていても良く、また、前記環状板及び側壁部材の下端部には、互いに係合して前記側壁部材を前記環状板に対し位置決めするための係合部がそれぞれ形成されていても良く、また、更に、前記環状板の下面及び下部チャンバの上部には、互いに係合して前記環状板を前記下部チャンバに対し位置決めするための係合部がそれぞれ形成されていても良い。このようにすれば、一旦取り外した天板や側壁部材、環状板の取付作業の容易化を図ることができるとともに、組立精度の低下を防止することができる。
 また、前記プラズマ処理装置は、前記昇降板,昇降手段及び上部チャンバを少なくとも覆うカバー体を更に有し、前記カバー体は、前記上部チャンバの側方位置で外側から内側に貫通する作業穴と、前記作業穴を開閉する戸とを備えていても良い。この場合、作業者は、戸を開け、作業穴からメンテナンスを行う。このようにすれば、昇降手段及び昇降板の動作時に作業者が負傷することや、高周波が人体に影響を与えるのを防止して作業者を保護することができる。
 以上のように、本発明に係るプラズマ処理装置によれば、処理チャンバを効率的にメンテナンスすることができる。
本発明の一実施形態に係るエッチング装置の概略構成を示した断面図である。 図1における矢示A-A方向の断面図である。 図1における矢示B-B方向の断面図である。 天板などを取り外した状態を示す断面図である。 昇降板,天板及び保持部材などを上昇させた状態を示す断面図である。 昇降板及び上部チャンバなどを上昇させた状態を示す断面図である。
符号の説明
 1  エッチング装置(プラズマ処理装置)
 11 処理チャンバ
 12 下部チャンバ
 13 上部チャンバ
 14 環状板
 15 側壁部材
 16 天板
 20 基台
 24 排気装置
 27 ガス供給装置
 31 コイル
 32 保持部材
 33 コイル用高周波電源
 34 基台用高周波電源
 41 昇降板
 42 昇降機構
 47 固定板
 48,49 第1固定ボルト
 50 第2固定ボルト
 51 第3固定ボルト
 55 カバー体
 56 下カバー
 57 上カバー
 60 扉
 K  シリコン基板
 以下、本発明の具体的な実施形態について、添付図面に基づき説明する。尚、図1は、本発明の一実施形態に係るエッチング装置の概略構成を示した断面図であり、図2は、図1における矢示A-A方向の断面図であり、図3は、図1における矢示B-B方向の断面図である。
 図1乃至図3に示すように、本例のプラズマ処理装置たるエッチング装置1は、下部チャンバ12及び上部チャンバ13の上下2部構成に形成された処理チャンバ11と、下部チャンバ12内に昇降自在に配設され、エッチング対象であるシリコン基板Kが載置される基台20と、基台20を昇降させる昇降シリンダ23と、処理チャンバ11内の圧力を減圧する排気装置24と、上部チャンバ13内に処理ガスを供給するガス供給装置27と、上部チャンバ13の外部に配置された複数のコイル(プラズマ生成機構)30と、コイル30に高周波電圧を印加するコイル用高周波電源33と、基台20に高周波電圧を印加する基台用高周波電源34と、上部チャンバ13を昇降させる昇降装置40と、上部チャンバ13を固定するための固定機構46と、処理チャンバ11及び昇降装置40を外側から覆うカバー体55と、昇降シリンダ23,排気装置24,ガス供給装置27,コイル用高周波電源33,基台用高周波電源34及び昇降装置40の作動を制御する制御装置(図示せず)などを備える。
 前記下部チャンバ12は、上面に開口する内部空間を備え、前記上部チャンバ13は、下面に開口する内部空間を備えており、これらの内部空間が相互に連通している。また、下部チャンバ12は、環状の側壁12aを備え、この側壁12aの上端面には環状の係合突起12bが形成されている。また、この側壁12aには、シャッタ17によって開閉され、シリコン基板Kを搬入したり、搬出するための開口部12cが形成されている。
 前記上部チャンバ13は、外周側の下面が下部チャンバ12の側壁12aの上端面に当接する環状板14と、内部が中空の円筒状に形成され、その下端が環状板14の内周側に載置される側壁部材15と、外周側の下面が側壁部材15の上端面に載置される天板16とから構成される。
 前記環状板14は、その外周側下面に形成され、下部チャンバ12の側壁12aの係合突起12bと係合する環状の係合溝14aと、内周側上面の角部に形成された環状の係合凹部14bとを備え、前記天板16は、その外周側の下面に形成された環状の係合突起16aを備え、前記側壁部材15は、その下端が環状板14の係合凹部14bと係合する係合部と、その上端が天板16の係合突起16aと係合する係合部となっている。
 前記基台20は、上下に配設された上部材21及び下部材22からなり、上部材21上にシリコン基板Kが載置され、下部材22には前記昇降シリンダ23が接続される。
 前記排気装置24は、排気ポンプ25と、排気ポンプ25と下部チャンバ12とを接続する排気管26とから構成され、排気ポンプ25により排気管26を介して下部チャンバ12内の気体を排気し、処理チャンバ11の内部を所定圧力に減圧する。
 前記ガス供給装置27は、処理ガスとして、エッチングガス(例えば、SFガス)及び耐エッチング層形成ガス(例えば、Cガス)を供給する処理ガス供給部28と、処理ガス供給部28と上部チャンバ13の天板16とを接続する処理ガス供給管29とから構成され、処理ガス供給部28から処理ガス供給管29を介して上部チャンバ13内にエッチングガス及び耐エッチング層形成ガスを供給する。
 前記プラズマ生成機構30は、前記側壁部材15の周囲に上下に並設された複数の環状のコイル31と、内部が中空の円筒状に形成され、その内周面に各コイル31を保持する保持穴32aを備えた保持部材32とからなる。この保持部材32は、下端外周面に鍔部32bを備えており、下端面が環状板14の上面に当接し、上端部が前記昇降装置40の昇降板41の下面に固設されている。
 前記コイル用高周波電源33は、コイル31に高周波電圧を印加することで、上部チャンバ13内に磁界を形成し、この磁界によって誘起される電界により、上部チャンバ13内に供給されたエッチングガス及び耐エッチング層形成ガスをプラズマ化する。前記基台用高周波電源34は、基台20に高周波電圧を印加することで、基台20とプラズマとの間に電位差(バイアス電位)を生じさせる。
 前記昇降装置40は、天板16とほぼ同じ高さ位置に水平に配置されて昇降自在に設けられる昇降板41と、昇降板41を支持して昇降させる昇降機構42とを備える。前記昇降板41には、上下に貫通し、天板16の外径よりも大きい貫通穴41aが形成される。前記昇降機構42は、軸線が上下方向に沿うように且つ軸線中心に回転自在に配置されたボールねじ43と、ボールねじ43に螺合し、これに沿って移動するナット44と、ボールねじ43の上端部に接続し、これを軸線中心に回転させる駆動モータ45とから構成されており、ナット44が昇降板41の取付穴41b内に挿入,固定されている。尚、前記ボールねじ43,ナット44,駆動モータ45及び取付穴41bは、円周方向等間隔で3つ設けられている。
 前記固定機構46は、環状をした固定板47と、固定板47により天板16と昇降板41とを連結,固定するための第1固定ボルト48,49と、保持部材32の鍔部32bと環状板14とを固定するための第2固定ボルト50と、環状板14と下部チャンバ12の側壁12aとを固定するための第3固定ボルト51とからなる。尚、第1固定ボルト48,49、第2固定ボルト50及び第3固定ボルト51は、円周方向等間隔で4つ設けられる。尚、前記保持部材32は、昇降板41の下面と環状板14の上面とを接続する接続部材としても機能している。
 前記第1固定ボルト48,49は、その先端部が固定板47に形成された貫通穴47a内に上側から挿通されて、天板16の上面に形成されたねじ穴16bに螺合するもの(符合48で示す)と、その先端部が固定板47に形成された貫通穴47b内に上側から挿通されて、昇降板41の上面に形成されたねじ穴41cに螺合するもの(符合49で示す)とがある。
 前記第2固定ボルト50は、その先端部が鍔部32bに形成された貫通穴32c内に上側から挿通されて、環状板14の上面に形成されたねじ穴14cに螺合し、前記第3固定ボルト51は、その先端部が環状板14に形成された貫通穴14d内に上側から挿通されて、側壁12aの上端面に形成されたねじ穴12dに螺合している。
 前記カバー体55は、下部チャンバ12を覆う下カバー56と、上部チャンバ13及び昇降装置40を覆う上カバー57とからなり、上カバー57は下部チャンバ12の側壁12aの上端部から上側をカバーし、下カバー56は、下部チャンバ12の側壁12aの上端部から下側をカバーしている。
 前記上カバー57の内面には、駆動モータ45を支持する支持板58が昇降板41の上方位置に配置され、また、ボールねじ43の下端部を軸線中心に回転自在に支持する支持部材59が配設される。また、更に、上カバー57は、上部チャンバ13の側方位置で外側から内側に貫通する作業穴57aと、この作業穴57aを開閉する観音開きの扉60とを備える。
 前記制御装置(図示せず)は、昇降シリンダ23,排気装置24,ガス供給装置27,コイル用高周波電源33,基台用高周波電源34及び昇降装置40の作動を制御する。具体的には、コイル用高周波電源33及び基台用高周波電源34によってコイル31及び基台20に高周波電圧をそれぞれ印加し、処理ガス供給部28から処理チャンバ11内にエッチングガスを供給し、排気ポンプ25によって処理チャンバ11内を所定圧力にするエッチング工程と、コイル用高周波電源33によってコイル31に高周波電圧を印加し、処理ガス供給部28から処理チャンバ11内に耐エッチング層形成ガスを供給し、排気ポンプ25によって処理チャンバ11内を所定圧力にする耐エッチング層形成工程とを交互に繰り返して実行する。
 また、制御装置(図示せず)は、駆動モータ45によりボールねじ43を軸線中心に回転させてナット44をボールねじ43に沿って上方又は下方に移動させ、これにより、昇降板41を昇降させる。
 以上のように構成された本例のエッチング装置1によれば、エッチング工程と耐エッチング層形成工程とが交互に繰り返し実行され、エッチング工程では、エッチングガスがプラズマ化され、プラズマ中のラジカルがシリコン原子と化学反応したり、プラズマ中のイオンがバイアス電位により基台20側に向けて移動してシリコン基板Kと衝突することで、シリコン基板Kがエッチングされる。一方、耐エッチング層形成工程では、耐エッチング層形成ガスがプラズマ化され、プラズマ中のラジカルから生成された重合物が、シリコン基板Kの表面(エッチングによって形成される溝や穴の側壁及び底面など)に堆積し、耐エッチング層(フロロカーボン膜)が形成される。このようにしてシリコン基板Kのエッチングが進行する。
 そして、このようなプラズマ処理を行うと、処理チャンバ11の内面には各種の生成物が付着するが、この付着物の除去といった処理チャンバ11のメンテナンスは、次のようにして行うことができる。
 即ち、上部チャンバ13の天板16をメンテナンスする際には、図4に示すように、第1固定ボルト48,49を外して固定板47を外し、昇降板41と天板16との連結,固定を解除すると、天板16のみが外れるため、これを当該エッチング装置1から容易に取り外してメンテナンスすることができる。メンテナンス終了後は、天板16の係合突起16a内に側壁部材15の上端が嵌まるように天板16を側壁部材15の上端部に載置し、固定板47及び第1固定ボルト48,49を用いて天板16と昇降板41とを連結,固定すれば、当該エッチング装置1を容易に組み立てることができる。
 一方、上部チャンバ13の側壁部材15をメンテナンスする際には、図5に示すように、まず、第2固定ボルト50を外して保持部材32の鍔部32bと環状板14との固定を解除し、駆動モータ45を回転させて昇降板41とともに天板16、第1固定ボルト48,49、コイル31及び保持部材32を上昇させると、側壁部材15のみが外れるため、これを当該エッチング装置1から容易に取り外してメンテナンスすることができる。メンテナンス終了後は、環状板14の係合凹部14b内に側壁部材15の下端が嵌まるように側壁部材15を環状板14上に載置し、駆動モータ45を回転させて昇降板41とともに天板16、第1固定ボルト48,49、コイル31及び保持部材32を下降端位置まで、即ち、天板16が側壁部材15の上端部に載置されるまで下降させた後、第2固定ボルト50により保持部材32の鍔部32bと環状板14とを固定すれば、当該エッチング装置1を容易に組み立てることができる。
 また、上部チャンバ13の環状板14をメンテナンスする際には、側壁部材15を取り外した状態で、更に、第3固定ボルト51を外して環状板14と下部チャンバ12の側壁12aとの固定を解除すれば、この環状板14を当該エッチング装置1から容易に取り外してメンテナンスすることができる。メンテナンス終了後は、環状板14の係合溝14a内に下部チャンバ12の側壁12aの係合突起12bが嵌まるように環状板14を側壁12aの上端面に載置して第3固定ボルト51により環状板14と下部チャンバ12の側壁12aとを固定し、側壁部材15を環状板14に載置した後、駆動モータ45を回転させて昇降板41を下降端位置(天板16が側壁部材15の上端部に載置される位置)まで下降させた後、第2固定ボルト50により保持部材32の鍔部32bと環状板14とを固定すれば、当該エッチング装置1を容易に組み立てることができる。
 また、下部チャンバ12をメンテナンスする際には、まず、第3固定ボルト51を外して環状板14と下部チャンバ12の側壁12aとの固定を解除した後、駆動モータ45を回転させて昇降板41とともに天板16、第1固定ボルト48,49、コイル31、保持部材32、環状板14、側壁部材15及び第2固定ボルト50を上昇させると、即ち、上部チャンバ13全体を上方に移動させると、下部チャンバ12が開口し、これを容易にメンテナンスすることができる。メンテナンス終了後は、駆動モータ45を回転させて昇降板41とともに天板16、第1固定ボルト48,49、コイル31、保持部材32、環状板14、側壁部材15及び第2固定ボルト50を下降端位置まで、即ち、環状板14の下面が下部チャンバ12の側壁12aの上端面に当接するまで下降させ、第3固定ボルト51により環状板14と下部チャンバ12の側壁12aとを固定すれば、当該エッチング装置1を容易に組み立てることができる。
 尚、メンテナンスを行うに当たり、作業者は、扉60を開けて作業穴57から作業を行う。
 斯くして、本例のエッチング装置1によれば、第1固定ボルト48,49及び固定板47による天板16の固定を解除することや、第2固定ボルト50や第3固定ボルト51による環状板14の固定を解除して昇降機構42により昇降板41を上昇させることで、処理チャンバ11を構成する部品の内、メンテナンス対象とする部品を当該エッチング装置1から簡単に取り外すことができ、また、メンテナンス後の部品を元に戻す際には取り外し時とは逆の操作を行えば良いので、この対象部品を交換したり、洗浄するといったメンテナンスを容易に且つ短時間で実施することができる。
 また、メンテナンス終了後、部品を取り付ける際、天板16の係合突起16a内に側壁部材15の上端を嵌めることで天板16を側壁部材15に対し位置決めすることができ、環状板14の係合凹部14b内に側壁部材15の下端を嵌めることで側壁部材15を環状板14に対し位置決めすることができ、環状板14の係合溝14a内に下部チャンバ12の側壁12aの係合突起12bを嵌めることで環状板14を側壁12aに対し位置決めすることができるので、一旦取り外した天板16や側壁部材15、環状板14の取付作業の容易化を図ることができるとともに、組立精度の低下を防止することができる。
 また、処理チャンバ11及び昇降装置40をカバー体55により覆っているので、昇降板41及び昇降機構42の動作時に作業者が負傷することや、高周波が人体に影響を与えるのを防止して作業者を保護することができる。
 以上、本発明の一実施形態について説明したが、本発明の採り得る具体的な態様は、何らこれに限定されるものではない。
 上例では、保持部材32及び第2固定ボルト50によって昇降板41と環状板14とを連結,固定するようにしたが、これに限られるものではなく、上部が昇降板41の下面に固設された連結部材を保持部材32とは別に設け、この連結部材の下部を第2固定ボルト50により環状板14に固定するようにしても良い。
 また、前記上部チャンバ13の構成は上述したものに限定されるものではなく、前記環状板14,側壁部材15及び天板16の他に構成部材を備えるような形状であっても良い。また、前記エッチング装置1は、上部チャンバ13を加熱する加熱装置や、上部チャンバ13を冷却する冷却装置などを更に備えていても良く、この場合、加熱装置や冷却装置をそれぞれ昇降板41に着脱自在に取り付けると、メンテナンスし易く、好ましい。
 また、上例では、プラズマ処理の一例としてエッチング処理を挙げたが、これに限定されるものではなく、アッシング処理や成膜処理などにも本発明のプラズマ処理装置を適用することができる。また、プラズマ処理対象となる基板は、シリコン基板Kに限られず、ガラス基板など、どのような基板であっても良い。
 以上詳述したように、本発明は、処理チャンバを効率的にメンテナンスすることができるプラズマ処理装置として好適である。

Claims (5)

  1.  上部チャンバ及び下部チャンバの上下2部構成に形成され、前記下部チャンバ内に基板が配置される処理チャンバと、前記上部チャンバ内に処理ガスを供給するガス供給手段と、前記上部チャンバ内に供給された処理ガスをプラズマ化するためのプラズマ生成手段と、前記プラズマ生成手段に高周波電圧を印加する電圧印加手段とを備えたプラズマ処理装置であって、
     前記下部チャンバは、上面に開口する内部空間を有し、前記上部チャンバは、下面に開口する内部空間を有して、これらの内部空間が相互に連通するように構成されたプラズマ処理装置において、
     水平に配置されて昇降自在に設けられ、前記上部チャンバを構成する少なくとも一部の部材が取り付けられる昇降板と、
     前記昇降板を支持して昇降させる昇降手段と、
     前記上部チャンバを固定するための第1,第2及び第3の少なくとも3つの固定手段とを備えてなり、
     前記上部チャンバは、外周側の下面が前記下部チャンバの上部に当接する環状板と、内部が中空の筒状に形成され、その下端部が前記環状板上に載置される側壁部材と、前記側壁部材の上端部に載置される天板とから少なくとも構成され、
     前記昇降板は、上下に貫通し、前記天板が通過可能な大きさの貫通穴を有し、
     前記プラズマ生成手段は、前記側壁部材の外方に配置されて前記昇降板の下面に固設され、
     前記第1固定手段は、前記昇降板と天板とを連結,固定する一方、これらの連結,固定を解除可能に構成され、
     前記第2固定手段は、前記昇降板と環状板とを連結,固定する一方、これらの連結,固定を解除可能に構成され、
     前記第3固定手段は、前記下部チャンバと環状板とを固定する一方、これらの固定を解除可能に構成されてなることを特徴とするプラズマ処理装置。
  2.  前記天板及び側壁部材の上端部には、互いに係合して前記天板を前記側壁部材に対し位置決めするための係合部がそれぞれ形成されてなることを特徴とする請求項1記載のプラズマ処理装置。
  3.  前記環状板及び側壁部材の下端部には、互いに係合して前記側壁部材を前記環状板に対し位置決めするための係合部がそれぞれ形成されてなることを特徴とする請求項1記載のプラズマ処理装置。
  4.  前記環状板の下面及び下部チャンバの上部には、互いに係合して前記環状板を前記下部チャンバに対し位置決めするための係合部がそれぞれ形成されてなることを特徴とする請求項1記載のプラズマ処理装置。
  5.  前記昇降板,昇降手段及び上部チャンバを少なくとも覆うカバー体を更に有し、
     前記カバー体は、前記上部チャンバの側方位置で外側から内側に貫通する作業穴と、前記作業穴を開閉する戸とを備えてなることを特徴とする請求項1乃至4記載の記載のいずれかのプラズマ処理装置。
PCT/JP2008/071960 2008-03-14 2008-12-03 プラズマ処理装置 WO2009113213A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020107022566A KR101438455B1 (ko) 2008-03-14 2008-12-03 플라즈마 처리장치
EP08873212.8A EP2256792B1 (en) 2008-03-14 2008-12-03 Plasma processing apparatus
US12/922,520 US8771461B2 (en) 2008-03-14 2008-12-03 Plasma processing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008-065252 2008-03-14
JP2008065252A JP5188849B2 (ja) 2008-03-14 2008-03-14 プラズマ処理装置

Publications (1)

Publication Number Publication Date
WO2009113213A1 true WO2009113213A1 (ja) 2009-09-17

Family

ID=41064887

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2008/071960 WO2009113213A1 (ja) 2008-03-14 2008-12-03 プラズマ処理装置

Country Status (6)

Country Link
US (1) US8771461B2 (ja)
EP (1) EP2256792B1 (ja)
JP (1) JP5188849B2 (ja)
KR (1) KR101438455B1 (ja)
TW (1) TWI426829B (ja)
WO (1) WO2009113213A1 (ja)

Families Citing this family (299)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120171002A1 (en) * 2011-01-05 2012-07-05 Electro Scientific Industries, Inc Apparatus and method for transferring a substrate
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI659674B (zh) * 2011-10-05 2019-05-11 應用材料股份有限公司 電漿處理設備及蓋組件
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN105336559B (zh) * 2014-08-14 2018-01-09 北京北方华创微电子装备有限公司 一种反应腔室及半导体加工设备
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) * 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9867978B1 (en) * 2016-09-16 2018-01-16 Precision Neurotechnologies Llc Conformal electrode arrays for electrophysiologic recording and neural stimulation within the cerebral ventricles
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) * 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
CN110809818B (zh) * 2017-08-30 2023-07-11 株式会社国际电气 保护板、衬底处理装置及半导体器件的制造方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN111778490B (zh) * 2020-07-14 2022-10-21 北京北方华创微电子装备有限公司 半导体设备及其下电极组件
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7245378B1 (ja) 2022-03-23 2023-03-23 Sppテクノロジーズ株式会社 基板処理装置
JP7092959B1 (ja) * 2022-03-23 2022-06-28 Sppテクノロジーズ株式会社 基板処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000268995A (ja) 1999-03-18 2000-09-29 Kokusai Electric Co Ltd プラズマ処理装置
JP2007266185A (ja) * 2006-03-28 2007-10-11 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
JPH10177996A (ja) * 1996-12-18 1998-06-30 Sumitomo Metal Ind Ltd プラズマ処理装置
JP2000082694A (ja) 1998-06-29 2000-03-21 Sumitomo Metal Ind Ltd プラズマ処理装置
JP3476687B2 (ja) * 1998-09-21 2003-12-10 東京エレクトロン株式会社 プラズマ処理装置
KR100596822B1 (ko) * 1999-03-30 2006-07-03 동경 엘렉트론 주식회사 플라즈마 처리 장치, 그 보수 방법 및 그 시공 방법
TW529085B (en) * 2000-09-22 2003-04-21 Alps Electric Co Ltd Method for evaluating performance of plasma treatment apparatus or performance confirming system of plasma treatment system
JP4178775B2 (ja) * 2001-08-31 2008-11-12 株式会社日立国際電気 プラズマリアクター

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000268995A (ja) 1999-03-18 2000-09-29 Kokusai Electric Co Ltd プラズマ処理装置
JP2007266185A (ja) * 2006-03-28 2007-10-11 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
EP2256792B1 (en) 2019-03-13
KR20100124319A (ko) 2010-11-26
KR101438455B1 (ko) 2014-09-05
TWI426829B (zh) 2014-02-11
TW200939907A (en) 2009-09-16
JP5188849B2 (ja) 2013-04-24
JP2009224432A (ja) 2009-10-01
EP2256792A4 (en) 2011-12-14
EP2256792A1 (en) 2010-12-01
US20110005684A1 (en) 2011-01-13
US8771461B2 (en) 2014-07-08

Similar Documents

Publication Publication Date Title
JP5188849B2 (ja) プラズマ処理装置
US20220216035A1 (en) Vacuum processing apparatus and maintenance apparatus
US10468282B2 (en) Method and apparatus for substrate transfer and radical confinement
JP4355314B2 (ja) 基板処理装置、及び該装置の蓋釣支装置
CN1199247C (zh) 等离子体刻蚀处理装置及其维护方法
US7883579B2 (en) Substrate processing apparatus and lid supporting apparatus for the substrate processing apparatus
JPH1050805A (ja) 同軸電動化ウェハ昇降装置
US20100288728A1 (en) Apparatus and method for processing substrate
TWI654660B (zh) 真空處理裝置及真空處理裝置的運轉方法
JP2009054630A (ja) シリンダ停止位置可変機構及びそれを備えた基板処理装置
KR100515955B1 (ko) 상부 커버를 개폐할 수 있는 개폐장치가 구비된평판표시소자 제조장치의 공정챔버
KR20150040888A (ko) 다른 크기의 워크피스를 취급하기 위한 장치 및 방법
KR20110040808A (ko) 기판 처리 장치
KR100843106B1 (ko) 진공처리장치
KR20090067319A (ko) 리드 개폐장치
KR101256485B1 (ko) 기판처리장치의 공정챔버
JP2000269199A (ja) プラズマ処理装置
KR101390785B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR102615218B1 (ko) 소모품 교체가 가능한 기판 처리 장치
KR100857547B1 (ko) 배치형 애싱/에칭 공정챔버
KR100856679B1 (ko) 평판표시소자 제조장치
KR101511518B1 (ko) 리드 개폐장치
KR20230141265A (ko) 기판 처리 장치 및 기판 처리 방법
KR20050119936A (ko) 평판표시소자 제조장치
KR20140119676A (ko) 기판 처리 장치

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08873212

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 12922520

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2008873212

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 20107022566

Country of ref document: KR

Kind code of ref document: A