TWI426829B - 電漿處理裝置(二) - Google Patents

電漿處理裝置(二) Download PDF

Info

Publication number
TWI426829B
TWI426829B TW098107413A TW98107413A TWI426829B TW I426829 B TWI426829 B TW I426829B TW 098107413 A TW098107413 A TW 098107413A TW 98107413 A TW98107413 A TW 98107413A TW I426829 B TWI426829 B TW I426829B
Authority
TW
Taiwan
Prior art keywords
chamber
plate
side wall
annular plate
wall member
Prior art date
Application number
TW098107413A
Other languages
English (en)
Other versions
TW200939907A (en
Inventor
Toshihiro Hayami
Yasuyuki Hayashi
Original Assignee
Spp Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Spp Technologies Co Ltd filed Critical Spp Technologies Co Ltd
Publication of TW200939907A publication Critical patent/TW200939907A/zh
Application granted granted Critical
Publication of TWI426829B publication Critical patent/TWI426829B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

電漿處理裝置(二)
本發明係關於一種對處理腔室內供給規定之處理氣體使其形成電漿,且藉由經電漿化之處理氣體來對配置於處理腔室內之基板進行處理的電漿處理裝置。
先前,作為上述電漿處理裝置,已知有例如日本專利特開2000-268995號公報中揭示者。該電漿處理裝置中包括:構成反應室之處理腔室,配置於處理腔室內且由上表面保持基板之基座,對處理腔室內供給處理氣體之氣體供給機構,用於使供給至處理腔室內之處理氣體形成電漿的電漿生成機構,以及對電漿生成機構施加高頻電壓之高頻電源等。
上述處理腔室由上部開口之下部部件、設置成下表面抵接於下部部件之側壁上表面的環狀體、及設置成下表面抵接於環狀體之上表面的蓋體等構成,上述環狀體由內部形成為中空圓筒狀之圓筒電極、分別設於圓筒電極之上端部及下端部之環狀上部絕緣材以及下部絕緣材、連接於上部絕緣材之上端以及下部絕緣材之下端並保持其等之環狀保持部件構成。
上述電漿生成機構由構成上述處理腔室之一部分的圓筒電極、及分別設於上部絕緣材以及下部絕緣材之外周面的環狀永久磁鐵構成。上述高頻電源對圓筒電極施加高頻電壓。
然而,若實施電漿處理,則處理腔室之內表面會附著各種生成物,而該附著物成為粒子後會引起附著於基板等之問題。故而,處理腔室定期進行清洗以除去附著物。再者,處理腔室之清洗係藉由例如分解處理腔室,並利用規定之清洗液或純水對其之各構成零件進行清洗來進行的。
繼而,上述先前之電漿處理裝置中,由於藉由保持部件來保持圓筒電極、上部絕緣材以及下部絕緣材,且其等為一體構成,故而,與逐個分解圓筒電極、上部絕緣材以及下部絕緣材,又進行組裝之情形相比,分解或組裝處理腔室更為有利。
[專利文獻1]日本專利特開2000-268995號公報
然而,上述先前之電漿處理裝置存在以下問題,例如,即便於僅對下部部件或圓筒電極等一部分構成零件進行維護時,亦必須分解包括並非維護對象之蓋體等的所有零件,故而處理腔室之分解作業及組裝作業需要花費時間,從而無法有效進行維護。
本發明係鑒於以上情況研製而者,其目的在於提供一種能有效維護處理腔室之電漿處理裝置。
為達成上述目的,本發明之電漿處理裝置包括:處理腔室,形成為上部腔室以及下部腔室之上下2部分結構,且於上述下部腔室內配置有基板;氣體供給機構,對上述上部腔室內供給處理氣體;電漿生成機構,用於使供給至上述上部腔室內之處理氣體形成電漿;以及,電壓施加機構,對上述電漿生成機構施加高頻電壓;且
上述下部腔室具有於上表面開口之內部空間,上述上部腔室具有於下表面開口之內部空間,該等內部空間相互連通,該電漿處理裝置之特徵在於:具有
升降板,水平配置且設置為升降自由,且安裝有構成上述上部腔室之至少一部分部件;
升降機構,支撐著上述升降板進行升降;
第1、第2以及第3之至少3個固定機構,用於固定上述上部腔室;且
上述上部腔室至少由外周側之下表面抵接於上述下部腔室之上部的環狀板,內部形成為中空筒狀且下端部載置於上述環狀板上之側壁部件、以及載置於上述側壁部件之上端部的頂板構成;
上述升降板具有上下貫通且尺寸可供上述頂板穿過之貫通孔;
上述電漿生成機構配置於上述側壁部件之外且固定於上述升降板之下表面;
上述第1固定機構連結、固定上述升降板與頂板,另一方面,亦能解除其等之連結及固定;
上述第2固定機構連結、固定上述升降板與環狀板,另一方面,亦能解除其等之連結及固定;
上述第3固定機構固定上述下部腔室與環狀板,另一方面,亦能解除其等之固定。
根據本發明,例如,對矽基板或玻璃基板等處理對象基板,進行蝕刻處理、灰化處理以及成膜處理等電漿處理時,藉由氣體供給機構對處理腔室內供給處理氣體,並且藉由電壓施加機構對電漿生成機構施加高頻電壓而使處理氣體形成電漿。藉此,適時搬入至處理腔室內之基板將藉由經電漿化之處理氣體來進行處理。
繼而,若進行上述電漿處理,則處理腔室之內表面上會附著有各種生成物,但除去該附著物之處理腔室之維護可以如下方式進行。
亦即,當對上部腔室之頂板進行維護時,將第1固定機構對升降板與頂板之連結及固定解除。藉此,僅將頂板拆卸,故而,易於將其自該電漿處理裝置上卸除來進行維護。維護之後,將頂板載置於側壁部件之上端部後,藉由第1固定機構來連結、固定該頂板與升降板,藉此,便能易於組裝該電漿處理裝置。
另一方面,當對上部腔室之側壁部件進行維護時,首先,將第2固定機構對升降板與環狀板之連結、固定解除後,藉由升降機構使升降板上升,並使頂板、第1固定機構以及電漿生成機構與該升降板一同移動至上方。藉此,便僅將未上升而停留不動之側壁部件拆卸,故而,能易於將其自該電漿處理裝置上卸除進行維護。維護之後,將側壁部件載置於環狀板上,並藉由升降機構使升降板下降,使頂板、第1固定機構以及電漿生成機構移動至下方之後,藉由第2固定機構連結、固定該環狀板與升降板,藉此,便能易於組裝該電漿處理裝置。
而且,當對上部腔室之環狀板進行維護時,若於拆卸側壁部件之狀態下,進而將第3固定機構對下部腔室與環狀板的固定解除,便能易於將環狀板自該電漿處理裝置上卸下進行維護。維護之後,若藉由第3固定機構固定環狀板與下部腔室,並將側壁部件載置於環狀板上之後,藉由升降機構使升降板下降,且藉由第2固定機構連結、固定環狀板與升降板,則能夠易於組裝該電漿處理裝置。
而且,當對下部腔室進行維護時,首先,將第3固定機構對下部腔室與環狀板之固定解除之後,藉由升降機構使升降板上升,從而使頂板、第1固定機構、電漿生成機構、第2固定機構、側壁部件以及環狀板與該升降板一同移動至上方,亦即,使整個上部腔室移動至上方。藉此,便能使下部腔室開口,從而易於對其進行維護。維護之後,藉由升降機構使升降板下降,從而使頂板、第1固定機構、電漿生成機構、第2固定機構、側壁部件以及環狀板移動至下方後,藉由第3固定機構來固定環狀板與下部腔室,藉此,便能易於組裝該電漿處理裝置。
如上所述,根據本發明之電漿處理裝置,將第1固定機構對頂板的固定解除,或者將第2固定機構或第3固定機構對環狀板之固定解除,並藉由升降機構使升降板上升,藉此,便能自該電漿處理裝置上簡單地拆卸構成處理腔室之零件中作為維護對象之零件,而且,當需要將維護後之零件恢復至原來狀態時,執行與拆卸時相反之操作即可,故而,可易於短時間內實施對該對象零件進行更換或清洗之維護處理。
再者,於上述頂板以及側壁部件之上端部可分別形成相互卡合而使上述頂板相對上述側壁部件進行定位之卡合部,而且,於上述環狀板以及側壁部件之下端部可分別形成相互卡合而使上述側壁部件相對上述環狀板進行定位之卡合部,而且,進而可於上述環狀板之下表面以及下部腔室之上部分別形成相互卡合而使上述環狀板相對上述下部腔室進行定位之卡合部。藉此,便能使臨時拆卸之頂板或側壁部件、環狀板之安裝作業變得容易,而且亦可防止組裝精度下降。
而且,上述電漿處理裝置,可進而具有至少覆蓋上述升降板、升降機構以及上部腔室之罩體,上述罩體包括:作業孔,於上述上部腔室之側方位置上自外側貫通至內側;及門,用以開關上述作業孔。此時,作業人員打開門,自作業孔進行維護。藉此,當升降機構以及升降板執行動作時能夠防止作業人員受傷、或者防止高頻波影響人體,起到保護作業人員之作用。
如上所述,根據本發明之電漿處理裝置,便能有效維護處理腔室。
以下,參照隨附圖式,對本發明之具體實施形態進行說明。再者,圖1為表示本發明一實施形態之蝕刻裝置的概略構成之剖面圖,圖2為圖1中箭頭A-A方向上之剖面圖,圖3為圖1中箭頭B-B方向上之剖面圖。
如圖1至圖3所示,作為本例之電漿處理裝置之蝕刻裝置1包括下述等部分:處理腔室11,形成為下部腔室12以及上部腔室13之上下2部分結構;基台20,升降自由地配設於下部腔室12內,且載置有作為蝕刻對象之矽基板K;升降氣缸23,使基台20進行升降;排氣裝置24,對處理腔室11內之壓力進行減壓;氣體供給裝置27,對上部腔室13內供給處理氣體;多個線圈(電漿生成機構)30,配置於上部腔室13之外部;線圈用高頻電源33,對線圈30施加高頻電壓;基台用高頻電源34,對基台20施加高頻電壓;升降裝置40,使上部腔室13進行升降;固定機構46,用於固定上部腔室13;罩體55,自外側覆蓋處理腔室11以及升降裝置40;以及,控制裝置(未圖示),對升降氣缸23、排氣裝置24、氣體供給裝置27、線圈用高頻電源33、基台用高頻電源34以及升降裝置40之動作進行控制。
上述下部腔室12具有於上表面開口之內部空間,上述上部腔室13具有於下表面開口之內部空間,且該等內部空間相互連通。而且,下部腔室12具有環狀之側壁12a,該側壁12a之上端面形成有環狀之卡合突起12b。而且,該側壁12a上形成有開口部12c,該開口部12c由擋板17進行開關,用於搬入或搬出矽基板K。
上述上部腔室13由如下者構成:環狀板14,外周側之下表面抵接於下部腔室12之側壁12a之上端面;側壁部件15,內部形成為中空之圓筒狀,且其下端載置於環狀板14之內周側;及,頂板16,外周側之下表面載置於側壁部件15之上端面。
上述環狀板14具有形成於其外周側下表面且與下部腔室12之側壁12a之卡合突起12b卡合之環狀卡合槽14a、及形成於內周側上表面之角部的環狀卡合凹部14b,上述頂板16具有形成於其外周側下表面之環狀卡合突起16a,上述側壁部件15成為其下端與環狀板14之卡合凹部14b卡合之卡合部,及其上端與頂板16之卡合突起16a卡合之卡合部。
上述基台20由上下配設之上部件21以及下部件22構成,於上部件21上載置有矽基板K,於下部件22連接有上述升降氣缸23。
上述排氣裝置24由排氣泵25、及連接排氣泵25與下部腔室12之排氣管26構成,並藉由排氣泵25經由排氣管26將下部腔室12內之氣體排出,使處理腔室11內部減壓至規定壓力。
上述氣體供給裝置27由處理氣體供給部28及處理氣體供給管29構成,該處理氣體供給部28供給作為處理氣體之蝕刻氣體(例如SF6 氣體)以及耐蝕刻層形成氣體(例如C4 F8 氣體),該處理氣體供給管29連接處理氣體供給部28與上部腔室13之頂板16,而且,自處理氣體供給部28經由處理氣體供給管29對上部腔室13內供給蝕刻氣體以及耐蝕刻層形成氣體。
上述電漿生成機構30包括:多個環狀線圈31,上下並列設置於上述側壁部件15之周圍;及,保持部件32,內部形成為中空之圓筒狀,其內周面具有保持各線圈31之保持孔32a。該保持部件32於下端外周面具有凸緣部32b,且下端面抵接於環狀板14之上表面,上端部固定於上述升降裝置40之升降板41的下表面。
上述線圈用高頻電源33,對線圈31施加高頻電壓,藉此,於上部腔室13內形成磁場,並利用因該磁場感應產生之電場,使供給至上部腔室13內之蝕刻氣體以及耐蝕刻層形成氣體形成電漿。上述基台用高頻電源34對基台20施加高頻電壓,藉此使基台20與電漿之間產生電位差(偏壓電位)。
上述升降裝置40具有水平配置於與頂板16高度大致相等之位置上且設置成升降自由的升降板41、及支撐著升降板41進行升降之升降機構42。上述升降板41上形成有上下貫通且尺寸大於頂板16之外徑的貫通孔41a。上述升降機構42由如下者構成:滾珠螺桿43,配置成軸線沿著上下方向且以軸線為中心旋轉自由;螺母44,與滾珠螺桿43旋接,且沿著該滾珠螺桿43移動;驅動馬達45,連接於滾珠螺桿43之上端部,且使該滾珠螺桿43以軸線為中心進行旋轉;而螺母44插入、固定於升降板41之安裝孔41b內。再者,上述滾珠螺桿43、螺母44、驅動馬達45以及安裝孔41b於圓周方向以等間隔設有3個。
上述固定機構46包括:呈環狀之固定板47;第1固定螺栓48、49,藉由固定板47連結、固定頂板16與升降板41;第2固定螺栓50,用於固定保持部件32之凸緣部32b與環狀板14;以及,第3固定螺栓51,用於固定環狀板14與下部腔室12之側壁12a。再者,第1固定螺栓48、49、第2固定螺栓50以及第3固定螺栓51於圓周方向以等間隔設有4個。再者,上述保持部件32亦起到連接升降板41之下表面與環狀板14之上表面的連接部件的作用。
上述第1固定螺栓48、49有如下兩種情形,即,其頂端部自上側穿透至形成於固定板47上的貫通孔47a內,而與形成於頂板16之上表面的螺孔16b旋接(符號48所示),以及,其頂端部自上側穿透至形成於固定板47上的貫通孔47b內,而與形成於升降板41之上表面的螺孔41c旋接(如符號49所示)。
上述第2固定螺栓50係其頂端部自上側穿透至形成於凸緣部32b之貫通孔32c內,而與形成於環狀板14之上表面的螺孔14c旋接,上述第3固定螺栓51係其頂端部自上側穿透至形成於環狀板14之貫通孔14d內,而與形成於側壁12a之上端面的螺孔12d旋接。
上述罩體55係由覆蓋下部腔室12之下罩56、以及覆蓋上部腔室13及升降裝置40之上罩57構成,上罩57自下部腔室12之側壁12a之上端部覆蓋上側,下罩56自下部腔室12之側壁12a之上端部覆蓋下側。
於上述上罩57之內表面中,支撐驅動馬達45的支撐板58配置於升降板41之上方位置,而且,配設有支撐滾珠螺桿43之下端部以軸線為中心而自由旋轉的支撐部件59。而且,上罩57進而包括:作業孔57a,於上部腔室13之側方位置自外側貫通至內側;以及,對開之門60,用以開關該作業孔57a。
上述控制裝置(未圖示)對升降氣缸23、排氣裝置24、氣體供給裝置27、線圈用高頻電源33、基台用高頻電源34以及升降裝置40之動作進行控制。具體而言,反覆交替實施蝕刻步驟及耐蝕刻層形成步驟,該蝕刻步驟係藉由線圈用高頻電源33以及基台用高頻電源34分別對線圈31以及基台20施加高頻電壓,自處理氣體供給部28對處理腔室11內供給蝕刻氣體,且藉由排氣泵2而使處理腔室11內達到規定壓力,而該耐蝕刻層形成步驟係藉由線圈用高頻電源33對線圈31施加高頻電壓,並自處理氣體供給部28對處理腔室11內供給耐蝕刻層形成氣體,再藉由排氣泵25使處理腔室11內達到規定壓力。
而且,控制裝置(未圖示)藉由驅動馬達45而使滾珠螺桿43以軸線為中心進行旋轉,使螺母44沿滾珠螺桿43向上方或者下方移動,藉此,使升降板41進行升降。
根據以上述方式構成之本例中的蝕刻裝置1,反覆交替實施蝕刻步驟與耐蝕刻層形成步驟,蝕刻步驟係使蝕刻氣體形成電漿,使電漿中之自由基與矽原子產生化學反應,或者使電漿中之離子藉由偏壓電位而向基台20側移動,並與矽基板K產生碰撞,藉此,對矽基板K進行蝕刻。另一方面,耐蝕刻層形成步驟係使耐蝕刻層形成氣體形成電漿,使由電漿中之自由基所生成之聚合物沈積於矽基板K之表面(由蝕刻所形成之槽或孔的側壁以及底面等)上,從而形成耐蝕刻層(碳氟化合物膜)。以如此方式,對矽基板K進行蝕刻。
繼而,若實施上述電漿處理,則處理腔室11之內表面將附著有各種生成物,但可以如下方式實施除去該附著物之處理腔室11之維護處理。
亦即,當對上部腔室13之頂板16進行維護時,如圖4所示,若將第1固定螺栓48、49拆卸並將固定板47拆卸,將升降板41與頂板16之連結、固定解除,則僅頂板16被拆卸,故而,能易於將頂板16自該蝕刻裝置1拆卸進行維護。維護結束之後,如若以側壁部件15之上端嵌入至頂板16之卡合突起16a內之方式,將頂板16載置於側壁部件15之上端部,並使用固定板47以及第1固定螺栓48、49將頂板16與升降板41連結、固定,便能易於組裝該蝕刻裝置1。
另一方面,當對上部腔室13之側壁部件15進行維護時,如圖5所示,首先,拆卸第2固定螺栓50,解除保持部件32之凸緣部32b與環狀板14之固定,使驅動馬達45旋轉而使頂板16、第1固定螺栓48、49、線圈31以及保持部件32與升降板41一同上升,則僅側壁部件15被拆卸,故而,易於將側壁部件15自該蝕刻裝置1中拆卸進行維護。維護結束之後,如若以使側壁部件15之下端嵌入至環狀板14之卡合凹部14b內之方式,將側壁部件15載置於環狀板14上,使驅動馬達45旋轉而使頂板16、第1固定螺栓48、49、線圈31以及保持部件32與升降板41一同下降至下降端位置為止,亦即下降至使頂板16載置於側壁部件15之上端部為止後,使用第2固定螺栓50將保持部件32之凸緣部32b與環狀板14固定,便能易於組裝該蝕刻裝置1。
而且,當對上部腔室13之環狀板14進行維護時,如若於已將側壁部件15拆卸之狀態下,進而拆卸第3固定螺栓51,將環狀板14與下部腔室12之側壁12a的固定解除,則能易於將該環狀板14自該蝕刻裝置1中拆卸進行維護。維護結束之後,如若以使下部腔室12之側壁12a之卡合突起12b嵌入至環狀板14之卡合槽14a內的方式,將環狀板14載置於側壁12a之上端面,並使用第3固定螺栓51將環狀板14與下部腔室12之側壁12a固定,再將側壁部件15載置於環狀板14上後,使驅動馬達45旋轉而使升降板41下降至下降端位置(使頂板16載置於側壁部件15之上端部的位置)之後,使用第2固定螺栓50將保持部件32之凸緣部32b與環狀板14固定,便能易於組裝該蝕刻裝置1。
而且,當對下部腔室12進行維護時,首先,拆卸第3固定螺栓51,解除環狀板14與下部腔室12之側壁12a之固定後,使驅動馬達45旋轉而使頂板16、第1固定螺栓48、49、線圈31、保持部件32、環狀板14、側壁部件15以及第2固定螺栓50與升降板41一同上升,亦即,使整個上部腔室13移動至上方,則下部腔室12會打開,從而能夠易於對其進行維護。維護結束之後,如若使驅動馬達45旋轉,而使頂板16、第1固定螺栓48、49、線圈31、保持部件32、環狀板14、側壁部件15以及第2固定螺栓50與升降板41一同下降至下降端位置為止,亦即下降至使環狀板14之下表面抵接於下部腔室12之側壁12a的上端面為止,且使用第3固定螺栓51使環狀板14與下部腔室12之側壁12a固定,則能易於組裝該蝕刻裝置1。
再者,當進行維護時,作業人員打開門60自作業孔57中進行作業。
如此,根據本例中之蝕刻裝置1,將第1固定螺栓48、49以及固定板47對頂板16之固定解除,或者將第2固定螺栓50或第3固定螺栓51對環狀板14之固定解除,並藉由升降機構42使升降板41上升,藉此,便可簡單地自該蝕刻裝置1將構成處理腔室11之零件中作為維護對象之零件拆卸,而且,當需要將維護後之零件恢復至原來狀態時,只要實施與拆卸時相反之操作即可,故而,可易於短時間內實施更換、清洗該對象零件之維護處理。
而且,當維護結束後進行零件安裝時,可藉由將側壁部件15之上端嵌入至頂板16之卡合突起16a內,而使頂板16相對側壁部件15進行定位,故可藉由將側壁部件15之下端嵌入至環狀板14之卡合凹部14b內,而使側壁部件15相對環狀板14進行定位,並可藉由將下部腔室12之側壁12a之卡合突起12b嵌入至環狀板14之卡合槽14a內,而使環狀板14相對側壁12a進行定位,故而,能使臨時拆卸之頂板16或側壁部件15、環狀板14之安裝作業變得容易,並且亦能防止組裝精度下降。
而且,由於藉由罩體55覆蓋處理腔室11以及升降裝置40,故而,當升降板41以及升降機構42動作時便能防止作業人員受傷、或高頻波對人體造成影響,從而能夠保護作業人員。
以上,對本發明之一實施形態進行了說明,但本發明可採用之具體態樣並不限於此。
上述示例係藉由保持部件32以及第2固定螺栓50來連結、固定升降板41與環狀板14,但並不限於此,亦可使上部固定於升降板41下表面之連結部件與保持部件32分開設置,且藉由第2固定螺栓50來將該連結部件之下部固定於環狀板14上。
而且,上述上部腔室13之構成並不限於上述情況,亦可為除上述環狀板14、側壁部件15以及頂板16之外亦具有構成部件之形狀。而且,上述蝕刻裝置1亦可進而具有對上部腔室13進行加熱之加熱裝置、或冷卻上部腔室13之冷卻裝置等,此時,若將加熱裝置或冷卻裝置分別可裝卸自由地安裝於升降板41上,則易於維護,故而較好。
而且,上述示例係列舉蝕刻處理作為電漿處理之一例,但並不限於此,灰化處理或成膜處理等亦可應用本發明之電漿處理裝置。而且,作為電漿處理對象之基板,並不限於矽基板K,亦可為玻璃基板等任一基板。
1...蝕刻裝置(電漿處理裝置)
11...處理腔室
12...下部腔室
13...上部腔室
14...環狀板
15...側壁部件
16...頂板
20...基台
24...排氣裝置
27...氣體供給裝置
31...線圈
32...保持部件
33...線圈用高頻電源
34...基台用高頻電源
41...升降板
42...升降機構
47...固定板
48、49...第1固定螺栓
50...第2固定螺栓
51...第3固定螺栓
55...罩體
56...下罩
57...上罩
60...門
K...矽基板
圖1為表示本發明一實施形態之蝕刻裝置之概略構成的剖面圖。
圖2為圖1中箭頭A-A方向上之剖面圖。
圖3為圖1中箭頭B-B方向上之剖面圖。
圖4為表示將頂板等拆卸後之狀態的剖面圖。
圖5為表示使升降板、頂板以及保持部件等上升後之狀態的剖面圖。
圖6為表示使升降板以及上部腔室等上升後之狀態的剖面圖。
1...蝕刻裝置(電漿處理裝置)
11...處理腔室
12...下部腔室
12a...側壁
12b...卡合突起
12c...開口部
12d...螺孔
13...上部腔室
14...環狀板
14a...卡合槽
14b...卡合凹部
14c...螺孔
14d...貫通孔
15...側壁部件
16...頂板
16a...卡合突
16b...螺孔
17...擋板
20...基台
21...上部件
22...下部件
23...升降氣缸
24...排氣裝置
25...排氣泵
26...排氣管
27...氣體供給裝置
28...處理氣體供給部
29...處理氣體供給管
30...電漿生成機構
31...線圈
32...保持部件
32a...保持孔
32b...凸緣部
32c...貫通孔
33...線圈用高頻電源
34...基台用高頻電源
40...升降裝置
41...升降板
41a...貫通孔
41b...安裝孔
41c...螺孔
42...升降機構
43...滾珠螺桿
44...螺母
45...驅動馬達
46...固定機構
47...固定板
47a...貫通孔
47b...貫通孔
48、49...第1固定螺栓
50...第2固定螺栓
51...第3固定螺栓
55...罩體
56...下罩
57...上罩
57a...作業孔
58...支撐板
59...支撐部件
A、B...箭頭
K...矽基板

Claims (5)

  1. 一種電漿處理裝置,其包括:處理腔室,係形成為上部腔室以及下部腔室之上下2部分結構,且於上述下部腔室內配置有基板;氣體供給機構,係對上述上部腔室內供給處理氣體;電漿生成機構,係用於使供給至上述上部腔室內之處理氣體形成電漿;以及,電壓施加機構,係對上述電漿生成機構施加高頻電壓;且上述下部腔室具有於上表面開口之內部空間,上述上部腔室具有於下表面開口之內部空間,該等內部空間相互連通,該電漿處理裝置之特徵在於:其具有:升降板,係水平配置且設置為升降自由,且安裝有構成上述上部腔室之至少一部分部件;升降機構,係支撐著上述升降板進行升降;第1、第2以及第3之至少3個固定機構,係用於固定上述上部腔室;且上述上部腔室至少由外周側之下表面抵接於上述下部腔室之上部的環狀板,內部形成為中空筒狀且下端部載置於上述環狀板上之側壁部件、以及載置於上述側壁部件之上端部的頂板構成;上述升降板具有上下貫通且尺寸可供上述頂板穿過之貫通孔;上述電漿生成機構係配置於上述側壁部件之外且固定於上述升降板之下表面;上述第1固定機構係連結、固定上述升降板與頂板,另一方面,亦能解除其等之連結及固定;上述第2固定機構係連結、固定上述升降板與環狀板,另一方面,亦能解除其等之連結及固定;及上述第3固定機構係固定上述下部腔室與環狀板,另一方面,亦能解除其等之固定。
  2. 如申請專利範圍第1項之電漿處理裝置,其中:於上述頂板以及側壁部件之上端部,分別形成有相互卡合而使上述頂板相對上述側壁部件進行定位之卡合部。
  3. 如申請專利範圍第1項之電漿處理裝置,其中:於上述環狀板以及側壁部件之下端部,分別形成有相互卡合而使上述側壁部件相對上述環狀板進行定位之卡合部。
  4. 如申請專利範圍第1項之電漿處理裝置,其中:於上述環狀板之下表面以及下部腔室之上部,分別形成有相互卡合而使上述環狀板相對上述下部腔室進行定位之卡合部。
  5. 如申請專利範圍第1至4項中任一項之電漿處理裝置,其中:進而具有至少覆蓋上述升降板、升降機構以及上部腔室之罩體;及上述罩體包括:作業孔,於上述上部腔室之側方位置上自外側貫通至內側;及門,用以開關上述作業孔。
TW098107413A 2008-03-14 2009-03-06 電漿處理裝置(二) TWI426829B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008065252A JP5188849B2 (ja) 2008-03-14 2008-03-14 プラズマ処理装置

Publications (2)

Publication Number Publication Date
TW200939907A TW200939907A (en) 2009-09-16
TWI426829B true TWI426829B (zh) 2014-02-11

Family

ID=41064887

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098107413A TWI426829B (zh) 2008-03-14 2009-03-06 電漿處理裝置(二)

Country Status (6)

Country Link
US (1) US8771461B2 (zh)
EP (1) EP2256792B1 (zh)
JP (1) JP5188849B2 (zh)
KR (1) KR101438455B1 (zh)
TW (1) TWI426829B (zh)
WO (1) WO2009113213A1 (zh)

Families Citing this family (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120171002A1 (en) * 2011-01-05 2012-07-05 Electro Scientific Industries, Inc Apparatus and method for transferring a substrate
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI661746B (zh) 2011-10-05 2019-06-01 應用材料股份有限公司 電漿處理設備及其蓋組件(一)
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN105336559B (zh) * 2014-08-14 2018-01-09 北京北方华创微电子装备有限公司 一种反应腔室及半导体加工设备
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) * 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10328255B2 (en) * 2016-09-16 2019-06-25 Precision Neurotechnologies Llc Visual prosthesis employing virtual neural electrode arrays
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) * 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
CN110809818B (zh) * 2017-08-30 2023-07-11 株式会社国际电气 保护板、衬底处理装置及半导体器件的制造方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111778490B (zh) * 2020-07-14 2022-10-21 北京北方华创微电子装备有限公司 半导体设备及其下电极组件
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7245378B1 (ja) 2022-03-23 2023-03-23 Sppテクノロジーズ株式会社 基板処理装置
JP7092959B1 (ja) 2022-03-23 2022-06-28 Sppテクノロジーズ株式会社 基板処理装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
JP2000268995A (ja) * 1999-03-18 2000-09-29 Kokusai Electric Co Ltd プラズマ処理装置
TW464919B (en) * 1999-03-30 2001-11-21 Tokyo Electron Ltd Plasma processing apparatus, maintenance method thereof and setting method thereof

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10177996A (ja) * 1996-12-18 1998-06-30 Sumitomo Metal Ind Ltd プラズマ処理装置
JP2000082694A (ja) 1998-06-29 2000-03-21 Sumitomo Metal Ind Ltd プラズマ処理装置
JP3476687B2 (ja) * 1998-09-21 2003-12-10 東京エレクトロン株式会社 プラズマ処理装置
TW529085B (en) 2000-09-22 2003-04-21 Alps Electric Co Ltd Method for evaluating performance of plasma treatment apparatus or performance confirming system of plasma treatment system
JP4178775B2 (ja) * 2001-08-31 2008-11-12 株式会社日立国際電気 プラズマリアクター
JP4979965B2 (ja) * 2006-03-28 2012-07-18 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
JP2000268995A (ja) * 1999-03-18 2000-09-29 Kokusai Electric Co Ltd プラズマ処理装置
TW464919B (en) * 1999-03-30 2001-11-21 Tokyo Electron Ltd Plasma processing apparatus, maintenance method thereof and setting method thereof

Also Published As

Publication number Publication date
TW200939907A (en) 2009-09-16
WO2009113213A1 (ja) 2009-09-17
EP2256792A1 (en) 2010-12-01
US20110005684A1 (en) 2011-01-13
JP5188849B2 (ja) 2013-04-24
KR101438455B1 (ko) 2014-09-05
KR20100124319A (ko) 2010-11-26
JP2009224432A (ja) 2009-10-01
EP2256792A4 (en) 2011-12-14
EP2256792B1 (en) 2019-03-13
US8771461B2 (en) 2014-07-08

Similar Documents

Publication Publication Date Title
TWI426829B (zh) 電漿處理裝置(二)
TWI528485B (zh) Semiconductor manufacturing apparatus and processing method thereof
JP4355314B2 (ja) 基板処理装置、及び該装置の蓋釣支装置
TWI644382B (zh) 真空處理裝置
US6899786B2 (en) Processing device and method of maintaining the device, mechanism and method for assembling processing device part, and lock mechanism and method for locking the lock mechanism
TWI588290B (zh) 處理設備與清潔方法
JP5597891B2 (ja) 基板処理装置及び基板処理方法
TWI653685B (zh) 電漿處理裝置
US20090008035A1 (en) Plasma processing apparatus
JP2021122064A (ja) ウェハエッジリングの持ち上げに関する解決
TWI654660B (zh) 真空處理裝置及真空處理裝置的運轉方法
JP2009152434A (ja) 基板処理装置
KR101909482B1 (ko) 기판 처리 장치의 부품 세정 방법
KR20150040888A (ko) 다른 크기의 워크피스를 취급하기 위한 장치 및 방법
JP2009224420A (ja) プラズマ処理装置
KR102615218B1 (ko) 소모품 교체가 가능한 기판 처리 장치
KR20060080686A (ko) 반도체 식각설비
JP2004214314A (ja) ダスト侵入防止機構を備えたチャンバとそのチャンバを備えた真空装置
JP2013197534A (ja) プラズマ処理装置及びプラズマ処理方法
KR20090106258A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법