US20220216035A1 - Vacuum processing apparatus and maintenance apparatus - Google Patents

Vacuum processing apparatus and maintenance apparatus Download PDF

Info

Publication number
US20220216035A1
US20220216035A1 US17/703,503 US202217703503A US2022216035A1 US 20220216035 A1 US20220216035 A1 US 20220216035A1 US 202217703503 A US202217703503 A US 202217703503A US 2022216035 A1 US2022216035 A1 US 2022216035A1
Authority
US
United States
Prior art keywords
maintenance
unit
maintenance apparatus
case
detaching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/703,503
Inventor
Takehiro Ueda
Jun Hirose
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US17/703,503 priority Critical patent/US20220216035A1/en
Publication of US20220216035A1 publication Critical patent/US20220216035A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Definitions

  • FIG. 6B is a cross sectional view schematically showing the maintenance apparatus according to the first embodiment
  • FIG. 12B is a perspective view schematically showing a connection unit
  • FIG. 16A is a top view schematically showing the maintenance apparatus according to the second embodiment
  • the electrostatic chuck 36 has a flat disc-shaped upper surface.
  • the upper surface serves as the mounting surface 36 d on which the wafer W is mounted.
  • the electrostatic chuck 36 is provided at a center of the mounting table 31 when seen from the top.
  • the electrostatic chuck 36 includes an electrode 36 a and an insulator 36 b .
  • the electrode 36 a is embedded in the insulator 36 b.
  • a DC power supply 42 is connected to the electrode 36 a.
  • the wafer W is attracted and held on the electrostatic chuck 36 by a Coulomb force generated by applying a DC voltage from the DC power supply 42 to the electrode 36 a.
  • a heater 36 c is provided in the insulator 36 b of the electrostatic chuck 36 .
  • the heater 36 c controls a temperature of the wafer W by a power supplied through a power supply unit to be described later.
  • the maintenance apparatus 100 to be described later can be attached to and detached from the second gate 95 .
  • the first gate 84 is connected to the transfer system for the wafer W. Therefore, it is difficult to ensure a space near the first gate 84 of the plasma etching apparatus 10 , whereas it is easy to ensure a space near the opposite side of the first gate 84 . Accordingly, in the plasma etching apparatus 10 , the space for the maintenance apparatus 100 can be easily ensured by providing the second gate 95 at the opposite side of the first gate 84 .
  • the maintenance apparatus 100 of the present embodiment further includes the robot arm 110 , the detaching unit 120 that can be attached to and detached from the robot arm 110 , the attaching unit 140 and the cleaning unit 130 . Accordingly, the maintenance apparatus 100 can perform the operation of detaching the focus ring 35 in the processing chamber 30 , the operation of attaching the focus ring 35 in the processing chamber 30 and the operation of cleaning the processing chamber 30 .
  • a ball screw 105 and a shaft 106 are disposed in parallel at an upper portion in the case 101 .
  • the ball screw 105 can be rotated by a handle H 1 provided at the outside of the case 101 while maintaining airtightness through, e.g., an O-ring or the like.
  • a linear stage 150 is attached to the ball screw 105 and the shaft 106 .
  • An adaptor detaching unit 160 is detachably attached to the linear stage 150 . In an initial state of the maintenance operation, the adaptor detaching unit 160 is attached to the linear stage 150 .
  • the manually operated arm 200 has a flat plate-shaped base portion 240 , a head portion 250 provided with the attaching unit 220 , and an extensible/contractible mechanism 260 for extending/contracting the head portion 250 to/from the base portion 240 .
  • the manually operated arm 200 has, as the extensible/contractible mechanism 260 , a ball screw 262 rotatably supported by the base portion 240 .
  • An end portion of the ball screw 262 is coaxially connected to an engaging portion 265 , e.g., a bevel gear or the like.
  • the engaging portion 265 is engaged with the engaging portion 231 when the linear stage 150 and the manually operated arm 200 are located at the left end at the side of the adaptor 96 .
  • the manually operated arm 200 has an elevation mechanism 270 for vertically moving the head portion 250 .
  • the engaging portion 273 is engaged with the engaging portion 281 . Accordingly, when the handle H 5 is rotated, the driving force is transferred to the head portion 250 through the rotation shaft 280 , the engaging portion 281 , the engaging portion 273 , the pulley 274 , the belt 277 , the pulley 276 and the rotation shaft 275 and, thus, the base portion 240 is vertically moved.
  • the maintenance apparatus 100 performs the maintenance of the plasma etching apparatus 10 by attaching the respective units to the linear stage 150 by using the elevation table 114 .

Abstract

A maintenance apparatus includes a case and a maintenance mechanism. The case includes an opening having a size corresponding to a second gate of a vacuum processing apparatus including a processing chamber having a first gate through which a substrate is loaded and unloaded and the second gate different from the first gate. The case is attachable to the second gate while maintaining airtightness. The maintenance mechanism is provided in the case and is configured to perform at least one of an operation of detaching a consumed part in the processing chamber through the opening, an operation of attaching a replacement part in the processing chamber and an operation of cleaning the processing chamber.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a Continuation application of U.S. application Ser. No. 15/897,228, filed on Feb. 15, 2018, which claims priority to Japanese Patent Application No. 2017-026524 filed on Feb. 16, 2017, the entire contents of which are incorporated herein by reference and priority is claimed to each.
  • FIELD OF THE INVENTION
  • The present disclosure relates to a vacuum processing apparatus and a maintenance apparatus.
  • BACKGROUND OF THE INVENTION
  • Conventionally, there is known a vacuum processing apparatus for performing various processes on a substrate such as a semiconductor wafer (hereinafter, referred to as “wafer”) or the like in a processing chamber in a vacuum state. For example, a plasma etching apparatus for etching a wafer in a processing chamber in a vacuum state by using plasma is known as the vacuum processing apparatus.
  • In the vacuum processing apparatus, it is required to replace a consumable part in the processing chamber which has been consumed by processing the substrate. For example, in the plasma etching apparatus, a focus ring provided at an outer periphery of the wafer is consumed by etching. In the plasma etching apparatus, an etching rate or the like is affected by the consumption of the focus ring. Therefore, in the plasma etching apparatus, the focus ring that has been consumed by a certain degree needs to be replaced.
  • When the consumed part is replaced, the processing chamber is exposed to the atmosphere. However, in the vacuum processing apparatus, once the processing chamber is exposed to the atmosphere, a considerably long time is required for temperature control and moisture control until the substrate processing is restarted. As a result, the productivity is decreased.
  • Therefore, there has been suggested a technique for replacing a consumed part without exposing the processing chamber to the atmosphere by replacing the consumed part through a transfer system for transferring a substrate to a vacuum processing apparatus while maintaining a vacuum state (see, e.g., Japanese Patent Application Publication No. 2006-196691).
  • However, in the case of replacing the consumed part through the transfer system for transferring a substrate, the transfer system may be contaminated. For example, the focus ring is subjected to a considerable number of etching processes until it is replaced with a new focus ring, compared to a single wafer. Therefore, a large number of deposits are adhered to the focus ring during the etching processes. In the case of replacing the focus ring through the transfer system such as a transfer arm for transferring a wafer or the like, particles may be generated in the transfer system. When the particles are generated in the transfer system, it is required to stop the operation of the transfer system and perform a maintenance operation for cleaning.
  • SUMMARY OF THE INVENTION
  • In accordance with an aspect, there is provided a vacuum processing apparatus including: a consumable part which is consumed by processing a substrate; and a processing chamber in which the consumable part is provided, the processing chamber having a first gate through which the substrate is loaded and unloaded and a second gate to and from which a maintenance apparatus for replacing a consumed part is attachable and detachable.
  • In accordance with another aspect, there is provided a maintenance apparatus including: a case with an opening having a size corresponding to a second gate of a vacuum processing apparatus including a processing chamber having a first gate through which a substrate is loaded and unloaded and the second gate different from the first gate, the case being attachable to the second gate while maintaining airtightness; and a maintenance mechanism provided in the case and configured to perform at least one of an operation of detaching a consumed part in the processing chamber through the opening, an operation of attaching a replacement part in the processing chamber and an operation of cleaning the processing chamber.
  • In accordance with the substrate processing apparatus, the consumed part can be replaced while suppressing contamination of the transfer system for transferring a substrate.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The objects and features of the present disclosure will become apparent from the following description of embodiments, given in conjunction with the accompanying drawings, in which:
  • FIG. 1 schematically shows a configuration of a substrate processing system according to an embodiment;
  • FIG. 2 schematically shows a plasma etching apparatus according to an embodiment;
  • FIG. 3A is a top view schematically showing a maintenance apparatus according to a first embodiment;
  • FIG. 3B is a cross sectional view schematically showing the maintenance apparatus according to the first embodiment;
  • FIG. 4A explains an operation of detaching an adaptor;
  • FIG. 4B is a perspective view of a detachment mechanism;
  • FIG. 5A is a top view schematically showing the maintenance apparatus according to the first embodiment;
  • FIG. 5B is a cross sectional view schematically showing the maintenance apparatus according to the first embodiment;
  • FIG. 6A is a top view schematically showing the maintenance apparatus according to the first embodiment;
  • FIG. 6B is a cross sectional view schematically showing the maintenance apparatus according to the first embodiment;
  • FIG. 7A is a top view schematically showing the maintenance apparatus according to the first embodiment;
  • FIG. 7B is a cross sectional view schematically showing the maintenance apparatus according to the first embodiment;
  • FIG. 8A is a top view schematically showing the maintenance apparatus according to the first embodiment;
  • FIG. 8B is a cross sectional view schematically showing the maintenance apparatus according to the first embodiment;
  • FIG. 9 is a top view schematically showing an attaching unit according to the first embodiment;
  • FIG. 10A is a top view schematically showing the maintenance apparatus according to the first embodiment;
  • FIG. 10B is a cross sectional view schematically showing the maintenance apparatus according to the first embodiment;
  • FIG. 11A is a top view schematically showing a maintenance apparatus according to a second embodiment;
  • FIG. 11B is a cross sectional view schematically showing the maintenance apparatus according to the second embodiment;
  • FIG. 12A explains a configuration for attaching/detaching an adaptor detaching unit and a linear stage;
  • FIG. 12B is a perspective view schematically showing a connection unit;
  • FIG. 12C is a cross sectional view schematically showing the connection unit;
  • FIG. 13A is a top view schematically showing the maintenance apparatus according to the second embodiment;
  • FIG. 13B is a cross sectional view schematically showing the maintenance apparatus according to the second embodiment;
  • FIG. 14 is a perspective view schematically showing an adaptor support;
  • FIG. 15A is a top view schematically showing the maintenance apparatus according to the second embodiment;
  • FIG. 15B is a cross sectional view schematically showing the maintenance apparatus according to the second embodiment;
  • FIG. 16A is a top view schematically showing the maintenance apparatus according to the second embodiment;
  • FIG. 16B is a cross sectional view schematically showing the maintenance apparatus according to the second embodiment;
  • FIG. 17A is a top view schematically showing the maintenance apparatus according to the second embodiment;
  • FIG. 17B is a cross sectional view schematically showing the maintenance apparatus according to the second embodiment;
  • FIG. 18A is a top view schematically showing the maintenance apparatus according to the second embodiment;
  • FIG. 18B is a cross sectional view schematically showing the maintenance apparatus according to the second embodiment;
  • FIGS. 19 to 22 are cross sectional views schematically showing the maintenance apparatus according to the second embodiment;
  • FIGS. 23 and 24 are cross sectional views schematically showing a maintenance apparatus according to a third embodiment;
  • FIG. 25 is a perspective view schematically showing an example of a frame; and
  • FIG. 26 is a perspective view schematically showing an example of a case formed by stacking a plurality of frames.
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • Hereinafter, embodiments of a vacuum processing apparatus and a maintenance apparatus of the present disclosure will be described in detail with reference to the accompanying drawings. Like reference numerals will be given to like or corresponding parts throughout the drawings. The embodiments are not intended to limit the present disclosure. The embodiments can be appropriately combined without contradicting the processing contents.
  • First Embodiment
  • (Configuration of Substrate Processing System)
  • First, a schematic configuration of a substrate processing system according to an embodiment will be described. The substrate processing system performs a predetermined process on a substrate such as a wafer or the like. In the present embodiment, a case in which a plasma etching process is performed on a substrate will be described as an example. FIG. 1 shows the schematic configuration of the substrate processing system according to the embodiment. The substrate processing system 1 includes an atmospheric transfer chamber 11 for loading a wafer W that is a substrate for manufacturing a semiconductor device into the substrate processing system 1, two load-lock chambers 12A and 12B, a vacuum transfer chamber 13, and four vacuum processing apparatuses. Each of the vacuum processing apparatuses performs a predetermined process on the substrate. In the present embodiment, a case in which the vacuum processing apparatus is configured as a plasma etching apparatus 10 for performing a plasma etching process on a wafer W as a substrate will be described as an example.
  • The atmospheric transfer chamber 11 is connected to the vacuum transfer chamber 13 via the load-lock chambers 12A and 12B. The plasma etching apparatus 10 is connected to the vacuum transfer chamber 13 while being partitioned from the load-lock chambers 12A and 12B.
  • The inside of the atmospheric transfer chamber 11 is in an atmospheric atmosphere and carrier mounting stages 14 for mounting thereon carriers C are attached to the atmospheric transfer chamber 11. Each of the carriers C accommodates therein a plurality of wafers W. Gate doors GT, which are opened/closed in association with lids of the carriers C connected to the carriers C, are provided at a front wall of the atmospheric transfer chamber 11. A stocker 2 serving as a storage part is provided at one side surface of the atmospheric transfer chamber 11. An alignment chamber 3 serving as a position alignment mechanism is provided at the other side surface of the atmospheric transfer chamber 11. The stocker 2 includes a housing 21 for accommodating and temporarily storing the wafer W or the like. An opening 22 for a first transfer mechanism 15 and a shutter 23 for opening/closing the opening 22 are provided at the housing 21. In the alignment chamber 3, a horizontal rotation stage is provided and various alignment controls such as control of a rotation position of the wafer W and the like can be performed.
  • The first transfer mechanism 15 is provided in the atmospheric transfer chamber 11. The first transfer mechanism 15 transfers the wafer W between the carriers C, the load-lock chambers 12A and 12B, the alignment chamber 3 and the stocker 2. The first transfer mechanism 15 includes a base portion 15 a, a multi-joint arm 15 b and a holding portion 15 c. The arm 15 b has a base end connected to the base portion 15 a and a leading end connected to the holding portion 15 c. The base portion 15 a is movable horizontally and vertically. The holding portion 15 c has a U shape when seen from the top. The holding portion 15 c holds the wafer W.
  • A stage on which the wafer W is mounted and supporting pins capable of moving vertically are provided in each of the load-lock chambers 12A and 12B. The wafer W can be transferred between the first transfer mechanism 15 and a second transfer mechanism 16 to be described later by the supporting pins in the load-lock chambers 12A and 12B. Further, a vacuum pump and a leakage valve (both not shown) are provided in each of the load-lock chambers 12A and 12B. Thus, an atmosphere in each of the load-lock chambers 12A and 12B can be switched between an atmospheric atmosphere and a vacuum atmosphere. In other words, it is possible to switch an atmosphere in each of the load-lock chambers 12A and 12B for transferring the wafer W between the atmospheric transfer chamber 11 maintained in an atmospheric atmosphere and the vacuum transfer chamber 13 maintained in a vacuum atmosphere.
  • The vacuum transfer chamber 13 is maintained in a vacuum atmosphere. The second transfer mechanism 16 is provided in the vacuum transfer chamber 13. The second transfer mechanism 16 is substantially the same as the first transfer mechanism 15 except that two arms and two holding portions are provided at one base. The base, the arms and the holding portions of the second transfer mechanism 16 are indicated by reference numerals 16 a, 16 b and 16 c, respectively.
  • Notation “G” in FIG. 1 denotes an openable/closable gate valve (partition valve) for partitioning between the chambers and partitioning between the plasma etching apparatuses 10 and the vacuum transfer chamber 13. The gate valve G is closed normally and opened when the wafer W is transferred between the chambers and between the respective modules and the vacuum transfer chamber 13.
  • (Configuration of the Plasma Etching Apparatus)
  • Next, a configuration of the plasma etching apparatus 10 according to an embodiment will be described. FIG. 2 schematically shows the plasma etching apparatus according to the embodiment. The plasma etching apparatus 10 includes an airtight processing chamber 30 that is electrically grounded. The processing chamber 30 is formed in a cylindrical shape and made of, e.g., aluminum having an anodically oxidized surface or the like. The processing chamber 30 defines a processing space where a plasma is generated. A mounting table 31 for horizontally supporting a wafer W is provided in the processing chamber 30.
  • The mounting table 31 has a substantially cylindrical shape with an upper and a lower surface directed vertically. The upper surface of the mounting table 31 serves as a mounting surface 36 d. The mounting surface 36 d of the mounting table 31 is greater than the wafer W. The mounting table 31 includes a base 33 and an electrostatic chuck 36.
  • The base 33 is made of metal, e.g., aluminum or the like. The base 33 serves as a lower electrode. The base 33 is supported by a supporting member 34 made of an insulator. The supporting member 34 is installed at a bottom portion of the processing chamber 30.
  • The electrostatic chuck 36 has a flat disc-shaped upper surface. The upper surface serves as the mounting surface 36 d on which the wafer W is mounted. The electrostatic chuck 36 is provided at a center of the mounting table 31 when seen from the top. The electrostatic chuck 36 includes an electrode 36 a and an insulator 36 b. The electrode 36 a is embedded in the insulator 36 b. A DC power supply 42 is connected to the electrode 36 a. The wafer W is attracted and held on the electrostatic chuck 36 by a Coulomb force generated by applying a DC voltage from the DC power supply 42 to the electrode 36 a. A heater 36 c is provided in the insulator 36 b of the electrostatic chuck 36. The heater 36 c controls a temperature of the wafer W by a power supplied through a power supply unit to be described later.
  • A focus ring 35 made of, e.g., single crystalline silicon, is provided on an upper outer periphery of the mounting table 31. A cylindrical inner wall member 37 made of, e.g., quartz or the like, is provided to surround an edge of the mounting table 31 and an edge of the supporting member 34.
  • A power feed rod 50 is connected to the base 33. The power feed rod 50 is connected to a first RF power supply 40 a via a first matcher 41 a and connected to a second RF power supply 40 b via a second matcher 41 b. The first RF power supply 40 a generates power for plasma generation. A high frequency power having a predetermined frequency is supplied from the first RF power supply 40 a to the base 33 of the mounting table 31. The second RF power supply 40 b generates power for ion attraction (bias). A high frequency power having a predetermined frequency lower than that from the first RF power supply 40 a is supplied from the second RF power supply 40 b to the base 33 of the mounting table 31.
  • A coolant path 33 d is formed in the base 33. The coolant path 33 d has one end connected to a coolant inlet line 33 b and the other end connected to a coolant outlet line 33 c. In the plasma etching apparatus 10, a temperature of the mounting table 31 can be controlled by circulating a coolant, e.g., cooling water or the like, through the coolant path 33 d. In the plasma etching apparatus 10, it is also possible to form coolant paths at portions of the base 33 which correspond to a region on which the wafer W is mounted and a region on which the focus ring 35 is mounted and separately control temperatures of the wafer W and the focus ring 35. Further, the plasma etching apparatus 10 may be configured such that a cold heat transfer gas is supplied to a backside of the wafer W and to a bottom surface of the focus ring 35 to separately control the temperatures thereof. For example, a gas supply line for supplying a cold heat transfer gas (backside gas) such as He gas or the like to a backside of the wafer W may be provided to penetrate through the mounting table 31 and the like. The gas supply line is connected to a gas supply source. With this configuration, the wafer W attracted and held on the electrostatic chuck 36 on the top surface of the mounting table 31 can be controlled to a predetermined temperature.
  • A shower head 46 serving as an upper electrode is provided above the mounting table 31 to face the mounting table 31 in parallel therewith. The shower head 46 and the mounting table 31 function as a pair of electrodes (upper electrode and lower electrode).
  • The shower head 46 is provided at a ceiling wall portion of the processing chamber 30. The shower head 46 includes a main body 46 a and an upper ceiling plate 46 b serving as an electrode plate. The shower head 46 is supported at an upper portion of the processing chamber 30 through an insulating member 47. The main body 46 a is made of a conductive material, e.g., aluminum having an anodically oxidized surface. The upper ceiling plate 46 b is detachably held at a bottom portion of the main body 46 a.
  • A gas diffusion space 46 c is formed in the main body 46 a. A plurality of gas through holes 46 d is formed in the bottom portion of the main body 46 a to be positioned below the gas diffusion space 46 c. Gas injection holes 46 e are formed through the upper ceiling plate 46 b in a thickness direction thereof. The gas injection holes 46 e communicate with the gas through holes 46 d. With this configuration, the processing gas supplied to the gas diffusion space 46 c is distributed in a shower form into the processing chamber 30 through the gas through holes 46 d and the gas injection holes 46 e.
  • A gas inlet port 46 g for introducing the processing gas into the gas diffusion space 46 c is formed in the main body 46 a. One end of gas supply line 45 a is connected to the gas inlet port 46 g and the other end of the gas supply line 45 a is connected to a processing gas supply source 45 for supplying a processing gas. A mass flow controller (MFC) 45 b and an opening/closing valve V2 are disposed in the gas supply line 45 a in that order from an upstream side. The processing gas for plasma etching is supplied from the processing gas supply source 45 to the gas diffusion space 46 c through the gas supply line 45 a and distributed in a shower form into the processing chamber 30 through the gas through holes 46 d and the gas injection holes 46 e.
  • A variable DC power supply 48 b is electrically connected to the shower head 46 serving as the upper electrode via a low pass filter (LPF) 48 a. A power supply of the variable DC power supply 48 b is on-off controlled by an on/off switch 48 c. Current/voltage of the variable DC power supply 48 b and on/off of the on/off switch 48 c are controlled by a control unit 90 to be described later. As will be described later, when a plasma is generated in the processing space by applying the high frequency power from the first and the second RF power supply 40 a and 40 b to the mounting table 31, the on/off switch 48 c is turned on by the control unit 90 and a predetermined DC voltage is applied to the shower head 46 serving as the upper electrode, if necessary.
  • A cylindrical ground conductor 30 a extends upward from a sidewall of the processing chamber 30 to a position higher than a height of the shower head 46. The cylindrical ground conductor 30 a has a ceiling wall at the top thereof.
  • A gas exhaust port 81 is formed at a bottom portion of the processing chamber 30. A gas exhaust unit 83 is connected to the gas exhaust port 81 through a gas exhaust line 82. The gas exhaust unit 83 has a vacuum pump. By operating the vacuum pump, a pressure in the processing chamber 30 can be decreased to a predetermined vacuum level.
  • A first gate 84 through which the wafer W is loaded/unloaded is provided at an inner sidewall of the processing chamber 30. A gate valve G for opening/closing the first gate 84 is provided at the first gate 84. As shown in FIG. 1, the first gate 84 is connected to the vacuum transfer chamber 13 through the gate valve G while maintaining airtightness. The wafer W can be loaded into and unloaded from the vacuum transfer chamber 13 in a state where a vacuum atmosphere is maintained.
  • A deposition shield 86 is provided along an inner wall of the processing chamber 30. The deposition shield 86 has a function of preventing etching by-products (deposits) from being attached to the inner wall of the processing chamber 30. The deposition shield 86 is detachably provided.
  • The overall operation of the plasma etching apparatus configured as described above is controlled by the control unit 90. The control unit 90 is, e.g., a computer, and controls the respective components of the plasma etching apparatus 10.
  • In the plasma etching apparatus 10, it is required to replace a consumed part in the processing chamber 30 which has been consumed by the etching process using plasma. For example, in the plasma etching apparatus 10, the focus ring 35 provided at an outer periphery of the wafer W is consumed by the etching process. In the plasma etching apparatus 10, when the consumed part such as the focus ring 35 or the like is replaced, the processing chamber 30 is exposed to the atmosphere. In that case, a considerably long time is required for temperature control and moisture control until the etching process for the wafer W is restarted. As a result, the productivity is decreased.
  • Therefore, it may be considered to replace the consumed part without exposing the processing chamber 30 to the atmosphere by replacing the consumed part through a transfer system for transferring a wafer W to the plasma etching apparatus 10. For example, in the substrate processing system 1 shown in FIG. 1, the focus ring 35 may be replaced through the transfer system for transferring the wafer W to the plasma etching apparatus 10, such as the first transfer mechanism 15 in the atmospheric transfer chamber 11, the load-lock chambers 12A and 12B, the second transfer mechanism 16 in the vacuum transfer chamber 13 and the like.
  • However, in the case of replacing the focus ring 35 through the transfer system for transferring the wafer W, the transfer system may be contaminated. For example, the focus ring 35 is subjected to a considerable number of etching processes until it is replaced with a new focus ring, compared to a single wafer W. Therefore, a large number of deposits are adhered to the focus ring 35 during the etching processes. In the case of replacing the focus ring 35, deposits adhered to the second transfer mechanism 16 of the vacuum transfer chamber 13 become a cause of particles. When the particles are generated in the transfer system, it is required to stop the operation of the transfer system and perform a maintenance operation for cleaning. For example, in the substrate processing system 1 shown in FIG. 1, the operation of the entire system is stopped when the operation of the transfer system is stopped to perform the maintenance operation.
  • For example, as shown in FIG. 1, in the substrate processing system 1 in which a plurality of plasma etching apparatuses 10 is connected to the vacuum transfer chamber 13, when all the plasma etching apparatuses 10 are driven, the transfer system consecutively transfers wafers W to the plasma etching apparatuses 10. However, in the substrate processing system 1, if one of the plasma etching apparatuses 10 uses the transfer system to replace the focus ring 35, the other plasma etching apparatuses 10 are stopped.
  • Therefore, in the plasma etching apparatus 10 according to the embodiment, a gate for replacing a consumed part is provided in addition to the first gate 84. For example, as shown in FIG. 2, in the plasma etching apparatus 10, a second gate 95 is provided at an opposite side of the first gate 84 with respect to the mounting table 31 on which the wafer W is mounted. The second gate 95 is an opening greater than a consumed part to be replaced. The second gate 95 is blocked by an adaptor 96 while maintaining airtightness. For example, the second gate 95 has an outer opening greater than an inner opening and an end surface 95A is formed between the outer opening and the inner opening. An O-ring and screw holes are provided at the end surface 95A to be in contact with the adaptor 96 and the adaptor 96 is fixed by screws. The maintenance apparatus 100 to be described later can be attached to and detached from the second gate 95. For example, as shown in FIG. 1, in the plasma etching apparatus 10, the first gate 84 is connected to the transfer system for the wafer W. Therefore, it is difficult to ensure a space near the first gate 84 of the plasma etching apparatus 10, whereas it is easy to ensure a space near the opposite side of the first gate 84. Accordingly, in the plasma etching apparatus 10, the space for the maintenance apparatus 100 can be easily ensured by providing the second gate 95 at the opposite side of the first gate 84. When a consumed part needs to be replaced, an operator loosens the screws fixing the adaptor 95 from the plasma etching apparatus 10 having the consumed part to be replaced. Then, the operator attaches the maintenance apparatus 100 to the plasma etching apparatus 10 having the consumed part to be replaced, as indicated by a broken line in FIG. 1.
  • (Configuration of the Maintenance Apparatus)
  • Next, a configuration of the maintenance apparatus 100 according to the first embodiment will be described. FIG. 3A is a top view schematically showing the maintenance apparatus according to the first embodiment. FIG. 3B is a cross sectional view schematically showing the maintenance apparatus according to the first embodiment. FIG. 3B is a cross sectional view seen from a bottom side of FIG. 3A which is taken along a dashed line 3B-3B in FIG. 3A. FIGS. 3A and 3B show a state in which the maintenance apparatus 100 is attached to the plasma etching apparatus 10. In the following drawings, the plasma etching apparatus 10 is simply illustrated. Hereinafter, the configuration of the maintenance apparatus 100 will be appropriately described along the sequence of replacing the focus ring 35 as a consumed part.
  • The maintenance apparatus 100 includes a case 101 with an opening 101A having a size corresponding to that of the second gate 95 of the plasma etching apparatus 10. An O-ring or the like is provided at a portion around the opening 101A of the case 101 which is brought into contact with the plasma etching apparatus 10. The maintenance apparatus 100 is disposed such that the opening 101A corresponds to the second gate 95 and the opening 101A is fixed to the second gate 95 by screws or the like while maintaining airtightness.
  • A vacuum gauge 101B capable of measuring a degree of vacuum is provided in the case 101. When a consumed part is replaced, an atmosphere in the case 101 of the maintenance apparatus 100 becomes substantially the same as the vacuum atmosphere in the processing chamber 30. For example, the maintenance apparatus 100 is connected to the gas exhaust unit 83 of the plasma etching apparatus 10 through a gas exhaust line (not shown) and a pressure in the case 101 is decreased to a predetermined vacuum level by the gas exhaust unit 83. Alternatively, the maintenance apparatus 100 may include a gas exhaust unit for exhausting the case 101.
  • In the maintenance apparatus 100, the case 101 has therein a maintenance mechanism for performing at least one of an operation of detaching the consumed part in the processing chamber 30, an operation of attaching a replacement part in the processing chamber 30 and an operation of cleaning the processing chamber 30. In the maintenance apparatus 100 of the present embodiment, a robot arm 110, a detaching unit 120 for detaching the focus ring 35, a cleaning unit 130 for cleaning the processing chamber 30 and an attaching unit 140 for attaching a new focus ring in the processing chamber 30 are provided as the maintenance mechanism.
  • In the case 101, supporting tables 102 are arranged at three height levels at a predetermined interval. The detaching unit 120, the cleaning unit 130 and the attaching unit 140 are placed on the three supporting tables 102, respectively. The case 101 is partially openable/closeable, and the detaching unit 120, the cleaning unit 130 and the attaching unit 140 are separately attachable and detachable. In other words, the detaching unit 120, the cleaning unit 130 and the attaching unit 140 can be separately replaced.
  • The robot arm 110 includes an arm unit 111 having three arms connected by joints, a supporting portion 112 for supporting the arm unit 111 and a hand 113 provided at a leading end of the arm unit 111. The robot arm 110 can be extended by linearly stretching the three arms of the arm unit 111 or contracted by folding them. The robot arm 110 can hold an object by using the hand 113. The robot arm 110 is fixed to an elevation table 114 and vertically moved by vertical movement of the elevation table 114. The overall operations of the robot arm 110 and the elevation table 114 are controlled by a control unit (not shown). The control unit includes a user interface for displaying reception of various manipulation instructions or operational states. An operator inputs an operation instruction through the user interface. The operation instruction may separately specify the vertical movement of the supporting tables 102 or the movement of the robot arm 110. Or, the manipulation instruction may specify a series of movements. For example, the operation instruction may specify, as an instruction of detaching the focus ring 35, the vertical movement of the supporting table 102 and a series of movements of the robot arm 110 at the time of detaching the focus ring 35.
  • The maintenance apparatus 100 includes a detaching unit for detaching the adaptor 96 of the plasma etching apparatus 10. For example, in the maintenance apparatus 100, a ball screw 105 and a shaft 106 are provided in parallel at an upper portion of the case 101. The ball screw 105 can be rotated by a handle H1 provided at the outside of the case 101 while maintaining airtightness through, e.g., an O-ring or the like. A linear stage 150 is attached to the ball screw 105 and the shaft 106. An adaptor detaching unit 160 is attached to the linear stage 150. A groove is formed at a portion of the linear stage 150, to which the ball screw 105 is attached, to correspond to the ball screw 105. The linear stage 150 and the adaptor detaching unit 160 are moved along the ball screw 105 and the shaft 106 by the rotation of the ball screw 105.
  • In the case of detaching the adaptor 96, an operator rotates the handle H1 so that the linear stage 150 and the adaptor detaching unit 160 can be moved toward the adaptor 96 as indicated by dashed lines in FIGS. 3A and 3B.
  • Handles H2L and H2R for fixing the adaptor detaching unit 160 to the adaptor 96 are provided at side surfaces of the case 101 near the opening 101A. The handles H2L and H2R can transfer a rotational driving force of the handles H2L and H2R to the adaptor detaching unit 160 when the adaptor detaching unit 160 and the adaptor 96 are brought into contact with each other.
  • Since the processing chamber 30 is in a vacuum state during the etching process, the adaptor 96 is fixed to the processing chamber 30 and may not be easily detached even when setting the case 101 of the maintenance apparatus 100 to a vacuum state. Therefore, detachment mechanisms 170 for detaching the adaptor 96 are respectively provided near both end portions of the adaptor detaching unit 160 of the present embodiment.
  • FIG. 4A explains the detachment of the adaptor. Since the detachment mechanism 170 provided at the handle H2L side has the same configuration as that of the detachment mechanism 170 provided at the handle H2R side, the detachment mechanism 170 provided at the handle H2L side will be described with reference to FIG. 4A. A positioning hole 96A for stably fixing the adaptor detaching unit 160 is formed in an end portion of the adaptor 96. A through-hole 96B is formed in a portion of the adaptor 96 which faces the end surface 95A of the second gate 95. The through-hole 96B has threads and is internal-threaded (female-threaded). A positioning pin 171 is provided at a portion of the adaptor detaching unit 160 which corresponds to the positioning hole 96A. A detaching pin 172 constituting the detachment mechanism 170 is provided at a portion of the adaptor detaching unit 160 which corresponds to the through-hole 96B.
  • The handle H2L is coaxially connected to a protruding engagement portion 181 through a rotation shaft 180. The rotation shaft 180 can be rotated while maintaining airtightness by an O-ring 183 or the like. The protruding engagement portion 181 is rotated by rotating the handle H2L.
  • The detachment mechanism 170 has a recessed engagement portion 173 to be engaged with the protruding engagement portion 181 when the adaptor detaching unit 160 is brought into contact with the adaptor 96 at the same height position as the protruding engagement portion 181.
  • FIG. 4B is a perspective view of the detachment mechanism. In the detachment mechanism 170, a groove 174 is formed in a side surface thereof facing the handle H2L and vertical width of the groove 174 is increased as it goes away from the recessed engagement portion 173. The detachment mechanism 170 can guide the protruding engagement portion 181 to the recessed engagement portion 173 through the groove 174. In the detachment mechanism 170, when the protruding engagement portion 181 and the recessed engaging portion 173 are coaxially engaged, the protruding engagement portion 181 and the recessed engagement portion 173 can rotate together and the rotational driving force of the handle H2L can be transferred to the detachment mechanism 170.
  • A worm gear 175 is coaxially connected to the recessed engagement portion 173. The worm gear 175 is rotatably held by a bearing 176 and rotated by rotation of the recessed engagement portion 173. A wheel 177 is rotated by rotation of the worm gear 175. The detaching pin 172 has an external-threaded (male-threaded) leading end portion 172A and an extensible/contractible shaft 172B. The wheel 177 and the telescopic shaft 172B have a ball spline structure. The telescopic shaft 172B is rotatably held by a bearing 178.
  • In the case of detaching the adaptor 96, an operator rotates the handle H1 so that the linear stage 150 and the adaptor detaching unit 160 can be moved toward the adaptor 96 as indicated by the dashed lines in FIGS. 3A and 3B. When the adaptor detaching unit 160 is brought into contact with the adaptor 96, the positioning pin 171 is insertion-fitted to the positioning hole 96A and the detaching pin 172 is insertion-fitted to the through-hole 96B. Then, the operator rotates the handles H2L and H2R so that the external threads (male threads) of the leading end portion 172A of the detaching pin 172 are further insertion-fitted to the internal threads (female threads) of the through-hole 96B and brought into contact with the end surface 95A while passing through the through-hole 96B. Therefore, the end surface 95A is pressed. Accordingly, even when the adaptor 96 is fixed to the processing chamber 30, it is possible to detach the adaptor 96.
  • When the adaptor 96 is detached, the operator rotates the handle H1 in a reverse direction to that used in the detaching operation so that the linear stage 150 and the adaptor detaching unit 160 can be moved to the original positions. FIGS. 5A and 5B show a state in which the adaptor 96 is detached. FIG. 5A is a top view schematically showing the maintenance apparatus according to the first embodiment. FIG. 5B is a cross sectional view schematically showing the maintenance apparatus according to the first embodiment. FIG. 5B is a cross sectional view seen from a bottom side of FIG. 5A which is taken along a dashed line 5B-5B in FIG. 5A. The detached adaptor 96 is moved to a right side while being held by the adaptor detaching unit 160.
  • Next, an operation of detaching the focus ring 35 will be described. FIGS. 6A and 6B show the operation of detaching the focus ring 35. FIG. 6A is a top view schematically showing the maintenance apparatus according to the first embodiment. FIG. 6B is a cross sectional view schematically showing the maintenance apparatus according to the first embodiment. FIG. 6B is a cross sectional view seen from a bottom side of FIG. 6A which is taken along a dashed line 6B-6B in FIG. 6A.
  • The detaching unit 120 is formed in a disc shape having a size that is substantially the same as that of the focus ring 35. An adhesive layer 121 is formed at an outer peripheral region of a bottom surface of the detaching unit 120 which corresponds to the focus ring 35.
  • In the case of detaching the focus ring 35 of the plasma etching apparatus 10, the elevation table 114 moves to a height position corresponding to the supporting table 102 on which the detaching unit 120 is mounted. The robot arm 110 extends the arm unit 111 toward the detaching unit 120 so that the hand 113 can hold the detaching unit 120. Then, the robot arm 110 contracts the arm unit 111 while holding the detaching unit 120.
  • Next, the elevation table 114 moves to a height position corresponding to the opening 101A as indicated by dashed lines in FIGS. 6A and 6B. The robot arm 110 extends the arm unit 111 toward the opening 101A, and moves the detaching unit 120 to a position above the mounting table 31 through the opening 101A. Then, the robot arm 110 lowers the arm unit 111 so that the detaching unit 120 comes in contact with the mounting table 31. Accordingly, the focus ring 35 mounted on the mounting table 31 is adhered to the detaching unit 120 by the adhesive layer 121.
  • The robot arm 110 detaches the focus ring 35 from the mounting table 31 by lifting the arm unit 111. Then, the robot arm 110 and the elevation table 114 operate in a reverse sequence to that in the case of moving the detaching unit 120 from the supporting table 102 to the position above the mounting table 31. As a consequence, the detaching unit 120 to which the focus ring 35 is adhered is stored in the original supporting table 102.
  • Next, an operation of cleaning the mounting surface 36 d of the mounting table 31 will be described. FIGS. 7A and 7B illustrate the operation of cleaning the mounting surface 36 d of the mounting table 31. FIG. 7A is a top view schematically showing the maintenance apparatus according to the first embodiment. FIG. 7B is a cross sectional view schematically showing the maintenance apparatus according to the first embodiment. FIG. 7B is a cross sectional view seen from a bottom side of FIG. 7A which is taken along a dashed line 7B-7B in FIG. 7A.
  • The cleaning unit 130 is formed in a disc shape having a size that is substantially the same as that of the mounting surface 36 d of the mounting table 31. An adhesive layer 131 is formed on the entire bottom surface of the cleaning unit 130.
  • In the case of cleaning the mounting surface 36 d of the mounting table 31, the elevation table 114 is moved to a height position corresponding to the supporting table 102 on which the cleaning unit 130 is mounted. The robot arm 110 extends the arm unit 111 toward the cleaning unit 130 and holds the cleaning unit 130 through the hand 113. Then, the robot arm 110 contracts the arm unit 111 while holding the cleaning unit 130.
  • Next, the elevation table 114 is moved to a height position corresponding to the opening 101A as indicated by the dashed lines of FIGS. 7A and 7B. The robot arm 110 extends the arm unit 111 toward the opening 101A, and moves the cleaning unit 130 to a position above the mounting table 31 through the opening 101A and then lowers the arm unit 111 so that the cleaning unit 130 comes in contact with the mounting table 31. Accordingly, undesired substances, such as deposits, dust and the like, on the mounting table 31 are adhered to the adhesive layer 131 of the cleaning unit 130. As a result, the mounting table 31 is cleaned.
  • The robot arm 110 separates the cleaning unit 130 from the mounting table 31 by lifting the arm unit 111. Then, the robot arm 110 and the elevation table 114 operate in a reverse sequence to that in the case of moving the cleaning unit 130 from the supporting table 102 to the position above the mounting table 31. As a consequence, the cleaning unit 130 to which the undesired substances are adhered is stored in the original supporting table 102.
  • Next, an operation of attaching a new focus ring 35 to the mounting table 31 will be described. FIGS. 8A and 8B illustrate the operation of attaching a new focus ring 35 to the mounting table 31. FIG. 8A is a top view schematically showing the maintenance apparatus according to the first embodiment. FIG. 8B is a cross sectional view schematically showing the maintenance apparatus according to the first embodiment. FIG. 8B is a cross sectional view seen from a bottom side of FIG. 8A which is taken along a dashed line 8B-8B in FIG. 8A.
  • The attaching unit 140 has substantially the same size as that of the mounding surface 36 d of the mounting table 31 and the focus ring 35 can be attached thereto and detached therefrom. A new focus ring 35 is set to the attaching unit 140. The attaching unit 140 will be described in detail later.
  • In the case of attaching a new focus ring 35 to the mounting table 31, the elevation table 114 is moved to a height position corresponding to the supporting table 102 on which the attaching unit 140 is mounted. The robot arm 110 extends the arm unit 111 toward the attaching unit 140 and holds the attaching unit 140 through the hand 113. Then, the robot arm 110 contracts the arm unit 111 while holding the attaching unit 140.
  • Next, the elevation table 114 is moved to a height position corresponding to the opening 101A as indicated by the dashed lines in FIGS. 8A and 8B. The robot arm 110 extends the arm unit 111 toward the opening 101A, moves the attaching unit 140 to a position above the mounting table 31 through the opening 101A, and then lowers the arm unit 111 so that the attaching unit 140 comes in contact with the mounting table 31.
  • The attaching unit 140 can release the new focus ring 35 when contacting with the mounting table 31.
  • FIG. 9 is a top view schematically showing the attaching unit according to the first embodiment. Positioning pins 141 are provided at a bottom surface of the attaching unit 140. When the attaching unit 140 is mounted on the mounting table 31, the positioning pins 141 are insertion-fitted to positioning holes 36 e formed in the mounting surface 36 d of the mounting table 31. The positioning holes 36 e may be provided at the mounting table for a single purpose. Or, it is also possible to use existing holes, e.g., holes through which lifter pins for vertically moving the wafer W mounted on the mounting table 31 are inserted.
  • The attaching unit 140 includes a contact pin 142 and a pin 143 for releasing the focus ring 35. The pin 143 is in contact with a side surface of the contact pin 142 and biased toward the contact pin 142 by a spring 144. The contact pin 142 has a leading end projecting from the bottom surface of the attaching unit 140. When the attaching unit 140 is mounted on the mounting table 31, the leading end of the contact pin 142 is brought into contact with the mounting table 31 and pressed and put in the attaching unit 140 (see (1) in FIG. 9). A groove 142A is formed at a position on the side surface of the contact pin 142 which corresponds to with the leading end of the pin 143 when the contact pin 142 is put in the attaching unit 140. When the contact pin 142 is put in the attaching unit 140, the leading end of the pin 143 is biased and fitted into the groove 142A of the pin contact 142 by the spring 144 (see (2) in FIG. 9).
  • One end portion of an engaging member 145 is fixed to the pin 143. The other end portion of the engaging member 145 protrudes from the end of the attaching unit 140 by a distance smaller than the depth of the groove 142A. A new focus ring 35 is engaged with a protruding portion 145A of the engaging member 145 protruding from the end of the attaching unit 140. The engaging member 145 is moved as the leading end of the pin 143 is moved into the groove 142A and, thus, the protruding portion 145A does not project from the end of the attaching unit 140 (see (3) in FIG. 9). Accordingly, the engagement between the protruding portion 145A and the new focus ring 35 is released, and the new focus ring 35 is mounted on the mounting table 31 (see (4) in FIG. 9).
  • After the focus ring 35 is mounted on the mounting table 31, the robot arm 110 detaches the attaching unit 140 from the mounting table 31 by lifting the arm unit 111. Then, the robot arm 110 and the elevation table 114 operate in a reverse sequence to that used in the case of moving the attaching unit 140 from the supporting table 102 to the position above the mounting table 31. As a consequence, the attaching unit 140 is stored in the original supporting table 102.
  • After the focus ring 35 is replaced in the above-described manner, the operator attaches the adaptor 96 to the second gate 95 in a reverse sequence to that used in the case of detaching the adaptor 96. Then, the operator returns the pressure in the case 101 of the maintenance apparatus 100 to an atmospheric pressure. FIGS. 10A and 10B show a state in which the replacement of the focus ring 35 is completed. FIG. 10A is a top view schematically showing the maintenance apparatus according to the first embodiment. FIG. 10B is a cross sectional view schematically showing the maintenance apparatus according to the first embodiment. FIG. 10B is a cross sectional view seen from a bottom side of FIG. 10A which is taken along a dashed line 10B-10B in FIG. 10A.
  • In the plasma etching apparatus 10 of the present embodiment, the focus ring 35 which is consumed by the processing of the wafer W is provided in the processing chamber 30. Further, in the plasma etching apparatus 10, the first gate 84 used for loading/unloading the wafer W and the second gate 95 to/from which the maintenance apparatus 100 can be attached/detached are provided in the processing chamber 30. Accordingly, the plasma etching apparatus 10 can replace the focus ring 35 without using the transfer system for transferring a wafer W. As a result, the plasma etching apparatus 10 can replace the focus ring 35 while suppressing contamination of the transfer system for transferring a wafer W.
  • In the plasma etching apparatus 10 of the present embodiment, the second gate 95 is provided at the opposite side of the first gate 84 with respect to the mounting table 31 in the processing chamber 30. Accordingly, the plasma etching apparatus 10 can ensure a space for the maintenance apparatus 100.
  • The maintenance apparatus 100 of the present embodiment includes the case 101 with the opening 101A having a size corresponding to that of the second gate 95 of the plasma etching apparatus 10. The maintenance apparatus 100 can be attached to the second gate 95 while maintaining airtightness. The maintenance apparatus 100 further includes the maintenance mechanism provided in the case 101. The maintenance mechanism performs at least one of the operation of detaching the focus ring 35 in the processing chamber 30 through the opening 101A, the operation of attaching the focus ring 35 in the processing chamber 30 and the operation of cleaning the processing chamber 30. Accordingly, the maintenance apparatus 100 can replace the focus ring 35 without using the transfer system for transferring a wafer W. As a result, the maintenance apparatus 100 can replace the focus ring 35 while suppressing contamination of the transfer system for transferring a wafer W.
  • The maintenance apparatus 100 of the present embodiment further includes the robot arm 110, the detaching unit 120 that can be attached to and detached from the robot arm 110, the attaching unit 140 and the cleaning unit 130. Accordingly, the maintenance apparatus 100 can perform the operation of detaching the focus ring 35 in the processing chamber 30, the operation of attaching the focus ring 35 in the processing chamber 30 and the operation of cleaning the processing chamber 30.
  • The detaching unit 120 of the present embodiment has the adhesive layer 121 on its surface to be in contact with the focus ring 35. Accordingly, the detaching unit 120 can simply detach the focus ring 35 only through the contact with the focus ring 35.
  • In the maintenance apparatus 100 of the present embodiment, the detaching unit 120, the attaching unit 140 and the cleaning unit 130 can be separately provided in and detached from the case 101. Accordingly, the maintenance apparatus 100 can simply replace the detaching unit 120, the attaching unit 140 and the cleaning unit 130. Further, a unit required for a maintenance operation to be performed can be provided to the maintenance apparatus 100.
  • Second Embodiment
  • Hereinafter, a second embodiment will be described. Since the substrate processing system 1 and the plasma etching apparatus 10 according to the second embodiment have the same configurations as those of the substrate processing system 1 and the plasma etching apparatus 10 according to the first embodiment shown in FIGS. 1 and 2, redundant description thereof will be omitted.
  • The configuration of the maintenance apparatus 100 according to the second embodiment will be described. FIG.
  • 11A is a top view schematically showing the maintenance apparatus according to the second embodiment. FIG. 11B is a cross sectional view schematically showing the maintenance apparatus according to the second embodiment. FIG. 11B is a cross sectional view seen from a bottom side of FIG. 11A which is taken along a dashed line 11B-11B in FIG. 11A. FIGS. 11A and 11B show a state in which the maintenance apparatus 100 is attached to the plasma etching apparatus 10. Like or corresponding parts will be indicated by like reference numerals used in the maintenance apparatus 100 according to the first embodiment. Hereinafter, the configuration of the maintenance apparatus 100 will be appropriately described with reference to the sequence of replacing the focus ring 35 as a consumed part.
  • The maintenance apparatus 100 includes a case 101 with an opening 101A having a size corresponding to that of the second gate 95 of the plasma etching apparatus 10. An O-ring or the like is provided at a portion around the opening 101A of the case 101 which is brought into contact with the plasma etching apparatus 10. The maintenance apparatus 100 is attached to the second gate 95 by screw fixing or the like while maintaining airtightness.
  • A vacuum gauge 101B capable of measuring a degree of vacuum is provided to the case 101. When a consumed part is replaced, an atmosphere in the case 101 of the maintenance apparatus 100 becomes substantially the same as the vacuum atmosphere in the processing chamber 30.
  • In the maintenance apparatus 100, the case 101 has therein a maintenance mechanism for performing at least one of an operation of detaching the consumed part in the processing chamber 30, an operation of attaching a replacement part in the processing chamber 30 and an operation of cleaning the processing chamber 30. In the maintenance apparatus 100 of the present embodiment, a manually operated arm 200, a detaching unit 120, an attaching unit 140 and a cleaning unit 130 constitute the maintenance mechanism.
  • For example, the manually operated arm 200, the detaching unit 120, the cleaning unit 130 and the attaching unit 140 are vertically disposed and horizontally arranged side by side near and below the opening 101A in the case 101. The case 101 is partially openable/closeable, and the manually operated arm 200, the detaching unit 120, the cleaning unit 130 and the attaching unit 140 can be separately provided in the case 101 and removed from the case 101. In other words, the manually operated arm 200, the detaching unit 120, the cleaning unit 130 and the attaching unit 140 can be separately replaced.
  • In the maintenance apparatus 100, a ball screw 105 and a shaft 106 are disposed in parallel at an upper portion in the case 101. The ball screw 105 can be rotated by a handle H1 provided at the outside of the case 101 while maintaining airtightness through, e.g., an O-ring or the like. A linear stage 150 is attached to the ball screw 105 and the shaft 106. An adaptor detaching unit 160 is detachably attached to the linear stage 150. In an initial state of the maintenance operation, the adaptor detaching unit 160 is attached to the linear stage 150.
  • FIG. 12A explains a configuration for attaching/detaching the adaptor detaching unit to/from the linear stage. The linear stage 150 is provided with two rods 151 which are spaced apart from each other at a predetermined interval. Connection units 161 to be connected to the two rods 152 are provided at portions of the adaptor detaching unit 160 which correspond to the two rods 151.
  • FIG. 12B is a perspective view schematically showing the connection unit 161. FIG. 12C is a cross sectional view schematically showing the connection unit 161. In the connection unit 161, two connection members 161A and 161B, each of which has a recessed portion 162, are arranged with the recessed portions 162 facing each other. The recessed portions 162 of the connection members 161A and 161B are slightly smaller than a radius of the rod 151. The connection member 161A has screw holes 163A and is fixed to the adaptor detaching unit 160 by screws 163B. The connection member 161B has screw holes 164A and is fixed to the adaptor detaching unit 160 by screws 164B. The screw holes 164A are elongated along the arrangement direction of the connection members 161A and 161B. The connection member 161B is fixed to be movable back and forth along the arrangement direction of the connection members 161A and 161B. The connection unit 161 includes a ball screw 166 rotatably supported by a supporting portion 165. The ball screw 166 has one end engaged with a recessed engaging portion 167 and the other end in contact with the connection member 161B.
  • In the connection unit 161, the gap between the connection member 161A and the connection member 161B can be changed by rotating the recessed engaging portion 167 to bias the connection member 161B through the ball screw 166. The connection unit 161 is connected to the rod 151 by providing the rod 151 in the recessed portions 162 of the connection members 161A and 161B and clamping the rod 151 with the connection members 161A and 161B by narrowing the gap between the connection members 161A and 161B by rotating the recessed engaging portion 167. It is preferable to set a diameter of the rod 151 to be slightly greater at a lower portion than at a portion in contact with the connection members 161A and 161B in order to prevent the connection unit 161 from being removed from the rod 151.
  • Referring back to FIGS. 11A and 11B, a hole is formed in a portion of the linear stage 150 to correspond to the ball screw 105. The linear stage 150 and the adaptor detaching unit 160 are moved along the ball screw 105 and the shaft 106 by the rotation of the ball screw 105. The adaptor detaching unit 160 is provided with the detachment mechanism 170.
  • When detaching the adaptor 96, the operator rotates the handle H1 so that the linear stage 150 and the adaptor detaching unit 160 is moved to the adaptor 96 as indicated by broken lines in FIGS. 11A and 11B. Then, the operator rotates the handles H2L and H2R so that the adaptor 96 can be detached from the processing chamber 30 by the detachment mechanism 170.
  • After the adaptor 96 is detached, the operator rotates the handle H1 in a reverse direction to the rotation direction in the detaching operation so that the linear stage 150 and the adaptor detaching unit 160 is moved to the original positions. FIGS. 13A and 13B show a state in which the adaptor 96 is detached. FIG. 13A is a top view schematically showing a maintenance apparatus according to the second embodiment. FIG. 13B is a cross sectional view schematically showing the maintenance apparatus according to the second embodiment. FIG. 13B is a cross sectional view seen from a bottom side of FIG. 13A which is taken along the dashed line 13B-13B in FIG. 13A. The detached adaptor 96 is moved to the right side while being held by the adaptor detaching unit 160.
  • Handles H3L and H3R for attaching/detaching the adaptor detaching unit 160 to/from the linear stage 150 are provided at a side surface of the case 101 which is opposite to the side where the opening 101A is formed. The handle H3L is coaxially connected to a projecting engaging portion 191L through a rotation shaft 190L. The handle H3R is connected to a projected engaging portion 191R through a rotation shaft 190R. The rotation shafts 190L and 190R can be rotated while maintaining airtightness by an O-ring or the like. The projecting engaging portion 191L is rotated by rotating the handle H3L. The projecting engaging portion 191R is rotated by rotating the handle H4R. When the linear stage 150 is located at the right end, the handle H3L, the rotation shaft 190L and the projecting engaging portion 191L are engaged with the recessed engaging portion 167 of the connection unit 161 of the adaptor detaching unit 160 at an upper portion in FIG. 13A. When the linear stage 150 is located at the right end, the handle H3R, the rotation shaft 190R and the projecting engaging portion 191R are engaged with the recessed engaging portion 167 of the connection unit 161 of the adaptor detaching unit 160 at a lower portion in FIG. 13A.
  • When the linear stage 150 is located at the right end, the projecting engaging portions 191L and 191R are engaged with the recessed engaging portion 167. Hence, the connection unit 161 can be manipulated by rotating the handles H3L and H3R, and the adaptor detaching unit 160 can be detached from the linear stage 150.
  • An adaptor support 210 is provided at a right bottom portion of the maintenance apparatus 100. FIG. 14 is a perspective view schematically showing the adaptor support 210. The adaptor support 210 is formed in a flat plate shape and has a recess 211 formed to correspond to a protrusion on the bottom surface of the adaptor detaching unit 160 and the adaptor 96 so that adaptor 96 and the adaptor detaching unit 160 can be horizontally supported. The recess 211 may be formed through the adaptor support 210. In the example shown in FIG. 14, the recess 211 penetrates through the adaptor support 210.
  • The adaptor support 210 can be vertically moved along a rail 212 vertically formed on an inner surface of the case 101 by manipulating a handle (not shown). The operator manipulates the handle (not shown) so that the adaptor support 210 can be lifted to support the adaptor 96 and the adaptor detaching unit 160. Then, the operator rotates the handles H3L and H3R so that the adaptor detaching unit 160 can be detached from the linear stage 150. Then, the operator manipulates the handle (not shown) so that the adaptor support 210 can be lowered and the adaptor 96 and the adaptor detaching unit 160 can be moved to the bottom portion of the case 101.
  • In the maintenance apparatus 100 according to the second embodiment, the manually operated arm 200 is shared by the detaching unit 120, the attaching unit 140 and the cleaning unit 130. In the maintenance apparatus 100 according to the second embodiment, the manually operated arm 200 is firstly attached to the linear stage 150 in the case of using the detaching unit 120, the attaching unit 140 and the cleaning unit 130.
  • Next, the operation of attaching the manually operated arm 200 to the linear stage 150 will be described. FIGS. 15A and 15B illustrate the operation of attaching the manually operated arm 200 to the linear stage 150. FIG. 15A is a top view schematically showing the maintenance apparatus according to the second embodiment. FIG. 15B is a cross sectional view schematically showing the maintenance apparatus according to the second embodiment. FIG. 15B is a cross sectional view seen from a bottom side of FIG. 15A which is taken along a dashed line 15B-15B in FIG. 15A.
  • The manually operated arm 200 disposed vertically is rotated to be disposed horizontally by a transfer mechanism (not shown) (see (1) in FIG. 15B) and transferred to a position below the linear stage 150 (see (2) in FIG. 15B). The manually operated arm 200 is provided with a connection unit 201 that is the same as the connection unit 161 of the adaptor detaching unit 160. The projecting engaging portions 191L and 191R are engaged with the connection unit 201 provided at the manually operated arm 200. The operator rotates the handles H3L and H3R to attach the manually operated arm 200 to the linear stage 150.
  • Next, the operation of detaching the focus ring 35 will be described. When detaching the focus ring 35, the detaching unit 120 is attached to the manually operated arm 200. FIGS. 16A and 16B show the operation of attaching the detaching unit 120 to the manually operated arm 200. FIG. 16A is a top view schematically showing the maintenance apparatus according to the second embodiment. FIG. 16B is a cross sectional view schematically showing the maintenance apparatus according to the second embodiment. FIG. 16B is a cross sectional view seen from a bottom side of FIG. 16A which is taken along a dashed line 16B-16B in FIG. 16A.
  • The detaching unit 120 disposed vertically is rotated to be disposed horizontally by the transfer mechanism (not shown) (see (1) in FIG. 16B) and transferred to a position below the manually operated arm 200 (see (2) to (4) in FIG. 16B). The passive arm 200 has an attaching unit 220 for detachably attaching a unit. For example, the attaching unit 220 has a vertical rotation shaft, and an engaging portion 221 such as a bevel gear or the like is provided at an upper end of the rotation shaft.
  • A handle H4 is provided on a top surface of the case 101. The handle H4 is coaxially connected to an engaging portion 231, e.g., a bevel gear or the like, through a rotation shaft 230. The rotation shaft 230 can be rotated while maintaining airtightness by an O-ring or the like. The engaging portion 231 is rotated by rotating the handle H4. The handle H4, the rotation shaft 230 and the engaging portion 231 are disposed at a position where the engaging portion 221 and the engaging portion 231 are engaged when the linear stage 150 attached with the manually operated arm 200 is located at the right end.
  • When the linear stage 150 is located at the right end, the engaging portion 221 is engaged with the engaging portion 231. Accordingly, when the handle H4 is rotated, the rotation shaft of the attaching unit 220 is rotated through the rotation shaft 230, the engaging portion 231 and the engaging portion 221 and, thus, the attaching unit 220 can be manipulated. The attaching unit 220 is provided with a holding mechanism for detachably holding a unit by the rotation of the rotation shaft. The holding mechanism may have any structure as long as it can detachably hold a unit. For example, when a holding portion such as a pin or the like is provided at a center of a disc-shaped unit, the attaching unit 220 holds the holding portion.
  • The operator rotates the handle H4 to attach the detaching unit 120 to the manually operated arm 200. Then, the operator rotates the handle H1 so that the linear stage 150 and the manually operated arm 200 can be moved toward the adaptor 96. FIGS. 17A and 17B show a state in which the linear stage 150 and the manually operated arm 200 are moved to the left end toward the adaptor 96. FIG. 17A is a top view schematically showing the maintenance apparatus according to the second embodiment. FIG. 17B is a cross sectional view schematically showing the maintenance apparatus according to the second embodiment. Further, FIG. 17B is a cross sectional view seen from a bottom side of FIG. 17A which is taken along a dashed line 17B-17B in FIG. 17A.
  • The manually operated arm 200 has a flat plate-shaped base portion 240, a head portion 250 provided with the attaching unit 220, and an extensible/contractible mechanism 260 for extending/contracting the head portion 250 to/from the base portion 240. For example, the manually operated arm 200 has, as the extensible/contractible mechanism 260, a ball screw 262 rotatably supported by the base portion 240. An end portion of the ball screw 262 is coaxially connected to an engaging portion 265, e.g., a bevel gear or the like. The engaging portion 265 is engaged with the engaging portion 231 when the linear stage 150 and the manually operated arm 200 are located at the left end at the side of the adaptor 96.
  • The engaging portion 265 is engaged with the engaging portion 231 when the linear stage 150 and the manually operated arm 200 are located at the left end at the side of the adaptor 96. Accordingly, when the handle H4 is rotated, the ball screw 262 is rotated. To the ball screw 262, a supporting member 263 having a groove formed to correspond to the ball screw 262 is attached. The supporting member 263 has a guide hole 264 formed in parallel with the ball screw 262. The supporting member 263 is fixed to the head portion 250 through the guide hole 264. Accordingly, when the ball screw 262 is rotated, the supporting member 263 and the head portion 250 are moved along the ball screw 26. In the manually operated arm 200, when the ball screw 262 is rotated, the head portion 250 is moved toward and away from the base portion 240 by the extensible/contractible mechanism 260.
  • In the case of detaching the focus ring 35, the operator rotates the handle H4 to extend the head portion 250 from the base portion 240. FIGS. 18A and 18B show a state in which the head portion 250 is extended from the base portion 240. FIG. 18A is a top view schematically showing the maintenance apparatus according to the second embodiment. FIG. 18B is a cross sectional view schematically showing the maintenance apparatus according to the second embodiment. FIG. 18B is a cross sectional view seen from a bottom side of FIG. 18A which is taken along a dashed line A-A in FIG. 18A.
  • The manually operated arm 200 has an elevation mechanism 270 for vertically moving the head portion 250.
  • The manually operated arm 200 has, e.g., a rotatably supported rotation shaft 272 extending vertically. An engaging portion 273, e.g., a bevel gear or the like, is provided at an upper end portion of the rotation shaft 272. A pulley 274 is provided at the rotation shaft 272 and rotated by the rotation of the rotation shaft 272. The head portion 250 is provided with a cylindrical rotation shaft 275 extending vertically and coaxially around the rotation shaft of the attaching unit 220. A pulley 276 is provided at the rotation shaft 275. A belt 277 is wound around the pulleys 274 and 276. A driving force is transferred by the belt 277. The pulley 276 is rotated by the rotation of the pulley 274. The rotation of the pulley 276 leads to the rotation of the rotation shaft 275 and, thus, the base portion 240 is vertically moved.
  • A handle H5 is provided on a top surface of the case 101. The handle H5 is coaxially connected to an engaging portion 281, e.g., a bevel gear or the like, through a rotation shaft 280. The rotation shaft 280 can be rotated while maintaining airtightness by an O-ring or the like. The engaging portion 281 is rotated by rotating the handle H5. The handle H5, the rotation shaft 280 and the engaging portion 281 are disposed at a position where the engaging portion 273 and the engaging portion 281 are engaged when the head portion 250 is extended from the base portion 240 to a position above the mounting table 31.
  • When the head portion 250 is extended from the base portion 240 to the position above the mounting table 31, the engaging portion 273 is engaged with the engaging portion 281. Accordingly, when the handle H5 is rotated, the driving force is transferred to the head portion 250 through the rotation shaft 280, the engaging portion 281, the engaging portion 273, the pulley 274, the belt 277, the pulley 276 and the rotation shaft 275 and, thus, the base portion 240 is vertically moved.
  • In the case of detaching the focus ring 35, the operator rotates the handle H5 to lower the base portion 240 so that the detaching unit 120 comes in contact with the mounting table 31. FIG. 19 shows a state in which the detaching unit 120 is brought into contact with the mounting table 31. FIG. 19 is a cross sectional view schematically showing the maintenance apparatus according to the second embodiment. The focus ring 35 mounted on the mounting table 31 is adhered to the detaching unit 120 by the adhesive layer 121. Then, the operator manipulates the handles H1, H4 and H5, in a reverse sequence to that in the case of moving the detaching unit 120 to the position above the mounting table 31, to move the manually operated arm 200 and the detaching unit 120 to the position shown in (4) in FIG. 16B. Then, the operator manipulates the handle H4 to detach the detaching unit 120 from the manually operated arm 200. The detaching unit 120 detached from the manually operated arm 200 is transferred to a side surface of the case 101, which is opposite to the side where the opening 101A is formed, by the transfer mechanism (not shown).
  • FIG. 20 shows the operation of transferring the detached detaching unit 120. FIG. 20 is a cross sectional view schematically showing the maintenance apparatus according to the second embodiment. For example, the detaching unit 120 detached from the manually operated arm 200 is lowered by the transfer mechanism (not shown) (see (1) in FIG. 20), rotated to be disposed vertically (see (2) in FIG. 20), and transferred as a processed unit to a side surface of the case 101 which is opposite to the side where the opening 101A is formed (see (3) in FIG. 20).
  • Next, the operation of cleaning the mounting surface 36 d of the mounting table 31 will be described. In the case of cleaning the mounting surface 36 d of the mounting table 31, the cleaning unit 130 is attached to the manually operated arm 200. FIG. 21 shows the operation of attaching the cleaning unit 130 to the manually operated arm 200. FIG. 21 is a cross sectional view schematically showing the maintenance apparatus according to the second embodiment.
  • The cleaning unit 130 disposed vertically is rotated to be disposed horizontally by a transfer mechanism (not shown) (see (1) in FIG. 21) and transferred to a position below the manually operated arm 200 (see (2) to (4) in FIG. 21).
  • The operator rotates the handle H4 to attach the cleaning unit 130 to the manually operated arm 200. Then, as in the case of detaching the focus ring 35, the operator manipulates the hands H1, H4 and H5 so that the cleaning unit 130 is transferred to a position above the mounting table 31 and then lowered and brought into contact with mounting table 31. Then, the operator manipulates the handles H1 and H5 in a reverse sequence to that used in the case of moving the cleaning unit 130 to the position above the mounting table 31 to move the manually operated arm 200 and the cleaning unit 130 to the position indicated as (4) in FIG. 21. Then, the operator manipulates the handle H4 to detach the cleaning unit 130 from the manually operated arm 200. The cleaning unit 130 detached from the manually operated arm 200 is transferred to a side surface of the case 101, which is opposite to the side surface where the opening 101A is formed, by the transfer mechanism (not shown).
  • Next, the operation of attaching a new focus ring 35 to the mounting table 31 will be described. In the case of attaching a new focus ring 35 to the mounting table 31, the attaching unit 140 is attached to the manually operated arm 200. FIG. 22 shows the operation of attaching the attaching unit 140 to the manually operated arm 200. FIG. 22 is a cross sectional view schematically showing the maintenance apparatus according to the second embodiment. In FIG. 22, the cleaning unit 130 is transferred to a position near the side surface of the case 101 which is opposite to the opening 101A and and disposed side by side with the detaching unit 120. Thus, the cleaning unit 130 and the detaching unit 120 are disposed side by side.
  • The attaching unit 140 disposed vertically is rotated to be disposed horizontally by the transfer mechanism (not shown) (see (1) in FIG. 22) and transferred to a position below the manually operated arm 200 (see (2) to (4) in FIG. 22).
  • The operator rotates the handle H4 to attach the attaching unit 140 to the manually operated arm 200. Then, as in the case of detaching the focus ring 35, the operator manipulates the handles H1, H4 and H5 so that the attaching unit 140 is transferred to the position above the mounting table 31 and then lowered and brought into contact with the mounting table 31. The attaching unit 140 in contact with the mounting table 31 releases the new focus ring 35. Accordingly, the new focus ring 35 is mounted on the mounting table 31. Then, the operator manipulates the handles H4, H5 in a reverse sequence to that used in the case of moving the attaching unit 140 to the position above the mounting table 31 to move the manually operated arm 200 and the attaching unit 140 to the position indicated as (4) in FIG. 22. Then, the operator manipulates the handle H4 to detach the attaching unit 140 from the manually operated arm 200. The attaching unit 140 detached from the manually operated arm 200 is transferred to a side position in the case 101 which is opposite to the opening 101A by the transfer mechanism (not shown).
  • In the case of attaching the adaptor 96 to the opening 101A, the operator rotates the handles H3L and H3R to detach the manually operated arm 200 from the linear stage 150. The manually operated arm 200 detached from the linear stage 150 is transferred to a side position in the case 101 near the opening 101A by the transfer mechanism (not shown). The detaching unit 120, the cleaning unit 130 and the attaching unit 140 disposed at the opposite side to of the side surface where the opening 101A is formed are also transferred to a side position in the case 101 near the opening 101A by the transfer mechanism (not shown).
  • The operator attaches the adaptor 96 to the second gate 95 in a reverse sequence to that used in the case of detaching the adaptor 96. Then, the operator returns a pressure in the case 101 of the maintenance apparatus 100 to an atmospheric pressure.
  • In the maintenance apparatus 100 of the present embodiment, the focus ring 35 can be replaced without using the transfer system for transferring a wafer W, as in the case of the maintenance apparatus 100 of the first embodiment.
  • Third Embodiment
  • Hereinafter, a third embodiment will be described. A substrate processing system 1 and a plasma etching apparatus according to the third embodiment have the same configurations as those of the substrate processing system 1 and the plasma etching apparatus 10 according to the first embodiment shown in FIGS. 1 and 2. Therefore, redundant description thereof will be omitted.
  • The configuration of the maintenance apparatus 100 according to the third embodiment will be described. FIG. 23 is a cross sectional view schematically showing the maintenance apparatus according to the third embodiment. Like or corresponding parts will be indicated by like reference numerals used in the maintenance apparatuses 100 according to the first and the second embodiment.
  • The maintenance apparatus 100 includes a case 101 with an opening 101A having a size corresponding to that of the second gate 95 of the plasma etching apparatus 10. An O-ring or the like is provided at a portion around the opening 101A of the case 101 which is brought into contact with the plasma etching apparatus 10. The maintenance apparatus 100 is attached to the second gate 95 by screw fixing or the like while maintaining airtightness.
  • A vacuum gauge 101B capable of measuring a degree of vacuum is provided in the case 101. When a consumed part is replaced, an atmosphere in the case 101 of the maintenance apparatus 100 becomes substantially the same as the vacuum atmosphere in the processing chamber 30.
  • In the maintenance apparatus 100, the case 101 has therein a maintenance mechanism for performing at least one of an operation of detaching the consumed part in the processing chamber 30, an operation of attaching a replacement part in the processing chamber 30 and an operation of cleaning the processing chamber 30. In the maintenance apparatus 100 of the present embodiment, a manually operated arm 200, a detaching unit 120, a cleaning unit 130 and an attaching unit 140 constitute the maintenance mechanism. The manually operated arm 200 is previously attached to the detaching unit 120.
  • In the case 101, supporting tables 102 are arranged at three height levels at a predetermined interval near the opening 101A. The detaching unit 120 attached with the manually operated arm 200, the cleaning unit 130 and the attaching unit 140 are mounted on the supporting tables 102, respectively. The case 101 is partially openable/closeable, and the detaching unit 120, the cleaning unit 130 and the attaching unit 140 can be separately attached and detached. In other words, the detaching unit 120, the cleaning unit 130 and the attaching unit 140 can be separately replaced.
  • In the maintenance apparatus 100, a ball screw 105 and a shaft (not shown) are provided in parallel at an upper portion in the case 101. A linear stage 150 is attached to the ball screw 105 and the shaft (not shown). An adaptor detaching unit 160 is attached to the linear stage 150.
  • In the maintenance apparatus 100, an elevation table 114 is provided in a lower portion at a side in the case 101 which is opposite to the opening 101A.
  • The maintenance apparatus 100 performs the maintenance of the plasma etching apparatus 10 by attaching the respective units to the linear stage 150 by using the elevation table 114.
  • For example, in the case of detaching the adaptor 96, the operator rotates the handle H1 to move that the linear stage 150 and the adaptor detaching unit 160 toward the adaptor 96 as indicated by a dashed line in FIG. 23. For example, the adaptor 96 is detached by performing the same operation as that in the second embodiment.
  • For example, in the case of detaching the focus ring 35, the detaching unit 120 is attached to the linear stage 150 by using the elevation table 114. FIG. 24 shows the operation of detaching the focus ring 35. FIG. 24 is a cross sectional view schematically showing the maintenance apparatus according to the third embodiment. For example, the adaptor 96 is detached as shown in FIG. 24 by performing the same operation as that in the second embodiment.
  • In the maintenance apparatus 100 according to the third embodiment, the focus ring 35 can be replaced without using the transfer system for transferring a wafer W, as in the case of the maintenance apparatus 100 according to the first and the second embodiment.
  • While the embodiments of the present disclosure have been described, the technical scope of the present disclosure is not limited to the above-described embodiments. It is obvious to those skilled in the art that various modifications and changes can be made. Any such modifications and changes may be included within the technical scope of the present disclosure as defined by the following claims.
  • For example, in the first to the third embodiment, the case of replacing the focus ring 34 as the consumed part has been described. However, the consumed part is not limited thereto. The maintenance apparatus 100 may also be used for the maintenance such as cleaning of the processing chamber 30 or the like, other than the replacement of the consumed part.
  • In the first to the third embodiment, the case of using the maintenance apparatus 100 for maintenance of the plasma etching apparatus 10 has been described. However, the maintenance apparatus 100 may be used for maintenance of any apparatus having a processing chamber in a vacuum state without being limited thereto.
  • In the first to the third embodiment, the example in which the case 101 is an inseparable container has been described. However, the case 101 of the first to the third embodiment is not limited thereto and may be formed by stacking a plurality of frames including a frame in which a unit can be accommodated. FIG. 25 is a perspective view schematically showing an example of a frame. A frame 300 shown in FIG. 25 has a rectangular shape with an open top and an open bottom. A rail 301 to be coupled with a unit is formed on an inner side surface of the frame 300. The unit can be coupled to and held by the rail 301. A recess 302 for positioning at the time of stacking the frames 300 is formed on a top surface of each of four corners of the frame 300. A protrusion corresponding to the recess 302 is formed on a bottom surface of each of the four corners of the frame 300. The case 101 may be formed by stacking a plurality of frames 300. FIG. 26 is a perspective view schematically showing an example of a case formed by stacking a plurality of frames. The case 101 shown in FIG. 26 is formed by stacking three frames 300 (300A to 300C). A top surface of the uppermost frame 300A is sealed by a ceiling plate. A bottom surface of the lowermost frame 300A is sealed by a bottom plate. As for the uppermost frame 300A, it is possible to use a box-shaped frame with a closed top and an open bottom. As for the lowermost frame 300C, it is possible to use a box-shaped frame with an open top and a closed bottom. The frame 300 may be made of any material as long as it ensures a strength against a vacuum atmosphere that is substantially the same as that in the processing chamber 30. For example, the frame 300 may be made of resin or the like. An opening 101A may be formed at the uppermost frame 300. Or, a frame 300 having an opening 101A may be coupled. By using the case 101 in which a plurality of frames 300 is stacked, it is possible to easily change a unit in response to a maintenance operation to be performed by the maintenance apparatus 100. In the maintenance apparatus 100, the case 101 can only have frames for units used for the maintenance operation and, thus, the size of the case 101 can be reduced in accordance with the maintenance operation to be performed. For example, in the case of performing only the cleaning of the processing chamber 30, a ball screw 105 and a shaft 106 are provided in parallel and the linear stage 140 attached with the adaptor detaching unit 160 is accommodated in the frame 300A. The manually operated arm 200 and the cleaning unit 130 are accommodated in the frame 300B. The elevation table 114 is accommodated in the frame 300C. With the configuration in which the frames 300A to 300C are stacked, the maintenance apparatus 100 can perform the cleaning of the processing chamber 30 only. In addition, the maintenance apparatus 100 can be easily transferred on the basis of the case 101.
  • In the first to the third embodiment, the case in which the operator rotates the handle to move the unit or to detach the adaptor 96 has been described. However, the maintenance apparatus 100 may perform all the maintenance operations by using, e.g., a driving force of an actuator such as a motor or the like. Or, the maintenance apparatus 100 may perform all the maintenance operations by using a driving force of an operator.
  • In the first to the third embodiment, the case in which the second gate 95 of the plasma etching apparatus 10 is blocked by the adaptor 96 while maintaining airtightness has been described. However, a gate valve G may be openably/closeably provided at the second gate 95 of the plasma etching apparatus 10. In that case, the maintenance apparatus 100 does not require a unit for detaching the adaptor 96.
  • While the present disclosure has been shown and described with respect to the embodiments, it will be understood by those skilled in the art that various changes and modifications may be made without departing from the scope of the present disclosure as defined in the following claims.

Claims (17)

What is claimed is:
1. A maintenance apparatus, comprising,
a case detachably connectable to a plasma processing apparatus; and
a maintenance mechanism configured to selectively perform one of maintenance operations when the case is connected to the plasma processing apparatus, the maintenance mechanism including:
a robot arm; and
a plurality of maintenance units designed to perform the respective maintenance operations, one of the plurality of maintenance units being selectively held by the robot arm to perform the corresponding maintenance operation, the plurality of maintenance units including a cleaning unit designed to clean an inside of a chamber of the plasma processing apparatus.
2. The maintenance apparatus of claim 1, wherein the plurality of maintenance units further includes one or more replacement units designed to replace a used consumable part with a new consumable part in the chamber.
3. The maintenance apparatus of claim 2, wherein the one or more replacement units includes a detaching unit designed to detach the used consumable part in the chamber, and an attaching unit designed to attach the new consumable part in the chamber.
4. The maintenance apparatus of claim 1, wherein the plurality of maintenance units further includes one or more replacement units designed to replace a used focus ring with a new focus ring in the chamber.
5. The maintenance apparatus of claim 4, wherein the one or more replacement units includes a detaching unit designed to detach the used focus ring from a wafer stage in the chamber, and an attaching unit designed to attach the new consumable part to the wafer stage in the chamber.
6. The maintenance apparatus of claim 5, wherein the detaching unit has an adhesive layer designed to contact with the used focus ring on the wafer stage.
7. The maintenance apparatus of claim 1, wherein the cleaning unit is designed to clean a wafer stage in the chamber.
8. The maintenance apparatus of claim 7, wherein the cleaning unit has an adhesive layer designed to contact with a surface of the wafer stage.
9. The maintenance apparatus of claim 1, further comprising an elevation table, wherein the robot arm is fixed to the elevation table.
10. The maintenance apparatus of claim 1, wherein the case is detachably connectable to the plasma processing apparatus at an opposite side of a first gate between the chamber and a wafer transfer system.
11. The maintenance apparatus of claim 10, wherein the maintenance mechanism is configured to selectively perform one of the maintenance operations through a second gate disposed at an opposite side of the first gate.
12. The maintenance apparatus of claim 11, wherein a size of the second gate is greater than a size of the first gate.
13. The maintenance apparatus of claim 11, wherein one of the maintenance operations is selectively performed without exposing the chamber to an atmosphere.
14. The maintenance apparatus of claim 13, wherein the case is connectable to the plasma processing apparatus while maintaining airtightness.
15. The maintenance apparatus of claim 14, wherein the case is connectable to a vacuum pump of the plasma processing apparatus.
16. The maintenance apparatus of claim 14, further comprising a vacuum pump connected to the case.
17. The maintenance apparatus of claim 1, further comprising a plurality of supporting tables configured to store the plurality of maintenance units in the case.
US17/703,503 2017-02-16 2022-03-24 Vacuum processing apparatus and maintenance apparatus Pending US20220216035A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/703,503 US20220216035A1 (en) 2017-02-16 2022-03-24 Vacuum processing apparatus and maintenance apparatus

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2017026524A JP6812264B2 (en) 2017-02-16 2017-02-16 Vacuum processing equipment and maintenance equipment
JP2017-026524 2017-02-16
US15/897,228 US11309168B2 (en) 2017-02-16 2018-02-15 Vacuum processing apparatus and maintenance apparatus
US17/703,503 US20220216035A1 (en) 2017-02-16 2022-03-24 Vacuum processing apparatus and maintenance apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/897,228 Continuation US11309168B2 (en) 2017-02-16 2018-02-15 Vacuum processing apparatus and maintenance apparatus

Publications (1)

Publication Number Publication Date
US20220216035A1 true US20220216035A1 (en) 2022-07-07

Family

ID=63104815

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/897,228 Active 2038-10-20 US11309168B2 (en) 2017-02-16 2018-02-15 Vacuum processing apparatus and maintenance apparatus
US17/703,503 Pending US20220216035A1 (en) 2017-02-16 2022-03-24 Vacuum processing apparatus and maintenance apparatus

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US15/897,228 Active 2038-10-20 US11309168B2 (en) 2017-02-16 2018-02-15 Vacuum processing apparatus and maintenance apparatus

Country Status (5)

Country Link
US (2) US11309168B2 (en)
JP (1) JP6812264B2 (en)
KR (2) KR102517504B1 (en)
CN (2) CN108447760B (en)
TW (2) TWI799406B (en)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108369922B (en) 2016-01-26 2023-03-21 应用材料公司 Wafer edge ring lifting solution
JP6635888B2 (en) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 Plasma processing system
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6797079B2 (en) * 2017-06-06 2020-12-09 東京エレクトロン株式会社 Plasma processing equipment, plasma control method, and plasma control program
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP7154986B2 (en) * 2018-12-11 2022-10-18 平田機工株式会社 Substrate transfer device and substrate transfer system
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
CN112103166A (en) * 2019-06-18 2020-12-18 东京毅力科创株式会社 Substrate processing apparatus
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
JP7365878B2 (en) * 2019-12-06 2023-10-20 東京エレクトロン株式会社 Measuring device and method
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
JP7419154B2 (en) 2020-05-01 2024-01-22 東京エレクトロン株式会社 Parts replacement system and equipment
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
JP7409976B2 (en) * 2020-06-22 2024-01-09 東京エレクトロン株式会社 How to replace plasma processing system, plasma processing equipment and edge ring
JP2022025428A (en) * 2020-07-29 2022-02-10 株式会社Screenホールディングス Substrate processing apparatus and substrate transfer method
WO2022138847A1 (en) * 2020-12-25 2022-06-30 東京エレクトロン株式会社 Maintenance device, vacuum processing system, and maintenance method
JP2022111771A (en) * 2021-01-20 2022-08-01 東京エレクトロン株式会社 Plasma processing system and plasma processing method
JP2022185689A (en) * 2021-06-03 2022-12-15 東京エレクトロン株式会社 Component replacement method, component replacement device, and component replacement system
TWI804373B (en) * 2022-07-01 2023-06-01 天虹科技股份有限公司 Carrying mechanism with adjustable alignment devices and deposition machine thereof

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060260648A1 (en) * 2005-05-13 2006-11-23 King Yuan Electronics Co., Ltd. Apparatus and method of automatically cleaning a pick-up head
US8006340B2 (en) * 2008-08-26 2011-08-30 Hitachi High-Technologies Corporation Cleaning apparatus
US8764907B2 (en) * 2005-03-28 2014-07-01 Lam Research Corporation Servicing a plasma processing system with a robot
US20150262854A1 (en) * 2012-11-30 2015-09-17 Rorez Systems Corporation Wafer etching system and wafer etching process using the same
US20170117172A1 (en) * 2015-10-22 2017-04-27 Lam Research Corporation Automated Replacement of Consumable Parts Using Interfacing Chambers

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4838990A (en) * 1987-07-16 1989-06-13 Texas Instruments Incorporated Method for plasma etching tungsten
JP2905857B2 (en) * 1989-08-11 1999-06-14 東京エレクトロン株式会社 Vertical processing equipment
US5218459A (en) * 1991-09-16 1993-06-08 Eastman Kodak Company Print scanner with soft key variable magnification
JPH06267858A (en) * 1993-03-11 1994-09-22 Canon Inc Vacuum film forming apparatus
US5855679A (en) * 1995-03-30 1999-01-05 Nec Corporation Semiconductor manufacturing apparatus
JP2778574B2 (en) * 1995-03-30 1998-07-23 日本電気株式会社 Semiconductor manufacturing equipment
JP3380652B2 (en) * 1995-05-26 2003-02-24 東京エレクトロン株式会社 Processing equipment
JPH0931656A (en) * 1995-07-24 1997-02-04 Ebara Corp Thin film vapor growth apparatus
US6098637A (en) * 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
JP3954287B2 (en) * 1999-06-28 2007-08-08 東京エレクトロン株式会社 Wafer carrier lid attachment / detachment device
JP2002110548A (en) * 2000-09-27 2002-04-12 Hitachi Kokusai Electric Inc Method for attaching or detaching reaction furnace chamber
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
JP4100466B2 (en) * 2000-12-25 2008-06-11 東京エレクトロン株式会社 Liquid processing equipment
JP2004103971A (en) * 2002-09-12 2004-04-02 Hitachi High-Technologies Corp Method and apparatus for damascene processing, and damascene structure
JP4420380B2 (en) * 2003-09-10 2010-02-24 大日本スクリーン製造株式会社 Substrate processing equipment
KR100555620B1 (en) * 2003-10-28 2006-03-03 주식회사 디엠에스 System for carrying flat panel display and the carrying method using the same
JP2006140238A (en) * 2004-11-10 2006-06-01 Tokyo Electron Ltd Component for substrate treatment device and its manufacturing method
US7699021B2 (en) * 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
JP2006196691A (en) * 2005-01-13 2006-07-27 Toshiba Corp Device for manufacturing semiconductor and manufacturing method for semiconductor device
US7654010B2 (en) * 2006-02-23 2010-02-02 Tokyo Electron Limited Substrate processing system, substrate processing method, and storage medium
JP2009239013A (en) * 2008-03-27 2009-10-15 Tokyo Electron Ltd Cleaning substrate and cleaning method
CN102272350B (en) * 2009-01-14 2014-12-24 株式会社爱发科 Plasma cvd apparatus
KR20100100269A (en) * 2009-03-06 2010-09-15 주식회사 코미코 Lift pin and apparatus for processing a wafer including the same
JP5655793B2 (en) * 2009-12-18 2015-01-21 株式会社ニコン Maintenance method and safety device for substrate processing apparatus
JP6003011B2 (en) * 2011-03-31 2016-10-05 東京エレクトロン株式会社 Substrate processing equipment
CN103247507A (en) * 2013-04-08 2013-08-14 上海华力微电子有限公司 Compound plasma focusing ring and method for replacing same
US20150340209A1 (en) * 2014-05-20 2015-11-26 Micron Technology, Inc. Focus ring replacement method for a plasma reactor, and associated systems and methods
JP2016211030A (en) * 2015-05-07 2016-12-15 日新電機株式会社 Vacuum treatment apparatus

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8764907B2 (en) * 2005-03-28 2014-07-01 Lam Research Corporation Servicing a plasma processing system with a robot
US20060260648A1 (en) * 2005-05-13 2006-11-23 King Yuan Electronics Co., Ltd. Apparatus and method of automatically cleaning a pick-up head
US8006340B2 (en) * 2008-08-26 2011-08-30 Hitachi High-Technologies Corporation Cleaning apparatus
US20150262854A1 (en) * 2012-11-30 2015-09-17 Rorez Systems Corporation Wafer etching system and wafer etching process using the same
US20170117172A1 (en) * 2015-10-22 2017-04-27 Lam Research Corporation Automated Replacement of Consumable Parts Using Interfacing Chambers

Also Published As

Publication number Publication date
TW201834060A (en) 2018-09-16
US20180233328A1 (en) 2018-08-16
US11309168B2 (en) 2022-04-19
JP2018133464A (en) 2018-08-23
TWI799406B (en) 2023-04-21
CN108447760A (en) 2018-08-24
TW202329241A (en) 2023-07-16
CN110808202A (en) 2020-02-18
KR20230047069A (en) 2023-04-06
CN108447760B (en) 2019-11-12
JP6812264B2 (en) 2021-01-13
CN110808202B (en) 2022-05-24
KR102517504B1 (en) 2023-04-03
KR20180094809A (en) 2018-08-24

Similar Documents

Publication Publication Date Title
US20220216035A1 (en) Vacuum processing apparatus and maintenance apparatus
TWI784924B (en) Systems for removing and replacing consumable parts from a semiconductor process module in situ
US20200035470A1 (en) Focus ring replacement method and plasma processing system
JP4355314B2 (en) Substrate processing apparatus and lid fishing support apparatus
US7883579B2 (en) Substrate processing apparatus and lid supporting apparatus for the substrate processing apparatus
TWI776016B (en) Thin plate substrate-holding device and transfer robot provided with this holding device
KR20200006045A (en) Thin plate holding finger and conveying robot having the finger
US20230330715A1 (en) Maintenance device, vacuum processing system, and maintenance method
KR101063127B1 (en) Substrate processing apparatus
WO2013161519A1 (en) Substrate processing device and method for maintaining same
WO2020012669A1 (en) Transport device having local purge function
US10818531B2 (en) Substrate transport system, substrate processing apparatus, hand position adjustment method
JP2022117671A (en) Storage container and processing system
US11527426B2 (en) Substrate processing device
JP2001244253A (en) Plasma processing device for liquid crystal display substrate
JP7293517B2 (en) SUBSTRATE PROCESSING SYSTEM AND CONVEYING METHOD
KR102318392B1 (en) Apparatus for treating substrate and method for treating substrate
KR102139613B1 (en) Apparatus for transfer a substrate and apparatus for treating a substrate
KR20140141088A (en) Cleaning member and method of cleaning using the same
JP2000114341A (en) Vacuum processing device

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED