WO2005057648A1 - 基板処理装置 - Google Patents

基板処理装置 Download PDF

Info

Publication number
WO2005057648A1
WO2005057648A1 PCT/JP2004/016723 JP2004016723W WO2005057648A1 WO 2005057648 A1 WO2005057648 A1 WO 2005057648A1 JP 2004016723 W JP2004016723 W JP 2004016723W WO 2005057648 A1 WO2005057648 A1 WO 2005057648A1
Authority
WO
WIPO (PCT)
Prior art keywords
processing
substrate
block
unit
carrier
Prior art date
Application number
PCT/JP2004/016723
Other languages
English (en)
French (fr)
Inventor
Nobuaki Matsuoka
Yoshio Kimura
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to US10/582,239 priority Critical patent/US20070117400A1/en
Priority to KR1020067013956A priority patent/KR101060368B1/ko
Publication of WO2005057648A1 publication Critical patent/WO2005057648A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber

Definitions

  • the present invention provides processing of a predetermined substrate by supplying a processing liquid to a surface of a substrate such as a semiconductor wafer or an LCD substrate (a glass substrate for a liquid crystal display), for example, application of a resist liquid or development processing after exposure.
  • a substrate such as a semiconductor wafer or an LCD substrate (a glass substrate for a liquid crystal display), for example, application of a resist liquid or development processing after exposure.
  • the present invention relates to an apparatus for performing a substrate processing.
  • a resist solution is applied to a substrate such as a semiconductor wafer (hereinafter, referred to as "wafer"), and the resist film is exposed using a photomask.
  • a photolithography technique is used in which a desired resist pattern is formed on a substrate.
  • Such processing is generally performed using a substrate processing apparatus in which an exposure apparatus is connected to a coating and developing apparatus for coating and developing a resist solution.
  • the substrate processing apparatus is provided with a processing apparatus that performs a plurality of different processes on a substrate, such as a coating process, a developing process, and a heating / cooling process.
  • a processing apparatus that performs a plurality of different processes on a substrate, such as a coating process, a developing process, and a heating / cooling process.
  • Each processing unit is constituted by incorporating a required number of units for each of these processes, and further, transport means for loading and unloading the substrate into and from each processing unit is provided.
  • reference numeral 11 denotes a carrier stage 11 into which a carrier 10 containing, for example, 25 wafers W is loaded and unloaded.
  • a carrier stage 11 into which a carrier 10 containing, for example, 25 wafers W is loaded and unloaded.
  • three processing blocks 12A, 12B, and 12C are connected to the carrier stage 11, for example.
  • the exposure device 12E is connected to the third processing block 12C via the interface block 12D.
  • Each of the processing blocks 12A, 12B, and 12C has a transport unit 13A, 13B, and 13C at the center thereof, and the first and second processing blocks 12A and 12B have a coating unit 14A for coating the wafer with a coating liquid.
  • a heating unit, a cooling unit, and a receiver for performing predetermined heating and cooling Shelf units 16A to 16G provided with transfer units and the like are provided.
  • the wafer in the carrier 10 of the carrier stage 11 is taken out by the transfer arm 17, transferred to the first processing block 12A via the transfer unit of the shelf unit 16A, and sequentially transferred to the first and second processing blocks.
  • the vacant processing units of the second processing blocks 12A and 12B in a predetermined order and subjected to a resist solution coating process they are conveyed to an exposure apparatus 12E via a processing block 12C and an interface block 12D.
  • a predetermined exposure process is performed.
  • the developer is conveyed again to a vacant processing unit in the third processing block 12C in a predetermined order to perform the developing process.
  • a heating process and a cooling process are performed in a vacant processing unit.
  • the wafers are transferred via the transfer units of the shelf units 16C, 16E, and 16G, respectively.
  • Patent Document 1 JP-A-2000-124124 (see FIG. 2)
  • the above-described coating and developing apparatus is delivered from the beginning as an apparatus having a processing capacity corresponding to the number of processed exposure apparatuses 12E, and for example, it is possible to secure a throughput in which the maximum processing capacity of the exposure apparatus 12E is considered in advance.
  • the number of each processing unit and the arrangement of the processing units are taken into consideration.
  • the maximum value of the number of processed units is set to about 150 sheets / hour.
  • the number of processed wafers at the time of delivery of the exposure apparatus 12E is about 50 wafers / hour, and with the progress of the recent miniaturization process, it becomes difficult to determine the conditions of the exposure apparatus 12E.
  • the coating / developing device is delivered as a device with more processing capacity than necessary at the time of delivery, and the initial capital investment becomes too large, resulting in wasteful capital investment at the time of delivery. I have.
  • the processing is performed in accordance with the throughput of the exposure apparatus 12E. It is reasonable to increase the number of sheets in a stepwise manner, for example, from about 50 sheets / hour to about 100 sheets / hour.
  • a series of processing is performed in the entire processing block 12A-12C, and the transport means 13A 13C provided in each processing block 12A-12C not only transport the wafer in each processing block 12A-12C, but also perform the The transport means 13A of the first processing block 12A transports the wafer between the first and second processing blocks 12A and 12B, and the second processing block 12B carries out the second and third processing blocks 12B and 12C.
  • the third processing block 12C must transfer the wafers between the third processing block 12C and the interface block 12D.
  • Heavy load Coating 'Developer's total number of processed sheets is about 100 If you try to increase to a certain degree, the matching work is not easy.
  • the number of processed sheets required for each company of the delivery destination is different, and particularly the beta processing and the developing time in the heating unit are different, but as described above, the first to third processing blocks 12A-12
  • the difference in processing time in one processing unit greatly affects the transport program of the transport means 13A-13C, and the adjustment of the number of processed sheets of each company becomes complicated.
  • the coating / developing device was used as a dedicated device for a given product type, and the idea was to use a different device for processing of a different product type. It is desired to be able to cope with the production of many kinds in small quantities.
  • the present invention has been made under such circumstances, and an object of the present invention is to provide a substrate processing apparatus that can easily cope with an increase or decrease in the number of processed substrates and a change in product type. .
  • the substrate processing apparatus includes a carrier mounting portion into which a substrate carrier accommodating a plurality of substrates is loaded and unloaded, and a substrate carrier mounted on the carrier mounting portion.
  • a carrier block that includes a first transport unit that transfers the substrates, a second transport unit that is provided adjacent to the carrier block, and transports the substrate along a linear transport path;
  • each processing block includes a coating unit for coating a resist solution on the substrate, a developing unit for performing a developing process on the exposed substrate, and a heating unit for heating the substrate.
  • the resist solution is applied to the substrate and / or developed after the exposure in each processing block.
  • the substrate processing apparatus may be configured such that an interface unit to which an exposing device is connected is connected to a side of the transfer path opposite to a side connected to the carrier block,
  • the interface unit to which the exposure apparatus is connected may be connected to the side opposite to the side connected to the processing block.
  • another substrate processing apparatus of the present invention is directed to a carrier mounting portion into which a substrate carrier containing a plurality of substrates is loaded and unloaded, and a substrate carrier mounted on the carrier mounting portion.
  • a carrier block including a first transport unit for transferring the substrate, a second transport unit provided adjacent to the carrier block and transporting the substrate along a linear transport path; and A first transfer stage for transferring substrates between the first transfer means and the second transfer means, and a plurality of transfer stages arranged along the transfer path and provided detachably with respect to the apparatus main body.
  • Each of the processing blocks includes a liquid processing unit that processes the substrate with a chemical solution, a heating unit that heats the substrate, and a third transport that transports the substrate between these units.
  • Means, and second transport means It includes 3 second transfer stage for transferring the substrate between the transport means, and performs a series of processes to the base plate in each processing block.
  • the liquid processing unit performs processing for forming a coating film, and the liquid processing unit applies a chemical containing a precursor of an insulating film to a substrate.
  • the processing block is provided detachably with respect to the apparatus main body, and performs a series of processing on the substrate in each processing block unit.
  • the processing blocks When it is necessary to significantly increase or decrease the processing, it is possible to deal with it by attaching and detaching the processing blocks to and from the main unit.Since the processing is completed for each processing block, it is easy to change different products by changing the processing block. Can respond to.
  • the processing blocks In the substrate processing apparatus of the present invention, it is desirable that the processing blocks have the same planar size.
  • the second transport means is provided on a transport block extending along a row of a plurality of processing blocks, and each of the processing blocks is desirably configured to be detachable from the transport block.
  • a positioning member provided for positioning the processing block may be provided at the bottom or side of the area where the processing block is arranged, and the bottom or the side of the area where the processing block is arranged may be provided.
  • the guide member may be configured to include a guide member provided on a side portion for drawing the processing block, and a positioning member provided on the guide member for positioning the processing block.
  • Each of the processing blocks includes a plurality of service lines for taking in utilities from the outside, a connection end of each service line configured to be detachable from a connection end of the corresponding external service line,
  • the external connection end is provided below the second transfer means, and when the processing block is pushed into the second transfer means side, the external connection end is connected to the processing block side. You may make it comprise so that an end may be connected.
  • the plurality of service lines supply different utilities, and each of the plurality of service lines is branched on the downstream side and guided to each processing unit. It includes a supply line, an inert gas supply line, a power supply line and a signal line, and a chemical solution supply pipe.
  • the substrate processing apparatus of the present invention it is possible to easily cope with an increase / decrease in the number of substrates to be processed and a change in product type.
  • FIG. 1 is a plan view showing a substrate processing apparatus according to an embodiment of the present invention.
  • FIG. 2 is a perspective view showing a substrate processing apparatus according to an embodiment of the present invention.
  • FIG. 3 is a side sectional view showing the substrate processing apparatus.
  • FIG. 4 is a side sectional view showing the substrate processing apparatus.
  • FIG. 5 is a perspective view showing the inside of a processing block of the substrate processing apparatus.
  • FIG. 6A is an explanatory diagram showing a state of connection between a transport block of a substrate processing apparatus and a carrier for a processing block.
  • FIG. 6B is an explanatory diagram showing a state of connection between a transport block of the substrate processing apparatus and a carrier for the processing block.
  • FIG. 7 is a plan view showing how a processing block is added to the substrate processing apparatus.
  • FIG. 8A is a plan view showing a connection state between a transport block and a processing block of the substrate processing apparatus.
  • FIG. 8B is a plan view showing a connection state between the transport block and the processing block of the substrate processing apparatus.
  • FIG. 9 is a perspective view showing a state of connection between a transport block and a processing block of the substrate processing apparatus.
  • FIG. 10 is a side view showing a state of connection between a transport block and a processing block of the substrate processing apparatus.
  • FIG. 11 is a cross-sectional view showing a coating unit provided in the substrate processing apparatus.
  • FIG. 12 is a cross-sectional view showing a heating unit (PEB) provided in the substrate processing apparatus.
  • PEB heating unit
  • FIG. 13 is a perspective view showing a third transfer means provided in the substrate processing apparatus.
  • FIG. 14 is a plan view showing another embodiment of the substrate processing apparatus of the present invention.
  • FIG. 15 is a side sectional view showing the substrate processing apparatus.
  • FIG. 16 is a side sectional view showing the substrate processing apparatus.
  • FIG. 17 is a plan view showing another embodiment of the substrate processing apparatus of the present invention.
  • FIG. 18 is a plan view showing a conventional substrate processing apparatus.
  • FIG. 19 is a plan view showing another embodiment of the substrate processing apparatus of the present invention.
  • FIG. 1 is a plan view showing an overall configuration according to an embodiment of the substrate processing apparatus, and FIG. It is a schematic perspective view.
  • B1 is a carrier block for loading and unloading a substrate carrier C containing, for example, 25 substrates, for example, semiconductor wafers W, and the carrier block B1 has a carrier mounting portion 21 on which the substrate carrier C is mounted.
  • a first transport unit 22 is a carrier block for loading and unloading a substrate carrier C containing, for example, 25 substrates, for example, semiconductor wafers W, and the carrier block B1 has a carrier mounting portion 21 on which the substrate carrier C is mounted.
  • a transport block B2 provided with a transport path extending linearly in a direction substantially orthogonal to the arrangement direction of the carriers C.
  • the first transfer means 22 of the carrier block B1 takes out the substrate G from the substrate carrier C, and is movable left and right, back and forth, and up and down so as to transfer the taken out substrate G to the second transfer means 23 of the transfer block B2. Free and rotatable about a vertical axis.
  • a first delivery stage 24 for delivering the wafer W is provided.
  • the delivery stage 24 has a two-stage configuration, for example, a loading delivery stage used when loading the wafer W into the transport block B2 and an unloading delivery stage used when loading the wafer W into the transport block B2. Te, ru.
  • the transfer stage 24 may be provided in the transfer block B2 and in an area accessible by the first transfer means 22, or a common transfer stage may be used when loading / unloading the wafer W from / to the transfer block B2.
  • a one-stage configuration that is used may be used.
  • the transport block B2 is provided with a guide rail 25 that forms a transport path so as to extend linearly in a direction substantially orthogonal to the arrangement direction of the carriers C.
  • C Equipped with two holding arms for holding W, and freely movable along the guide rails 25 in a direction substantially perpendicular to the direction in which the carriers C are arranged, movable up and down, movable forward and backward, and rotatable around a vertical axis. Being done.
  • processing block B2 a plurality of processing blocks arranged along the transport path are provided detachably with respect to the transport block B2 forming the apparatus main body.
  • the first processing block B3 and the second processing block B4 viewed from the carrier block B1 side are connected to the transport block B2 via a predetermined space behind the carrier block B1.
  • processing block B3 and processing block B4 have the layout of each part.
  • the same configuration That is, the processing blocks B3 and B4 are formed in the same size, and the types and number of processing units disposed in the processing blocks B3 and B4 are set so that a series of processing of the same type is performed on the wafer W.
  • the layout is set to the same configuration.
  • the first processing block B3 will be described as an example with reference to FIGS. 3, 4, and 5, and a third transport unit 31 is provided at the center of the processing block B3.
  • a third transport unit 31 is provided at the center of the processing block B3.
  • the liquid processing unit group U1 in which the anti-reflection film forming unit (ARC) 34 is stacked in multiple stages, for example, in five stages, has heating / cooling units in the front and left sides on the left side and the back side in multiple stages.
  • the coating unit 32, the developing unit 33, and the anti-reflection film forming unit 34 each constitute a liquid processing unit.
  • the coating unit 32 is a unit for performing processing for applying a resist liquid to the wafer W, and the developing unit 33.
  • the anti-reflection film forming unit 34 for example, is a unit in which a developing solution is applied to a substrate after exposure and is left in a state for a predetermined time to perform a developing process.
  • An anti-reflection film forming unit for forming an anti-reflection film (Bottom-ARC). After the formation of the resist, an antireflection film (Top ARC) may be formed on the surface.
  • the shelf units U2 and U3 are configured by stacking a plurality of units in an area accessible by the second transport means 23 of the transport block B2.
  • the coating unit 32 and the antireflection film forming unit 34 For example, three vacuum drying units (VD) for removing the solvent contained in the coating liquid after the liquid treatment in the step, and four, for example, four vacuum drying units (VD) for performing the predetermined heat treatment on the wafer W before the application of the resist liquid.
  • one heating unit called a heating unit (LHP)
  • a pre-baking unit for heating the wafer after applying the resist solution, and heat treatment of the exposed wafer W
  • two heating units PEB
  • post-exposure baking units and two temperature control units (CPL) for adjusting the temperature of the wafer W to a predetermined temperature.
  • processing for carrying the wafer W in block B3 for example one transfer unit (TRS1) Ya
  • one transfer unit (TR S2) for unloading the wafer W from the processing block SI is allocated vertically.
  • These delivery units TRA1 and TRS2 correspond to a second delivery stage of the present invention.
  • Fig. 3 to Fig. 5 show an example of the layout of these units.
  • the type and number of power units are not limited to this.
  • one transfer unit is used, and the transfer unit processes wafer W. It may be used both when loading into block B3 and when unloading wafer W from processing block B3.
  • the third transfer means 31 is configured to be able to move up and down, move forward and backward, and rotate around a vertical axis as described later, and transfer the substrate G between the liquid processing unit group U1 and the shelf units U2 and U3. Have a role to do.
  • the second transport means 22 is not drawn for convenience.
  • the second transfer means 23 is arranged along the guide rail 25 as described above so as to transfer the wafer W transferred from the first transfer means 22 to the transfer unit TRS1 (TRS2) of the processing block B3.
  • TRS1 transfer unit of the processing block B3.
  • 1It is configured so that it can move freely in the left and right direction, move up and down, move forward and backward, and rotate around the vertical axis.
  • a fan with rotating wings and a ULPA filter and a chemical filter are provided above the transport block B2 and above the region of the processing block B3 where the third transport means 31 is provided.
  • a fan filter unit (FFU) 35 is provided with a force S, and the air that has been cleaned by the fan filter unit 35 from which particles and ammonia components have been removed is transferred to the lower side in the transfer block B2 and to the third transfer means. Each of them is supplied to the lower side of the area where 31 is provided.
  • electrical components are stored above the area where the shelf units U2 and U3 are provided in the processing block B3 and above the area where the liquid processing unit group U1 is provided in the processing block B3.
  • a unit (Elec) 36 is provided, in which a driver connected to a motor such as a conveyance unit, a 1 / O board connected to each unit, and a control unit for controlling each unit are stored.
  • liquid processing unit group U1 Near the floor below the liquid processing unit group U1, chemical tanks such as a coating solution such as a developing solution and an anti-reflection film forming solution, and a tank for a temperature controlling fluid, a developing solution, and an inert gas, respectively.
  • a chemical unit U4 is installed, and a first utility unit U5 with a plurality of utility lines for taking in utilities from outside is provided near the floor below the shelf units U2 and U3. Is provided.
  • the plurality of service lines supply different utilities, and each of the plurality of service lines is branched on the downstream side and guided to each processing unit.
  • the utility unit U5 includes, for example, water for forming a temperature control fluid, a chemical such as a developer, a supply line for an inert gas or dry air, etc., as shown in FIGS. 5, 6A and 6B.
  • a first power line 41 a power supply line for operating the liquid processing system unit, heating / cooling system unit, etc. provided in the processing block B3, and a signal line such as an I / ⁇ signal line that is an INPUTZ OUTPUT.
  • a second service line 42 is provided.
  • the tank for the chemical solution of the chemical unit U4 is connected to the first carrier line 41.
  • the first and second call lines 41 and 42 include connection ends 41a and 42a of each of the call lines configured to be detachable from the connection terminals of the corresponding external call lines.
  • the transport block B2 is provided with an external second utility unit U6 corresponding to the first utility unit U5, and the utility unit U6 is provided with a second utility unit U6 of the transport block B2.
  • connection ends 41b and 42b of external carrier lines are provided (see FIG. 3).
  • connection ends 41b and 42b of the external power line of the second utility unit U6 are connected to a water / developer, a supply source of an inert gas or dry air, a power supply cable, an I / O signal line, etc., respectively.
  • a water / developer a supply source of an inert gas or dry air
  • a power supply cable a power supply cable
  • I / O signal line etc.
  • the processing block B3 is pushed into the second transport means 23 side of the transport block B2 in this way, the connection ends 41b and 42b on the external side (the transport block B2 side) and the connection ends 41a and 41b on the processing block B3 side are connected. It is configured to be connected.
  • the carrier line on the side of the transport block B2 is branched to each unit via the electrical component storage unit 36.
  • the second processing block B4 has a side opposite to the first processing block B3 connected to an exposure apparatus B6 via an interface section B5.
  • the interface section B5 is set so as to be connected to the side of the transport block B2 opposite to the side connected to the carrier block B1.
  • the interface part B5 is provided with a transfer means 26, which is configured to be movable up and down, left and right, back and forth, and rotatable around a vertical axis, for example.
  • the transfer of the substrate G is performed between the transfer means 23 and the exposure apparatus B6.
  • the transfer means 26 of the interface section B5 and the transport means 23 of the transport block B2 are provided near the area of the interface section B5 where the transport block B2 is connected.
  • a two-stage transfer stage 27 for transferring the wafer W between the two stages is provided.
  • the transfer stage 27 may be provided inside the transfer block B2 in an area where the second transfer means 23 and the transfer means 26 of the interface section B5 can access, or may have a single-stage configuration. May be.
  • the space between the carrier block C and the first processing block B3 is configured as a space capable of accommodating one processing block, so that a new processing block B0 can be mounted. It has become.
  • the carrier block B1 and the transport block B2 are connected via a rotation shaft 28, and when a new processing block B0 is incorporated, the carrier block B1 is rotated as shown in FIG. 8A.
  • the processing block B0 is drawn into the transfer block B2, and the connection ends 41a, 42a of the service lines on the processing block B0 and the connection ends 41b, 42b of the service lines on the transfer block B2 are connected to each other (see FIG. 6A).
  • a new processing block B0 is attached to the transport block B2 using the hinge 528, and then, as shown in FIG.Return to the position adjacent to B0. That is, the carrier block B1 can rotate around the rotation shaft 28 provided at the end of the transport block B2. After the processing blocks BO, B3, and B4 are attached to the transport block B2 by the hinge 528, they are positioned by being rotated about the hinge 528.
  • the lower end side of the processing block B0 includes, for example, the front side and the rear side in the traveling direction of the processing block B0 (the direction traveling toward the transport block B2).
  • Casters 43 are mounted on both sides in the width direction when viewed from the traveling direction.
  • a guide plate 44 serving as a guide member, which is narrower than the interval between the casters 43 in the width direction, is provided, and the casters 43 pass on both sides of the guide plate 44. It is like that.
  • a fixing member that can be engaged and connected with one touch when the processing block B0 is mounted on the transport block B2 is provided between the loading side (front side) of the guide plate 44 and the loading side (front side) of the lower end side of the processing block B0. (45a, 45b) are provided.
  • the fixing member 45 also functions as a positioning member. In this example, when newly installing the processing block BO, for example, the processing block B0 is pulled in so that the casters 43 pass through both sides of the guide plate 44, and the processing block B0 and the guide plate 44 are fixed to the fixing member 45.
  • the guide plate 44 and the fixing member 45 provided for drawing in the processing block B0 may be provided on the side of the carrier block B1 or the first processing block B3 adjacent to the processing block B0.
  • reference numerals 29a and 29b denote transfer ports of the wafer W formed at positions corresponding to the transfer units TRS 1 and TRS2 of the processing block BO of the transfer block B2, and the wafer W is provided at the transfer port 29a. , 29b, and is transferred to the processing block B0 by the second transfer means 23 of the transfer block B2.
  • the coating unit 32 will be described with reference to FIG.
  • the coating unit may be a known spin coating type in which a processing liquid is supplied onto a substrate and the liquid is rotated to spread the liquid.
  • a scan type coating apparatus will be described here as an example. The periphery of the wafer W is partially cut away, and a notch N indicating the direction of the wafer W is provided.
  • reference numeral 51 denotes a substrate holding unit which sucks the rear surface of the wafer W and holds the wafer W in a substantially horizontal direction, and makes the sucking unit 51a freely movable up and down and rotatable around a vertical axis.
  • the driving base 52 is supported by a moving body 53 at a lower end thereof.
  • a ball screw portion 54 driven by a motor Ml is provided near the bottom surface of the moving body 53.
  • the motor Ml rotates the ball screw portion 54
  • the moving body 53 is guided by a not-shown renole and is shown in the figure. It moves in the Y direction.
  • a rail (not shown) for guiding the driving base 52 in the X direction is provided on the upper surface of the moving body 53, and the wafers W held by the substrate holding unit 51 are respectively moved by the action of the driving base 52 and the moving body 53. And it can be moved to any position in the Y direction.
  • the moving body 53, the renole (not shown), the ball screw portion 54, and the motor Ml move the wafer W in the front-rear direction relative to the coating liquid nozzle 55 provided above the wafer W. W It is moved in the Y-axis direction in FIG.
  • the coating liquid nozzle 55 incorporates a drive pulley and a driven pulley (not shown), an endless belt wound around each pulley, a motor 2 for rotating the drive pulley, and the like, and has a rectangular drive base extending in the X direction.
  • 57 (57a, 57b) is a pair of liquid receiving portions for receiving the coating liquid falling from above and preventing the supply of the coating liquid to the region near the outer edge of the wafer W.
  • the coating unit 32 when the coating liquid nozzle 55 moves from one end face of the wafer to the other end face, the wafer W is intermittently fed in a direction intersecting with the timing. By repeating such an operation, the coating liquid is applied to the wafer W in a so-called one-stroke manner.
  • the anti-reflection film forming unit 34 is configured in the same manner as, for example, the coating unit 32.
  • the vacuum drying unit (VD) which is a processing unit in the next step of the coating unit 32, is, for example, in a closed container.
  • VD vacuum drying unit
  • the developing unit 33 supplies the developing solution from the supply nozzle to the central portion of the wafer W along the radial width of the wafer W, and fills the developing solution on the wafer W by rotating the wafer W by half a turn.
  • a predetermined developing process is performed while the developing solution is kept on the wafer W for a predetermined time.
  • FIG. 12 illustrates a post-exposure baking unit (PEB) as a heating unit.
  • PEB post-exposure baking unit
  • a heating plate 62 provided with a cooling plate 61 on the front side and a heater 62a on the rear side is provided on the upper surface of the stage 60, respectively.
  • the cooling plate 61 transfers the wafer W between the third transfer means 31 that enters the housing 6 through the opening 63 provided with the shirt 63a and the heating plate 62, and transfers the wafer W. In some cases, it has a role of roughly cooling the heated wafer W (performing a rough heat removal).
  • the leg 61a is configured to be able to advance and retreat in the Y direction along guide means (not shown), whereby the cooling plate 61 is moved from the side position of the opening 63 to the position above the heating plate 62. You can move up to.
  • a cooling channel (not shown) is provided on the back side of the cooling plate 61.
  • the support pins 64 protrude and retract at the transfer position of the wafer W between the third transfer means 31 and the cooling plate 61 and the transfer position of the wafer W between the heating plate 62 and the cooling plate 61 on the stage 60.
  • the cooling plate 61 is provided with a slit (not shown) so that the wafer W can be lifted through the cooling plate 61 when the support pins 64 rise.
  • reference numeral 66 denotes a ventilation chamber which communicates via a fan 66a
  • reference numeral 67 denotes a ventilation port provided with a fan 67a.
  • the wafer W is transferred from the third transfer means 31 onto the cooling plate 61, and then transferred onto the heating plate 62 by the cooling plate 61. Is performed.
  • the wafer after the heat treatment is again received from the heating plate 62 to the cooling plate 61, and after being roughly cooled, is received by the third transfer means and transferred to the next step.
  • Each of the other heating units (LHP) and (PAB) is provided with only a heating plate for heating the wafer W to a predetermined temperature, and the temperature control unit (CPL) controls the wafer W at a predetermined temperature. In this configuration, only the cooling plate for adjusting the temperature is provided.
  • the third transfer means 31 will be described with reference to FIG. 13.
  • the transfer means 31 includes, for example, three arms 71 for holding the wafer W, and a base for supporting the arms 71 to be able to move forward and backward. 72, a pair of guide rails 73a, 73b for supporting the base 72 so as to be able to move up and down, connecting members 74a, 74b for connecting the upper and lower ends of the inner rails 73a, 73b, respectively, and guide rails 73a, 73b.
  • a rotation drive unit 75 integrally attached to the connection member 74b at the lower end of the guide rail and a connection member at the upper end of the guide rail so as to rotatably drive the frame consisting of 73b and the connection members 74a and 74b around the vertical axis.
  • 74a provided on a rotating shaft portion 74a.
  • the arm 71 has a three-stage configuration so as to be able to hold the wafer W, and the base end of the arm 71 can slide along the longitudinal direction of the base.
  • the forward / backward movement of the arm 71 due to the sliding movement is controlled by driving means (not shown).
  • Driving of the base 72 is controlled by another driving means (not shown). In this manner, the arm 71 is driven to be rotatable around a vertical axis, to be able to move up and down, and to be able to move forward and backward.
  • the flow of a wafer in such a substrate processing apparatus will be described by taking as an example a case where a coating film of the same type is formed on a wafer W in a first processing block B3 and a second processing block B4.
  • the carrier C containing, for example, 25 wafers W is loaded into the carrier mounting portion 21 of the carrier block B1 from outside by the automatic transfer robot (or an operator).
  • the n-th wafer W with the internal force of the carrier C is taken out by the first transfer means 22 and delivered to the delivery stage 24 of the carrier block B1.
  • the wafer W of the transfer stage 24 is transferred to the third transfer unit 31 by the second transfer unit 23 of the transfer block B2, for example, via the transfer unit TRS1 of the first processing block B3.
  • the (n + 1) th wafer W in the carrier C is transferred to the transfer unit TRS1 of the second processing block B4 via the transfer stage 24 of the carrier block B1 and the second transfer means 23 of the transfer block B2. Is transferred to the third transport means 31 via the.
  • the wafer W in the carrier C is sequentially transferred to, for example, the first processing block B3 and the second processing block B4.
  • the first processing block B3 and the second processing block B4 perform the same type of processing, for example, the resist film forming processing is performed in block units.
  • the flow of the wafer W in the processing block B3 will be described as an example.
  • the wafer W of the transfer unit TRS 1 is transported by the third transport means 31 in the order of the temperature control unit (CPL), the antireflection film forming unit (Bottom-ARC) 34, and the reduced-pressure drying unit (VD) to prevent reflection.
  • the film is formed, it is transported in the order of heating unit (LHP) ⁇ temperature control unit (CPL) ⁇ coating unit 32 ⁇ vacuum drying unit (VD) to apply resist liquid.
  • LHP heating unit
  • CPL temperature control unit
  • VD vacuum drying unit
  • the wafer W is transferred to the second transfer means 23 of the transfer block B2 via the transfer unit TRS2 for output. Is transferred to the transfer stage 27 of the interface section B5 by the second transfer means 23. Next, the wafer W is transferred to the exposure apparatus B6 by the transfer means 26 of the interface section B5, and a predetermined exposure process is performed.
  • the exposed wafer W is again transferred to the transfer unit 26 of the interface section B5, Page 27, the second transfer means 23 of the transfer block B2, and the transfer to the processing block B3 via the input processing unit TRS1 of the original processing block coated with the resist liquid, that is, the first processing block B3.
  • the toner is conveyed by the third conveying means 31 in the order of the heating unit (PEB), the temperature control unit (CPL), and the developing unit 33, and after a predetermined developing process is performed, the heating unit (LHP)
  • the temperature is adjusted to a predetermined temperature, and is transferred to the second transfer means 23 of the transfer block B2 via the output transfer unit TRS2.
  • the carrier block B1 is returned to, for example, the original carrier C via the delivery stage 24 and the first delivery means 22.
  • the wafer W coated with the anti-reflection film and the resist solution in the second processing block B 4 is transferred to the exposure apparatus B 6 via the interface section B 5 by the second transfer means 23 of the transfer block B 2.
  • the second processing block B4 After being conveyed and subjected to a predetermined exposure process, it is returned to the original processing block on which the resist liquid has been applied, that is, the second processing block B4, via the interface section B5 and the second conveying means 23.
  • development processing is performed.
  • the carrier block B2 is returned to the carrier block B1 via the second carrying means 23 and the first carrying means 22.
  • the wafer W coated with the resist liquid in the first processing block B3 (or the second processing block B4) is subjected to development processing in the block B3 (B4).
  • the coating film of one type is formed in each of the first processing block B3 and the second processing block B4, and the formation of the coating film in each of the processing blocks B3 and B4 is completed. It is supposed to.
  • the transport block B2 is provided, and the second transport means 23 of the transport block B2 causes the carrier block B1 to be interposed between the processing blocks B3 and B4 and the processing blocks B2.
  • Wafer W is performed between B3, B4 and the interface section B5.
  • parallel processing is performed for each block.
  • the third transfer means 31 of each processing block B3, B4 only has to be in charge of the transfer of the wafer W in the processing blocks B3, B4. .
  • processing block is provided detachably with respect to the transport block B2 (apparatus main body), one or two processing blocks are provided at the time of delivery, and the number of processing units of the exposure apparatus B6 is adjusted. , Processing blocks can be added later. In other words, if the number of processed blocks is to be increased, for example, by about 10 / hour, it can be dealt with by adjusting each processing block, but it is difficult to increase by about 50 / hour. However, since the number of processed blocks per processing block is about 50, the number of processing blocks themselves must be increased in accordance with the degree of adjustment of the exposure apparatus B6, and significant changes to the equipment must be made. Instead, the total number of processed blocks can be greatly increased step by step from 50 to 100 to 150. For this reason, the capital investment at the time of delivery and the time required for changing the apparatus when the number of processed sheets increases can be minimized.
  • connection ends 41a and 42a of the service line on the processing block side and the connection ends 41b and 42b of the service line on the outside (transport block) side are integrated as described above.
  • the connection work of the utility system when adding a processing block is easy.
  • the substrate processing apparatus of the present invention may be configured as shown in FIGS.
  • the difference between the substrate processing apparatus of this example and the above example is that the internal configuration of the first to third processing blocks S1 and S3 is different. Only.
  • This substrate processing apparatus will be described as an example in which different types of processing are performed in a plurality of processing blocks S1 to S3. Although the three processing blocks S1 to S3 are formed in the same size and perform a series of different types of processing on the wafer W for each block, the layout of the processing units arranged in the processing blocks is the same. ing.
  • two liquid processing unit groups 81A and 81B in which processing units of the liquid processing system are arranged in multiple stages, for example, five stages, on the near side as viewed from the side of the carrier block B1, and the third side is located on the back side.
  • the wafer W is transferred between the unit groups 81A and 81B and the shelf units 83A and 83B.
  • the shelf unit 83A on the side of the transfer block B2 transfers the wafer W between the second transfer means 23 and the third transfer means 82 to a position accessible by the second transfer means 23 of the transfer block B2. It has a delivery unit (TR S1, TRS2) that forms a delivery stage to perform the operation.
  • the control unit groups 81A and 81B include, for example, one lower antireflection film forming unit (BARC), one coating unit (COT), one upper antireflection film forming unit (TARC), Two developing units (DEV) are arranged, and the shelf units 82A and 82B include, for example, three vacuum drying units (VD), for example, three heating units (LHP), for example, one heating unit. (PAB), for example, two heating units (PEB), for example, three temperature control units (CPL), and, for example, two transfer units (TRS1, TRS2), etc., are allocated vertically.
  • VD vacuum drying units
  • LHP heating units
  • PAB for example, two heating units (PEB), for example, three temperature control units (CPL), and, for example, two transfer units (TRS1, TRS2), etc.
  • one coating is applied to the liquid processing unit groups 81A and 81B so that, for example, a resist W and an upper antireflection coating are formed on the wafer W.
  • a unit (COT), one upper anti-reflection film forming unit (TARC), and two developing units (DEV) are arranged.
  • the shelf units 82A and 82B include, for example, one hydrophobizing unit. (ADH), 2 vacuum drying units (VD), eg 2 heating units (LHP), eg 1 heating unit (PAB), eg 2 heating units (PEB), eg 3 temperature controllers
  • ADH hydrophobizing unit.
  • VD 2 vacuum drying units
  • LHP eg 2 heating units
  • PAB eg 1 heating unit
  • PEB eg 2 heating units
  • eg 3 temperature controllers In addition to the unit (CPL), for example, two transfer units (TRS1, TRS2) Assigned to.
  • one coating is applied to the liquid processing unit groups 81A and 81B so that the wafer W is subjected to the formation processing of the lower antireflection film and the resist film.
  • a unit (C ⁇ T), one lower anti-reflection film forming unit (BARC), and two developing units (DEV) are arranged.
  • the shelf units 82A and 82B have, for example, two vacuum drying units.
  • two transfer units (TRS1, TRS2) and the like are allocated vertically. Other configurations are the same as those of the substrate processing apparatus shown in FIG. 1 described above.
  • wafer W1 in which the first processing is performed wafer W2 in which the second processing is performed, and third processing in the same carrier C are performed.
  • the case where the wafer W3 is stored will be described as an example.
  • the wafer W1 on which the first processing is performed is taken out from the carrier C1 carried into the carrier mounting portion 21 of the carrier block B1 by the first carrying means 22, and delivered to the delivery stage 24 of the carrier block B1. It is.
  • the wafer W of the transfer stage 24 is transferred to the third transfer means 31 by the second transfer means 23 of the transfer block B2, for example, via the transfer unit TRS1 of the shelf unit 83A of the first processing block S1. Then, in the processing block S1, for example, after being transported in the order of the temperature control unit (CPL), the lower antireflection film forming unit (BARC), and the reduced pressure drying unit (VD), the lower antireflection film is formed.
  • the heating unit (LHP) ⁇ the temperature control unit (CPL) ⁇ the coating unit ⁇ the vacuum drying unit (VD) are transported in this order and the resist liquid is coated.
  • the heating unit (PAB) ⁇ temperature control unit (CPL) ⁇ upper layer anti-reflection film forming unit (TARC) ⁇ reduced pressure drying unit (VD) ⁇ heating unit (LHP) are transported in this order, and the upper layer is reflected.
  • the exposed wafer W is transferred from the transfer unit 26 of the interface section B5 to the transfer unit 26.
  • the original processing block coated with the resist solution that is, the first processing block S1
  • the processing block S1 via the input transfer unit TRS1.
  • it is transported to the heating unit (PEB) ⁇ temperature control unit (CPL) ⁇ development unit (DEV), where it is subjected to the specified development processing, and then adjusted to the specified temperature by the heating unit (LHP).
  • PEB heating unit
  • CPL temperature control unit
  • DEV development unit
  • the output transfer unit TRS2 ⁇ the second transfer unit 23 ⁇ the carrier block B1 Delivery stage 24 ⁇ first delivery means 22 Returned to, for example, the original carrier C on the route of 2.
  • the wafer W2 taken out of the same carrier C and subjected to the second processing is transferred by the second transfer means 23 through the transfer stage 24 of the carrier block B1, for example, through the transfer unit TRS1. It is delivered to the third transfer means 31 of the second processing block S2, and in the processing block S2, for example, a hydrophobic processing unit (ADH) ⁇ a temperature control unit (CP L) ⁇ a coating unit (COT) ⁇ a vacuum drying unit
  • ADH hydrophobic processing unit
  • CP L temperature control unit
  • COT coating unit
  • VD vacuum drying unit
  • the heating unit (PAB) ⁇ temperature control unit (CPL) ⁇ upper anti-reflection film forming unit (TARC) ⁇ vacuum drying unit (VD) ⁇ heating unit (LHP) are transported in this order and the upper anti-reflection coating
  • the transfer unit TRS2 for output ⁇ the second transfer unit 23 of the transfer block B ⁇ the transfer stage 27 of the interface section B5 ⁇ the transfer unit 26 ⁇ the transfer unit B6 is transferred along the route of the exposure apparatus B6. Predetermined exposure processing is performed.
  • the exposed wafer W is transported to the second processing block S2 on which the coating of the resist liquid and the upper antireflection film are formed by the same route as in the above-described first processing, and the predetermined development.
  • the wafer W that has been subjected to the second processing in which the resist film and the upper antireflection film are formed is returned to, for example, the original carrier C.
  • CPL temperature control unit
  • BARC lower antireflection film forming unit
  • VD vacuum drying unit
  • the exposed wafer W is transported to the third processing block S3 on which the application of the resist liquid and the lower antireflection film are formed by the same route as the first processing described above, and the predetermined development.
  • the wafer W that has been subjected to the third processing in which the lower antireflection film and the resist film are thus formed is returned to, for example, the original carrier C.
  • the carrier C1 in which the wafer W1 to be subjected to the first processing is stored in the carrier mounting portion 21 is The carrier C2 in which the wafer W2 to be subjected to the second processing is stored and the carrier C3 in which the wafer W2 to be subjected to the third processing are stored are placed on the carrier C2.
  • C1-C3 force The wafers W1-W3 are sequentially taken out, transported to the corresponding processing blocks S1 and S3 by the second transport means 23, and after performing predetermined processing in the respective processing blocks S1-S3,
  • the carrier is returned to the corresponding original carrier C1-C3 by the second transport means 23 and the first transport means 22 again.
  • the transfer stage 27 may have a temperature control function to stabilize the substrate temperature before transferring the wafer W, or may have a plurality of transfer stages.
  • processing blocks S1 and S3 for example, in the processing blocks S1 and S3, the lower antireflection film formation unit (BASC), the coating unit (COT), and the upper antireflection film formation unit (TARC), vacuum drying unit (VD), heating unit (LHP), heating unit (PAB), heating unit (PEB), temperature control unit (CPL), transfer unit (TRS1, TRS2)
  • BASC lower antireflection film formation unit
  • COT coating unit
  • TARC upper antireflection film formation unit
  • VD vacuum drying unit
  • LHP heating unit
  • PAB heating unit
  • PEB heating unit
  • CPL temperature control unit
  • transfer unit TRS1, TRS2
  • processing blocks arranged in the same layout may be prepared, and necessary processing units may be used in each of the processing blocks S1 to S3. In this case, each processing unit is mounted in advance for the required maximum number.
  • an exposure apparatus B6 may be connected via an interface section B5 to a side of the transport block B2 opposite to a side connected to the processing blocks B0, B3, B4.
  • the interface section B5 is used to transfer the wafer W between the second transport means 23 of the transport block B2 and the delivery means 91 of the interface section B5.
  • a delivery stage 92 is provided.
  • the configuration of the processing block may be laid out as shown in FIG. 1 or may be laid out as shown in FIG.
  • FIG. 1 two processing blocks are connected while three processing blocks are used, and a new processing block is added later when the number of processed sheets increases.
  • the configuration may be such that two or three processing blocks are provided without providing an empty space for the processing blocks at first.
  • a new processing unit can be added later.
  • the processing block when the processing block is added, it is necessary to extend the transport path to shift the position of the exposure apparatus.
  • an exposure apparatus using an electron beam (EB) the exposure apparatus can be moved later. Is also effective.
  • a processing block corresponding to each lot of wafer W is allocated, and wafer W of the first lot is processed in first processing block B3, The wafer W of the lot may be transferred to the processing block so that the wafer W is processed in the second processing block B4.
  • the configuration in which the exposure apparatus is separated from the processing block and provided in another place may be employed.
  • the wafer W in the carrier C of the block Bl is transported to a predetermined processing block via the first transport means and the second transport means, where, for example, a resist solution coating process is performed, and then the second process is performed.
  • the wafer W is returned to the carrier block B1 via the transfer means and the first transfer means, and thereafter, the wafer W is transferred to an exposure apparatus provided at another location to perform a predetermined exposure process.
  • the exposed wafer W is returned again to the original processing block on which the resist liquid has been applied via the carrier block Bl, the first transport unit, and the second transport unit, where a predetermined development process is performed. After that, returning to the original carrier C in the carrier block B1 is performed again by the second transport unit and the first transport unit.
  • a heating unit (PEB) is mounted in the interface section B5, and the wafer W that has been subjected to the exposure processing by the exposure apparatus B6 is transferred by the transfer means 26 within a predetermined time. May be preferentially conveyed to the heating unit (PEB).
  • a dedicated transfer arm for transferring the exposure apparatus B6 to the heating unit (PEB) may be provided.
  • each processing block has a different type, number, and layout of internal processing units. It may be. Further, as described above, the same type of processing may be performed in a plurality of processing blocks, or the processing of different types may be performed.
  • the structure may not include an exposure apparatus, and may be applied to, for example, a process for forming an SOG (Spin On Glass) film on a substrate, which may be a process using an interlayer insulating film.
  • the substrate is not limited to a semiconductor wafer, but may be, for example, a glass substrate for a liquid crystal display or a photomask substrate.
  • FIG. 19 shows an embodiment for sharing an exposure apparatus.
  • Exposure apparatus B6 includes an ArF exposure apparatus and a KrF exposure apparatus, and distance L between two exposure apparatuses B6 is 1000 mm or more. Both exposure apparatuses B6 are connected to a coating and developing apparatus by an interface section B5. There is a space between the exposure unit B6 for operation and maintenance.
  • the exposure machine enables processing at the same time, and connects processing blocks B3, B4, and B5 with PRB for coating and developing. If an EB (electron beam) exposure machine is connected as the exposure machine B6 for small-lot, TP (throughput) can be improved by parallel processing of In FIG. 19, the wafer lot is introduced into the carrier block B1 having the carrier station CS from the loading path 700, and the processing block B3 is transferred via the second transfer means 23 built in the docking station DS. , B4, B5.
  • EB electron beam

Abstract

 基板の処理枚数の増減や、品種の変更に容易に対応できる基板処理装置を提供する。基板処理装置は、キャリア載置部(21)上の基板キャリア(C)との間で基板の受け渡しを行う第1の搬送手段(22)を含むキャリアブロック(B1)と、このキャリアブロック(B1)に隣接して設けられ、第2の搬送手段(23)を備えた搬送ブロック(B2)と、第1の搬送手段(22)と第2の搬送手段(23)との間で基板の受け渡しを行うための第1の受け渡しステージ(24)と、搬送ブロック(B2)に対して着脱自在に設けられる複数の処理ブロック(B3,B4)と、を備えている。処理ブロック(B3,B4)は、各処理ブロック単位で基板に対して一連の処理を行っているので、処理ブロックを着脱により基板の大幅な処理枚数の増減に対応でき、また処理ブロックの変更により異なる品種の変更に容易に対応できる。

Description

明 細 書
基板処理装置
技術分野
[0001] 本発明は、例えば半導体ウェハや LCD基板 (液晶ディスプレイ用ガラス基板)等の 基板の表面に処理液を供給して所定の基板処理、例えばレジスト液の塗布や露光 後の現像処理等を行う基板処理装置に関する。
背景技術
[0002] 半導体デバイスの製造プロセスにおレ、ては、半導体ウェハ(以下ウェハとレ、う)など の基板にレジスト液を塗布し、フォトマスクを用いてそのレジスト膜を露光し、更に現 像することによって所望のレジストパターンを基板上に作製するフォトリソグラフィ技術 が用いられている。このような処理は、一般にレジスト液の塗布'現像を行う塗布 '現 像装置に、露光装置を接続した基板処理装置を用いて行われる。
[0003] 基板処理装置は、高いスループットを確保しつつ装置占有面積の小容量化を図る ために、塗布処理、現像処理、加熱'冷却処理など基板に対して複数の異なる処理 を行う処理装置を各々ユニット化し、これらの各処理毎に必要な数のユニットが組み 込まれて構成されており、さらに各処理ユニットに基板を搬入出するための搬送手段 が設けられている。
[0004] このような基板処理装置の一例について、特許文献 1の構成を参照して説明する。
図中 11は例えばウェハ Wを 25枚収納したキャリア 10が搬出入されるキャリアステー ジ 11であり、このキャリアステージ 11には、例えば 3個の処理ブロック 12A, 12B, 12 Cが接続され、第 3の処理ブロック 12Cにはインターフェイスブロック 12Dを介して露 光装置 12Eが接続されている。処理ブロック 12A, 12B, 12Cは、夫々中央に搬送 手段 13A, 13B, 13Cを備えると共に、この周りに第 1及び第 2の処理ブロック 12A, 12Bではウェハに塗布液を塗布するための塗布ユニット 14A, 14B、第 3の処理ブロ ック 12Cでは露光後のウェハに現像処理を行うための現像ユニット 15、全ての処理 ブロック 12A— 12Cでは、塗布ユニット 14や現像ユニット 15の処理の前後にウェハ に対して所定の加熱処理や冷却処理を行うための加熱ユニット、冷却ユニットや受け 渡しユニット等を備えた棚ユニット 16A— 16Gが設けられている。
[0005] この装置では、キャリアステージ 11のキャリア 10内のウェハは受け渡しアーム 17に より取り出されて、棚ユニット 16Aの受け渡しユニットを介して第 1の処理ブロック 12A に搬送され、順次第 1及び第 2の処理ブロック 12A, 12Bの空いている処理ユニット に所定の順序で搬送されてレジスト液の塗布処理が行われた後、処理ブロック 12C、 インターフェイスブロック 12Dを介して露光装置 12Eに搬送され、ここで所定の露光 処理が行われる。この後、再び第 3の処理ブロック 12Cの空いている処理ユニットに 所定の順序で搬送されて現像処理が行われる。なお塗布処理や現像処理の前後に は、空いている処理ユニットにて加熱処理や冷却処理が行われる。ここで第 1の処理 ブロック 12Aと第 2の処理ブロック 12Bとの間、第 2の処理ブロック 12Bと第 3の処理 ブロック 12Cとの間、第 3の処理ブロック 12Cとインターフェイスブロック 12Dとの間で は、夫々棚ユニット 16C、 16E、 16Gの受け渡しユニットを介してウェハの受け渡しが 行われる。
特許文献 1 :特開平 2000-124124号公報(図 2参照)
発明の開示
発明が解決しょうとする課題
[0006] ところで、上述の塗布'現像装置は、当初から露光装置 12Eの処理枚数に合わせ た処理能力を備えた装置として納品され、例えば露光装置 12Eの最大処理能力を 予め考慮したスループットを確保できるように、各処理ユニットの個数や、処理ュニッ トの配列を考慮しており、例えば処理枚数の最大値は約 150枚/時間程度に設定さ れている。
[0007] し力 ながら実際には露光装置 12Eの納品当初の処理枚数は 50枚/時間程度で あり、また昨今の微細化プロセスの進歩と共に、露光装置 12Eの条件出しが困難とな り、処理枚数を 100枚/時間程度に高めるためには、 1年以上の調整時間が必要と なっている。このため塗布 ·現像装置は、納品時には必要以上の処理能力を備えた 装置として納品されていることになり、初期の設備投資が大きくなり過ぎ、納品時の設 備投資に無駄な部分が生じている。
[0008] 従って塗布、現像装置においても、露光装置 12Eのスループットに合わせて、処理 枚数を例えば 50枚/時間程度から 100枚/時間程度までの段階的に大幅に高め てレ、くことが合理的であるが、実際には、塗布'現像装置では、第 1一第 3の処理プロ ック 12A— 12C全体で一連の処理を行っており、各々の処理ブロック 12A— 12Cに 設けられた搬送手段 13A 13Cは、夫々の処理ブロック 12A 12C内のウェハの 搬送のみならず、第 1の処理ブロック 12Aの搬送手段 13Aは、第 1及び第 2の処理ブ ロック 12A, 12B同士の間のウェハの搬送を、第 2の処理ブロック 12Bは第 2及び第 3 の処理ブロック 12B, 12C同士の間のウェハの搬送を、第 3の処理ブロック 12Cは、 第 3の処理ブロック 12Cとインターフェイスブロック 12Dとの間のウェハの搬送を、夫 々行わなくてはならないので、搬送手段 13A 13Cの負荷が大きぐ塗布'現像装 置のトータルの処理枚数を 100枚程度まで増加しょうとすると、合わせこみ作業は容 易ではない。
[0009] さらに納品先の各社毎に要求する処理枚数が異なり、特に加熱ユニットでのベータ 処理や現像時間が異なってくるが、既述のように第 1一第 3の処理ブロック 12 A— 12 C全体で一連の処理を行なう場合には、 1つの処理ユニットでの処理時間の違いが 搬送手段 13A— 13Cの搬送プログラムに大きく影響を及し、各社毎の処理枚数の合 わせこみが煩雑になる。さらにまた従来では、塗布'現像装置は所定の品種の専用 の装置として用いられており、品種の異なる処理に対しては別の装置を用いるという 考え方であつたが、近年では 1台の装置によって少量多品種の生産に対応できること が望まれている。
[0010] 本発明は、このような事情の下になされたものであり、その目的は、基板の処理枚 数の増減や、品種の変更に容易に対応できる基板処理装置を提供することにある。 課題を解決するための手段
[0011] このため本発明の基板処理装置は、複数枚の基板が収納された基板キャリアが搬 入出されるキャリア載置部と、このキャリア載置部に載置された基板キャリアに対して 基板の受け渡しを行う第 1の搬送手段と、を含むキャリアブロックと、このキャリアプロ ックに隣接して設けられ、直線状の搬送路に沿って基板を搬送する第 2の搬送手段 と、第 1の搬送手段と第 2の搬送手段との間で基板の受け渡しを行うための第 1の受 け渡しステージと、搬送路に沿って配列され、装置本体に対して着脱自在に設けら れる複数の処理ブロックと、を備え、各処理ブロックは、レジスト液を基板に塗布する ための塗布ユニットと、露光後の基板に対して現像処理を行うための現像ユニットと、 基板を加熱するための加熱ユニットと、これらユニットの間で基板を搬送する第 3の搬 送手段と、第 2の搬送手段と第 3の搬送手段との間で基板の受け渡しを行うための第 2の受け渡しステージと、を含み、各処理ブロック単位で基板に対してレジスト液の塗 布及び/又は露光後の現像処理を行う。
[0012] ここで基板処理装置は、搬送路のキャリアブロックに接続された側の反対側に、露 光装置が接続されるインターフェイス部が接続されるように構成してもよいし、搬送路 の処理ブロックに接続された側の反対側に、露光装置が接続されるインターフェイス 部が接続されるように構成してもよレ、。
[0013] また本発明の他の基板処理装置は、複数枚の基板が収納された基板キャリアが搬 入出されるキャリア載置部と、このキャリア載置部に載置された基板キャリアに対して 基板の受け渡しを行う第 1の搬送手段と、を含むキャリアブロックと、このキャリアプロ ックに隣接して設けられ、直線状の搬送路に沿って基板を搬送する第 2の搬送手段 と、第 1の搬送手段と第 2の搬送手段との間で基板の受け渡しを行うための第 1の受 け渡しステージと、搬送路に沿って配列され、装置本体に対して着脱自在に設けら れる複数の処理ブロックと、を備え、各処理ブロックは、基板に対して薬液により処理 を行う液処理ユニットと、基板を加熱するための加熱ユニットと、これらユニットの間で 基板を搬送する第 3の搬送手段と、第 2の搬送手段と第 3の搬送手段との間で基板 の受け渡しを行うための第 2の受け渡しステージと、を含み、各処理ブロック単位で基 板に対して一連の処理を行う。ここで例えば液処理ユニットは、塗布膜を形成する処 理を行うものであり、また液処理ユニットは、絶縁膜の前駆物質を含む薬液を基板に 塗布するものである。
[0014] このような基板処理装置では、処理ブロックは、装置本体に対して着脱自在に設け られており、各処理ブロック単位で基板に対して一連の処理を行っているので、基板 の処理枚数を大幅に増減させたいときには、処理ブロックを装置本体に対して着脱 することにより対応でき、また各処理ブロック毎に処理が完結しているので、処理ブロ ックの変更により異なる品種の変更に容易に対応できる。 [0015] 本発明の基板処理装置では、処理ブロックは、平面的な大きさが同じに形成される ことが望ましい。また第 2の搬送手段は、複数の処理ブロックの並びに沿って伸びる 搬送ブロックに設けられ、各処理ブロックは搬送ブロックに対して着脱できるように構 成されていることが望ましい。さらに処理ブロックが配置される領域の底部または側部 に処理ブロックの位置決めをするために設けられた位置決め部材を備えるように構成 してもょレ、し、処理ブロックが配置される領域の底部または側部に処理ブロックを引き 込むために設けられたガイド部材と、このガイド部材に処理ブロックの位置決めをす るために設けられた位置決め部材と、を備えるように構成してもよレ、。
[0016] また各処理ブロックは、外部から用力を取り込むための複数の用カラインと、外部の 対応する用カラインの接続端に対して脱着できるように構成された各用カラインの接 続端と、を備え、外部側の接続端は、第 2の搬送手段の下方側に設けられ、処理プロ ックを第 2の搬送手段側に押し入れたときに当該外部の接続端と、処理ブロック側の 接続端とが接続されるように構成するようにしてもよい。さらに複数の用カラインは、 互いに異なる用力を供給するものであり、それら複数の用カラインの各々は、下流側 で分岐されて各処理ユニットに導かれており、複数の用カラインは、温調用流体の供 給ライン、不活性ガスの供給ライン、給電線及び信号線や、薬液供給管を含むもの である。
発明の効果
[0017] 本発明の基板処理装置によれば、基板の処理枚数の増減や、品種の変更に容易 に対応できる。
図面の簡単な説明
[0018] [図 1]本発明の実施の形態に係る基板処理装置を示す平面図である。
[図 2]本発明の実施の形態に係る基板処理装置を示す斜視図である。
[図 3]基板処理装置を示す側部断面図である。
[図 4]基板処理装置を示す側部断面図である。
[図 5]基板処理装置の処理ブロックの内部を示す斜視図である。
[図 6A]基板処理装置の搬送ブロックと処理ブロックの用カラインの接続の様子を示 す説明図である。 [図 6B]基板処理装置の搬送ブロックと処理ブロックの用カラインの接続の様子を示 す説明図である。
[図 7]基板処理装置に処理ブロックを追加する様子を示す平面図である。
[図 8A]基板処理装置の搬送ブロックと処理ブロックとの接続の様子を示す平面図で ある。
[図 8B]基板処理装置の搬送ブロックと処理ブロックとの接続の様子を示す平面図で ある。
[図 9]基板処理装置の搬送ブロックと処理ブロックとの接続の様子を示す斜視図であ る。
[図 10]基板処理装置の搬送ブロックと処理ブロックとの接続の様子を示す側面図で ある。
[図 11]基板処理装置に設けられる塗布ユニットを示す断面図である。
[図 12]基板処理装置に設けられる加熱ユニット(PEB)を示す断面図である。
[図 13]基板処理装置に設けられる第 3の搬送手段を示す斜視図である。
[図 14]本発明の基板処理装置の他の実施の形態を示す平面図である。
[図 15]基板処理装置を示す側部断面図である。
[図 16]基板処理装置を示す側部断面図である。
[図 17]本発明の基板処理装置の他の実施の形態を示す平面図である。
[図 18]従来の基板処理装置を示す平面図である。
[図 19]本発明の基板処理装置の他の実施の形態を示す平面図である。
符号の説明
[0019] B1 キャリアブロック、 B2 搬送ブロック、 B3 第 1の処理ブロック、 B4 第 2の処理 ブロック、 B5 インターフェイス部、 B6 露光装置、 C 基板キャリア、 22 第 1の搬送 手段、 23 第 2の搬送手段、 24 受け渡しステージ、 31 第 3の搬送手段、 32 塗布 ユニット、 33 現像ユニット
発明を実施するための最良の形態
[0020] 以下に本発明の基板処理装置の一実施の形態について説明する。ここで、図 1は 基板処理装置の一実施の形態に係る全体構成を示す平面図であって、図 2はその 概略斜視図である。図中 B1は例えば 25枚の基板例えば半導体ウェハ Wが収納され た基板キャリア Cを搬入出するためのキャリアブロックであり、このキャリアブロック B1 は、基板キャリア Cを載置するキャリア載置部 21と第 1の搬送手段 22とを備えている。
[0021] このキャリアブロック B1の例えば一方側、例えばキャリア載置部 21側から見て左端 側には、キャリア Cの配列方向に略直交する方向に直線状に伸びる搬送路を備えた 搬送ブロック B2がキャリアブロック B1と接続するように設けられている。そしてキャリア ブロック B1の第 1の搬送手段 22は基板キャリア Cから基板 Gを取り出し、取り出した 基板 Gを搬送ブロック B2の第 2の搬送手段 23に受け渡すように、左右、前後に移動 自在、昇降自在、鉛直軸回りに回転自在に構成されている。
[0022] ここでキャリアブロック B1の、搬送ブロック B2が接続された領域の近傍には、キヤリ アブロック B1の第 1の搬送手段 22と搬送ブロック B2の第 2の搬送手段 23との間でゥ ェハ Wの受け渡しを行うための第 1の受け渡しステージ 24が設けられている。この受 け渡しステージ 24は、例えば搬送ブロック B2にウェハ Wを搬入するときに用いる搬 入用受け渡しステージと搬送ブロック B2にウェハ Wを搬出するときに用いる搬出用 受け渡しステージとの 2段構成とされてレ、る。なお受け渡しステージ 24は搬送ブロッ ク B2内であって第 1の搬送手段 22がアクセスできる領域に設けるようにしてもよいし、 搬送ブロック B2に対してウェハ Wを搬出入する時に共通の受け渡しステージを用い るようにした 1段構成のものであってもよい。
[0023] 搬送ブロック B2には、キャリア Cの配列方向に略直交する方向に直線状に伸びるよ うに搬送路をなすガイドレール 25が設けられており、第 2の搬送手段 23は、例えばゥ ェハ Wを保持するための 2枚の保持アームを備えると共に、ガイドレール 25に沿って キャリア Cの配列方向に略直交する方向に移動自在、昇降自在、進退自在、鉛直軸 周りに回転自在に構成されてレ、る。
[0024] また搬送ブロック B2には、搬送路に沿って配列された複数の処理ブロックが、装置 本体をなす搬送ブロック B2に対して着脱自在に設けられている。具体的には、搬送 ブロック B2には、キャリアブロック B1の奥側に所定の空間を介して、キャリアブロック B1側から見て 1番目の処理ブロック B3及び 2番目の処理ブロック B4とが接続されて いる。この例では処理ブロック B3及び処理ブロック B4は各部分の配置のレイアウトも 含めて同一の構成に構成されている。つまり処理ブロック B3, B4は同じ大きさに形 成されると共に、ウェハ Wに対して同じ品種の一連の処理を行うように、処理ブロック B3, B4に配設される処理ユニットの種類や個数、レイアウトが同一の構成に設定さ れている。
[0025] 具体的に第 1の処理ブロック B3を例にして図 3、図 4、図 5をも参照して説明すると、 処理ブロック B3の中央には第 3の搬送手段 31が設けられており、これを取り囲むよう に例えばキャリアブロック B1から奥を見て例えば右側には、例えば 2個の塗布ュニッ ト(C〇T) 32と、 2個の現像ユニット (DEV)33と、 1個の反射防止膜形成ユニット (AR C) 34とを多段例えば 5段に積み重ねた液処理ユニット群 U1が、左側の手前側、奥 側には加熱 ·冷却系のユニット等を多段例えばこの例では夫々例えば 6段、 10段に 積み重ねた棚ユニット U2, U3が夫々配置されている。
[0026] 塗布ユニット 32、現像ユニット 33、反射防止膜形成ユニット 34は各々液処理ュニッ トをなすものであり、塗布ユニット 32はウェハ Wにレジスト液を塗布する処理を行うュ ニット、現像ユニット 33は例えば露光後の基板に現像液を液盛りし、所定時間そのま まの状態にして現像処理を行うユニット、反射防止膜形成ユニット 34は、例えばレジ スト液を塗布する前にウェハ表面に反射防止膜 (Bottom— ARC)を形成するための 反射防止膜形成ユニットである。またレジスト成膜後に、その表面に反射防止膜 (To p— ARC)を形成する場合もある。
[0027] 棚ユニット U2, U3は、搬送ブロック B2の第 2の搬送手段 23がアクセスできる領域 に複数のユニットを積み上げて構成され、例えばこの例では、塗布ユニット 32や反射 防止膜形成ユニット 34等での液処理の後に、塗布液に含まれる溶媒を除去するため の例えば 3個の減圧乾燥ユニット(VD)、レジスト液の塗布前にウェハ Wに所定の加 熱処理を行うための例えば 4個の加熱ユニット(LHP)、レジスト液の塗布後にウェハ の加熱処理を行うためのプリべ一キングユニットなどと呼ばれている例えば 1個の加 熱ユニット(PAB)、露光後のウェハ Wを加熱処理するポストェクスポージャーべーキ ングユニットなどと呼ばれている例えば 2個の加熱ユニット(PEB)、ウェハ Wを所定 温度に調整するための温調ユニットである例えば 2個の温調ユニット(CPL)の他、処 理ブロック B3にウェハ Wを搬入するための例えば 1個の受け渡しユニット(TRS1)や 、処理ブロック SIからウェハ Wを搬出するための例えば 1個の受け渡しユニット(TR S2)等が上下に割り当てられている。
[0028] これら受け渡しユニット TRA1 , TRS2は、本発明の第 2の受け渡しステージに相当 するものである。図 3—図 5はこれらユニットのレイアウトの一例を示している力 ュニッ トの種類や数はこれに限られるものではなぐこの例においても受け渡しユニットを 1 個とし、当該受け渡しユニットをウェハ Wを処理ブロック B3に搬入するときにも、処理 ブロック B3からウェハ Wを搬出するときにも用いるようにしてもょレ、。
[0029] 第 3の搬送手段 31は、後述するように昇降自在、進退自在及び鉛直軸まわりに回 転自在に構成され、液処理ユニット群 U1 ,棚ユニット U2, U3の間で基板 Gを搬送 する役割を持っている。但し図 2では便宜上第 2の搬送手段 22は描いていなレ、。また 第 2の搬送手段 23は第 1の搬送手段 22から受け渡されたウェハ Wを処理ブロック B3 の受け渡しユニット TRS1 (TRS2)に受け渡すように、既述のようにガイドレール 25に 沿って図 1中左右方向に移動自在、昇降自在、進退自在、鉛直軸回りに回転自在に 構成されている。
[0030] またこの例では、搬送ブロック B2の上方側と処理ブロック B3の第 3の搬送手段 31 が設けられている領域の上方側には、回転羽のついたファンと ULPAフィルタゃケミ カルフィルタとで構成されたファンフィルタユニット(FFU) 35力 S設けられ、このファン フィルタユニット 35によりパーティクル及びアンモニア成分が除去されて清浄化され た空気が搬送ブロック B2内の下方側及び第 3の搬送手段 31が設けられている領域 の下方側に夫々供給されるようになっている。さらに処理ブロック B3内の棚ユニット U 2, U3が設けられている領域の上方側と、処理ブロック B3内の液処理ユニット群 U1 が設けられている領域の上方側とには、夫々電装品格納部(Elec) 36が設けられ、こ の中には、搬送手段などのモータに接続されるドライバや各ユニットに接続される 1/ Oボードや各ユニットを制御する制御部などが格納される。
[0031] 液処理ユニット群 U1の下方側の床面近くには、現像液や反射防止膜形成液等の 塗布液等の薬液や、温調用流体、現像液、不活性ガス等の夫々のタンク等を収納し たケミカルユニット U4が設けられると共に、棚ユニット U2, U3の下方側の床面近くに は、外部から用力を取り込むための複数の用カラインを備えた第 1の用力ユニット U5 が設けられている。複数の用カラインは、互いに異なる用力を供給するものであり、そ れら複数の用カラインの各々は、下流側で分岐されて各処理ユニットに導かれてい る。具体的には、用力ユニット U5には、例えば図 5、図 6Aおよび図 6Bに示すように、 温調用流体をなす巿水、現像液等の薬液、不活性ガスやドライエアの供給ライン等 を含む第 1の用カライン 41と、当該処理ブロック B3に設けられた液処理系ユニット、 加熱 ·冷却系ユニット等を作動させるための給電線や、 INPUTZOUTPUTである I /〇信号線等の信号線を含む第 2の用カライン 42と、が設けられている。ここでケミカ ルユニット U4の薬液等のタンクは第 1の用カライン 41と接続されている。
[0032] 第 1及び第 2の用カライン 41 , 42は、外部の対応する用カラインの接続端に対して 脱着できるように構成された各用カラインの接続端 41a, 42aを備えている。一方搬 送ブロック B2には、図 7に示すように、第 1の用力ユニット U5に対応する外部側の第 2の用力ユニット U6が設けられており、この用力ユニット U6は、搬送ブロック B2の第 2の搬送手段 23の下方側に、外部の用カラインの接続端 41b, 42bを備えている(図 3参照)。また第 2の用力ユニット U6の外部の用カラインの接続端 41b, 42bの多端 側は、巿水ゃ現像液、不活性ガスやドライエアの供給源、給電ケーブル、 I/O信号 線等に夫々接続されている。こうして処理ブロック B3を搬送ブロック B2の第 2の搬送 手段 23側に押し入れたときに、外部側(搬送ブロック B2側)の接続端 41b, 42bと、 処理ブロック B3側の接続端 41a, 41bとが接続されるように構成されている。ここで搬 送ブロック B2側の用カラインは電装品格納部 36を介して各ユニットに分岐されるよう になっている。
[0033] 第 2の処理ブロック B4の第 1の処理ブロック B3の反対側はインターフェイス部 B5を 介して露光装置 B6と接続されている。またインターフェイス部 B5は搬送ブロック B2 のキャリアブロック B1に接続された側の反対側と接続するように設定されている。イン タ—フェイス部 B5は受け渡し手段 26を備えており、この受け渡し手段 26は、例えば 昇降自在、左右、前後に移動自在かつ鉛直軸まわりに回転自在に構成され、搬送ブ ロック B2の第 2の搬送手段 23と露光装置 B6との間で基板 Gの受け渡しを行うように なっている。ここでインターフェイス部 B5の、搬送ブロック B2が接続された領域の近 傍には、インターフェイス部 B5の受け渡し手段 26と搬送ブロック B2の搬送手段 23と の間でウェハ Wの受け渡しを行うための、例えば 2段に構成された受け渡しステージ 27が設けられている。なお受け渡しステージ 27は、搬送ブロック B2内部であって、 第 2の搬送手段 23とインターフェイス部 B5の受け渡し手段 26とがアクセスできる領 域に設けるようにしてもよいし、 1段構成のものであってもよい。
[0034] またこの例では、キャリアブロック Cと第 1の処理ブロック B3との間の空間は、処理ブ ロック 1台分が収納できる空間として構成されており、新たに処理ブロック B0が装着 できるようになつている。ここで例えばキャリアブロック B1と搬送ブロック B2との間は回 転軸 28を介して接続されており、新たに処理ブロック B0を組み込む場合には、図 8 Aに示すように、キャリアブロック B1を回転軸 28を介して回動させて搬送ブロック B2 力 離し、搬送ブロック B2とキャリアブロック B1との間を開いた状態で、当該空間内 に新たな処理ブロック B0を搬送し、既述のように当該処理ブロック B0を搬送ブロック B2に引き込んで、処理ブロック B0側の用カラインの接続端 41a, 42aと搬送ブロック B2側の用カラインの接続端 41b, 42b同士を接続して(図 6A)参照)、搬送ブロック B2にヒンジ 528を用いて新たな処理ブロック B0を装着し、次いで図 8Bに示すように 、キャリアブロック B1を元の位置、つまりキャリア載置部 21が搬送ブロック B2と、新た な処理ブロック B0とに隣接する位置まで戻す。つまり、キャリアブロック B1は搬送ブロ ック B2の端部に設けられた回転軸 28を中心として回転することが可能である。処理 ブロック BO, B3, B4は搬送ブロック B2にヒンジ 528により取り付けられた後、ヒンジ 5 28を中心として回転されることで位置決めされる。
[0035] この際、例えば図 9、図 10に示すように、処理ブロック B0の下端側には、例えば処 理ブロック B0の進行方向(搬送ブロック B2側に進む方向)の前方側と後方側の、進 行方向から見て幅方向の両側にキャスター 43が取り付けられている。一方搬送ブロ ック B2の下部側には、幅方向のキャスター 43同士の間隔よりも幅狭の、ガイド部材を なすガイドプレート 44が設けられており、このガイドプレート 44の両側をキャスター 43 が通るようになつている。またガイドプレート 44の搬入側(手前側)と、処理ブロック B0 の下端側の搬入側(手前側)には、処理ブロック B0を搬送ブロック B2に装着したとき にワンタッチで係合接続できる固定部材 45 (45a、 45b)が設けられている。この固定 部材 45は位置決め部材としても作用するものである。 [0036] この例では、処理ブロック BOを新たに装着するときには、例えば処理ブロック B0を 、キャスター 43がガイドプレート 44の両側を通るように引き込み、処理ブロック B0とガ イドプレート 44とが固定部材 45により位置決めされ、係合接続されると、処理ブロック B0側の用カラインの接続端 41a, 42aと、外部(搬送ブロック B2)側の用カラインの 接続端 41b, 42bと力 一括して接続される。なお処理ブロック B0を引き込むために 設けられたガイドプレート 44や固定部材 45は、処理ブロック B0と隣接するキャリアブ ロック B1や第 1の処理ブロック B3の側部に設けるようにしてもよい。
[0037] ここで図 3中 29a, 29bは搬送ブロック B2の処理ブロック BOの受け渡しユニット TRS 1 , TRS2に対応する位置に形成されたウェハ Wの搬送口であり、ウェハ Wはこの搬 送口 29a, 29bを介して、搬送ブロック B2の第 2の搬送手段 23により当該処理ブロッ ク B0内に受け渡される。
[0038] 続いて処理ブロック B3, B4に設けられる塗布ユニット 32や加熱ユニット(PEB)等 の構成について簡単に説明する。先ず塗布ユニット 32について図 11を用いて説明 する。塗布ユニットは公知である、基板上に処理液を供給し、回転させて液を拡げる スピン塗布式の構成を用いてもよいが、ここではスキャン式塗布装置を例にして説明 する。ウェハ Wの周縁部は、一部切り欠かれていて、ウェハ Wの向きを示すノッチ N が設けられている。図中 51は基板保持部であり、ウェハ Wの裏面側を吸着して略水 平に保持する吸着部 51aと、吸着部 51aを昇降自在及び鉛直軸周りに回動自在とす ると共に、 X方向に移動可能な駆動基体 52とで構成され、駆動基体 52はその下端を 移動体 53によって支持されている。
[0039] この移動体 53の底面近傍にはモータ Mlにより駆動されるボールネジ部 54が設け られ、モータ Mlがボールネジ部 54を回転させることで移動体 53は図示しないレー ノレにガイドされて図中 Y方向へ移動するようになっている。また移動体 53の上面には 駆動基体 52を X方向にガイドする図示しないレールが設けられていて、駆動基体 52 及び移動体 53の働きにより、基板保持部 51に保持されるウェハ Wが夫々 X及び Y方 向の任意の位置へと移動可能に構成されている。これら移動体 53、図示しないレー ノレ、ボールネジ部 54及びモータ Mlにより、ウェハ Wを、ウェハ Wの上方側に設けら れた塗布液ノズル 55に対して相対的に前後方向に移動させる、すなわちウェハ Wを 図 11における Y軸方向に移動させるようになってレ、る。
[0040] 塗布液ノズル 55は、図示しない駆動プーリと従動プーリと、これら各プーリに掛けら れるエンドレスベルトと、駆動プーリを回転させるモータ Μ2等が組み込まれ、 X方向 に伸びる長方形状の駆動基体 56によって、 X方向に移動自在に構成されている。図 中 57 (57a, 57b)は、上方から落下してくる塗布液を受け止め、ウェハ Wの外縁近 傍領域への塗布液の供給を防ぐための一対の液受け部である。
[0041] この塗布ユニット 32においては、塗布液ノズル 55がウェハの一端面から他端面に 移動すると、そのタイミングに合わせてウェハ Wがそれに交差する方向に間欠送りさ れる。このような動作を繰り返すことにより、いわゆる一筆書きの要領で塗布液がゥェ ハ Wに塗布されるようになってレ、る。
[0042] また反射防止膜形成ユニット 34は例えば塗布ユニット 32と同様に構成されており、 塗布ユニット 32の次工程の処理ユニットである減圧乾燥ユニット (VD)は、例えば密 閉容器内にて、所定の真空度に減圧しながらウェハ Wを所定温度に加熱することに より、塗布膜中の溶媒を蒸発させ、これにより塗布膜を形成するように構成されている 。さらに現像ユニット 33は、供給ノズノレからウェハ Wの中央部にウェハ Wの径方向の 幅に沿って現像液を供給すると共に、ウェハ Wを半回転させることによりウェハ W上 に現像液を液盛りし、こうしてウェハ W上に現像液を所定時間液盛りしたままの状態 にして所定の現像処理を行なうようになっている。
[0043] また加熱ユニットであるポストェクスポージャーべ一キングユニット(PEB)につレ、て 図 12により説明する。筐体 6の中には、ステージ 60の上面に、前方側に冷却プレー ト 61力 後方側にヒータ 62aを備えた加熱プレート 62が夫々設けられている。冷却プ レート 61は、筐体 6内にシャツタ 63aを備えた開口部 63を介して進入してくる第 3の 搬送手段 31と、加熱プレート 62との間でウェハ Wの受け渡しを行うと共に、搬送時に おいては加熱されたウェハ Wを粗冷却する(粗熱取りを行う)役割を有するものである 。このため図に示すように脚部 61aが、図示しないガイド手段に沿って Y方向に進退 可能に構成されており、これにより冷却プレート 61が開口部 63の側方位置から加熱 プレート 62の上方位置まで移動できるようになつている。また冷却プレート 61の裏面 側には図示しない冷却流路が設けられている。 [0044] ステージ 60における第 3の搬送手段 31と冷却プレート 61とのウェハ Wの受け渡し 位置、及び加熱プレート 62と冷却プレート 61とのウェハ Wの受け渡し位置の夫々に は、支持ピン 64が突没自在に設けられており、冷却プレート 61には、これら支持ピン 64が上昇したときに当該冷却プレート 61を突き抜けてウェハ Wを持ち上げることがで きるように図示しないスリットが形成されている。図中 66はファン 66aを介して連通す る通気室であり、図中 67はファン 67aを備えた通気口である。
[0045] このような加熱ユニット(PEB)では、ウェハ Wは第 3の搬送手段 31から冷却プレー ト 61上に受け渡され、次いで冷却プレート 61により加熱プレート 62上に受け渡され、 ここで所定の加熱処理が行われる。加熱処理後のウェハは、加熱プレート 62から再 び冷却プレート 61に受け取られ、ここで粗冷却された後、第 3の搬送手段に受け取ら れて、次工程に搬送される。
[0046] またその他の加熱ユニット(LHP)、 (PAB)は、夫々ウェハ Wを所定温度まで加熱 するための加熱プレートのみを備える構成であり、温調ユニット(CPL)は、ウェハ W を所定温度に調整するための冷却プレートのみを備える構成である。
[0047] また第 3の搬送手段 31について、図 13により説明すると、この搬送手段 31は、ゥェ ハ Wを保持する例えば 3枚のアーム 71と、このアーム 71を進退自在に支持する基台 72と、この基台 72を昇降自在に支持する一対の案内レール 73a, 73bと、これら案 内レール 73a, 73bの上端及び下端を夫々連結する連結部材 74a, 74bと、案内レ ール 73a, 73b及び連結部材 74a, 74bよりなる枠体を鉛直軸周りに回転自在に駆 動するために案内レール下端の連結部材 74bに一体的に取り付けられた回転駆動 部 75と、案内レール上端の連結部材 74aに設けられた回転軸部 76と、を備えている
[0048] アーム 71は、夫々ウェハ Wを保持しうるように 3段構成になっており、アーム 71の基 端部は基台の長手方向に沿ってスライド移動し得るようになつている。そのスライド移 動によるアーム 71の進退移動は、図示しない駆動手段により駆動制御される。また 基台 72の昇降移動は、図示しない別の駆動手段により駆動制御される。このようにし てアーム 71は鉛直軸周りに回転自在かつ昇降自在かつ進退自在に駆動されるよう になっている。 [0049] このような基板処理装置におけるウェハの流れについて、第 1の処理ブロック B3及 び第 2の処理ブロック B4にてウェハ Wに対して同じ品種の塗布膜を形成する場合を 例にして説明すると、自動搬送ロボット(あるいは作業者)により例えば 25枚のウェハ Wを収納したキャリア C力 S、外部からキャリアブロック B1のキャリア載置部 21に搬入さ れる。次いで第 1の搬送手段 22によりこれらキャリア C内力 n番目のウェハ Wが取り 出され、キャリアブロック B1の受け渡しステージ 24に受け渡される。この受け渡しステ ージ 24のウェハ Wは搬送ブロック B2の第 2の搬送手段 23により、例えば第 1の処理 ブロック B3の受け渡しユニット TRS1を介して第 3の搬送手段 31に受け渡される。同 様にキャリア C内の(n+ 1)番目のウェハ Wは、キャリアブロック B1の受け渡しステー ジ 24、搬送ブロック B2の第 2の搬送手段 23を介して例えば第 2の処理ブロック B4の 受け渡しユニット TRS1を介して第 3の搬送手段 31に受け渡される。こうしてキャリア C内のウェハ Wは、例えば第 1の処理ブロック B3と第 2の処理ブロック B4とに順番に 受け渡される。
[0050] この例では第 1の処理ブロック B3と第 2の処理ブロック B4とでは同じ品種の処理例 えばレジスト膜の形成処理がブロック単位で行なわれるので、ここでは第 1の処理ブ ロック B3を例にして処理ブロック B3内でのウェハ Wの流れについて説明する。先ず 受け渡しユニット TRS 1のウェハ Wは第 3の搬送手段 31により、温調ユニット(CPL) →反射防止膜形成ユニット (Bottom - ARC)34→減圧乾燥ユニット (VD)の順序で 搬送されて反射防止膜が形成された後、加熱ユニット (LHP)→温調ユニット (CPL) →塗布ユニット 32→減圧乾燥ユニット (VD)の順序で搬送されてレジスト液の塗布処 理が行なわれる。この際、従来のスピン式塗布装置を用いた場合には条件によって 必ずしも減圧乾燥ユニット (VD)は必要ではない。
[0051] 加熱ユニット(PAB)にて所定の加熱処理が行なわれた後、ウェハ Wは出力用の受 け渡しユニット TRS2を介して搬送ブロック B2の第 2の搬送手段 23に受け渡され、こ の第 2の搬送手段 23によりインターフェイス部 B5の受け渡しステージ 27に受け渡さ れる。次いでウェハ Wはインターフェイス部 B5の受け渡し手段 26により露光装置 B6 に搬送され、所定の露光処理が行なわれる。
[0052] 露光後のウェハ Wは、再びインターフェイス部 B5の受け渡し手段 26、受け渡しステ ージ 27、搬送ブロック B2の第 2の搬送手段 23を介して、レジスト液が塗布された元 の処理ブロックつまり第 1の処理ブロック B3の入力用受け渡しユニット TRS1を介して 当該処理ブロック B3に搬送され、ここで第 3の搬送手段 31により加熱ユニット(PEB) →温調ユニット (CPL)→現像ユニット 33の順序で搬送されて、所定の現像処理が行 なわれた後、加熱ユニット(LHP)にて所定温度に調整され、出力用受け渡しユニット TRS2を介して搬送ブロック B2の第 2の搬送手段 23に受け渡される。そしてキャリア ブロック B1の受け渡しステージ 24、第 1の受け渡し手段 22を介して例えば元のキヤリ ァ C内に戻される。
[0053] 同様に第 2の処理ブロック B4にて反射防止膜と、レジスト液が塗布されたウェハ W は、搬送ブロック B2の第 2の搬送手段 23によりインターフェイス部 B5を介して露光装 置 B6に搬送されて、所定の露光処理が行なわれた後、インターフェイス部 B5、第 2 の搬送手段 23を介してレジスト液が塗布された元の処理ブロックつまり第 2の処理ブ ロック B4に戻されて、ここで現像処理が行なわれる。この後、搬送ブロック B2の第 2 の搬送手段 23、第 1の搬送手段 22を介してキャリアブロック B1に戻される。
[0054] このようにこの例では、第 1の処理ブロック B3 (又は第 2の処理ブロック B4)にてレジ スト液が塗布されたウェハ Wは当該ブロック B3 (B4)にて現像処理が行なわれるよう に、第 1の処理ブロック B3、第 2の処理ブロック B4の夫々においてブロック単位で 1 つの品種の塗布膜の形成が行なわれ、夫々の処理ブロック B3, B4内にて塗布膜の 形成が完結するようになっている。
[0055] このような構成では、搬送ブロック B2が設けられており、当該搬送ブロック B2の第 2 の搬送手段 23により、キャリアブロック B1と各処理ブロック B3, B4同士の間や、各処 理ブロック B3, B4とインターフェイス部 B5同士の間でのウェハ Wが行われるようにな つている。また各処理ブロック B3、 B4ではブロック毎に並列処理が行なわれる。つま り各処理ブロック B3、 B4の第 3の搬送手段 31は当該処理ブロック B3、 B4内におけ るウェハ Wの搬送のみを担当すればよぐ従来に比べて当該搬送手段 31の負担が 軽減する。これにより処理後のウェハ Wが搬送手段 31による搬送を待機するという事 態が起こりにくぐ搬送時間の短縮が図られ、装置全体から見るとスループットの向上 を図ることができる。 [0056] また処理ブロックは搬送ブロック B2 (装置本体)に対して着脱自在に設けられてレ、 るので、納品時には処理ブロックを 1台又は 2台にしておき、露光装置 B6の処理枚数 の調整に合わせて、後から処理ブロックを追加することができる。つまり処理ブロック の処理枚数を例えば 10枚/時間程度増やすのであれば、処理ブロック毎の調整に よって対応できるが、 50枚/時間程度増やすことは困難である。し力 ながら 1個の 処理ブロックの処理枚数は 50枚程度であるので、露光装置 B6の調整の程度に合わ せて、処理ブロック自体を増加していくことにより、大幅な装置の変更を行なうことなく 、処理ブロックトータルの処理枚数を 50枚→100枚→150枚と段階的に大幅に増加 させること力できる。このため納品時の設備投資や、処理枚数の増加時の装置の変 更に要する時間を最小限に抑えることができる。
[0057] また処理ブロック単位で 1つの品種の処理が完結しているので、出荷前に調整ゃ条 件出しを予め行うことができ、これにより処理ブロックの増設時の現地での調整作業 の手間や時間を削減することができる。
[0058] さらに納品先の各社毎に要求する処理枚数が異なり、特に加熱ユニットでのベータ 処理等が異なる場合であっても、処理ブロック単位で処理が完結しており、当該処理 ブロック内での搬送手段 31の搬送プログラムのみを考慮すればよいので、従来のよ うに第 1一第 3の処理ブロック 12A— 12C全体で一連の処理を行なう場合に比べて、 1つの処理ユニットでの処理時間の違いが搬送手段 31に与える影響が小さくなり、各 社毎の処理枚数の合わせこみがしゃすレ、。
[0059] さらに処理ブロックを追加するときには、既述のように処理ブロック側の用カラインの 接続端 41a, 42aと、外部(搬送ブロック)側の用カラインの接続端 41b, 42bとを、一 括して接続すればよいので、処理ブロックを増設するときの用力系の接続作業が容 易である。
[0060] この実施の形態では、複数の処理ブロックにて同じ品種の処理を行なう場合を例に して説明したが、複数の処理ブロックの各々において、異なる品種の処理を行なうよ うにしてもよい。
[0061] また本発明の基板処理装置は図 14一図 16のように構成してもよい。この例の基板 処理装置が上述の例と異なる点は、第 1一第 3の処理ブロック S1 S3の内部の構成 のみである。この基板処理装置について、複数の処理ブロック S1— S3にて異なる品 種の処理を行なう場合を例にして説明する。 3個の処理ブロック S1— S3は同じ大きさ に形成され、ブロック毎にウェハ Wに対して異なる品種の一連の処理を行うものの、 処理ブロックに配設される処理ユニットのレイアウトは同一に構成されている。
[0062] つまりキャリアブロック B1側から見て手前側に、液処理系の処理ユニットを多段例え ば 5段に配歹した 2個の液処理ユニット群 81A, 81B、この奥側には第 3の搬送手段 82を挟んで、加熱 ·冷却系の処理ユニットを多段例えば 10段と、 6段に配列した 2個 の棚ユニット 83A, 83Bが夫々設けられており、第 3の搬送手段 82により液処理ュニ ット群 81A, 81B、棚ユニット 83A, 83Bの間でウェハ Wの受け渡しが行われるように なっている。また搬送ブロック B2側の棚ユニット 83Aは、搬送ブロック B2の第 2の搬 送手段 23によりアクセスできる位置に、第 2の搬送手段 23と第 3の搬送手段 82との 間でウェハ Wの受け渡しを行うための受け渡しステージをなす受け渡しユニット (TR S1、TRS2)を備えてレヽる。
[0063] 第 1の処理ブロック S1では、例えばウェハ Wに対して下層側反射防止膜(BARC) とレジスト膜と上層側反射防止膜 (TARC)とを形成する処理が行われるように、液処 理ユニット群 81A, 81Bには、例えば 1個の下層側反射防止膜形成ユニット(BARC )と、 1個の塗布ユニット(COT)と、 1個の上層側反射防止膜形成ユニット (TARC)と 、 2個の現像ユニット (DEV)とが配列され、棚ユニット 82A, 82Bには、例えば 3個の 減圧乾燥ユニット(VD)、例えば 3個の加熱ユニット(LHP)、例えば 1個の加熱ュニ ット(PAB)、例えば 2個の加熱ユニット(PEB)、例えば 3個の温調ユニット(CPL)の 他、例えば 2個の受け渡しユニット(TRS1、 TRS2)等が上下に割り当てられている。
[0064] 第 2の処理ブロック S2では、例えばウェハ Wに対してレジスト膜と上層側反射防止 膜の形成処理が行われるように、液処理ユニット群 81A, 81Bには、例えば 1個の塗 布ユニット(COT)と、 1個の上層側反射防止膜形成ユニット (TARC)と、 2個の現像 ユニット (DEV)とが配列され、棚ユニット 82A, 82Bには、例えば 1個の疎水化処理 ユニット(ADH)、 2個の減圧乾燥ユニット(VD)、例えば 2個の加熱ユニット(LHP)、 例えば 1個の加熱ユニット(PAB)、例えば 2個の加熱ユニット(PEB)、例えば 3個の 温調ユニット(CPL)の他、例えば 2個の受け渡しユニット(TRS1、 TRS2)等が上下 に割り当てられている。
[0065] 第 3の処理ブロック S3では、例えばウェハ Wに対して下層側反射防止膜とレジスト 膜の形成処理が行われるように、液処理ユニット群 81A, 81Bには、例えば 1個の塗 布ユニット(C〇T)と、 1個の下層側反射防止膜形成ユニット (BARC)と、 2個の現像 ユニット (DEV)とが配列され、棚ユニット 82A, 82Bには、例えば 2個の減圧乾燥ュニ ット(VD)、例えば 3個の加熱ユニット(LHP)、例えば 1個の加熱ユニット(PAB)、例 えば 2個の加熱ユニット(PEB)、例えば 3個の温調ユニット(CPL)の他、例えば 2個 の受け渡しユニット (TRS1、 TRS2)等が上下に割り当てられている。その他の構成 は、上述の図 1に示す基板処理装置と同様に構成されている。
[0066] このような基板処理装置におけるウェハ Wの流れについて、同じキャリア C内に第 1 の処理が行なわれるウェハ W1と、第 2の処理が行なわれるウェハ W2と、第 3の処理 が行なわれるウェハ W3とが、収納されている場合を例にして説明する。先ずキャリア ブロック B1のキャリア載置部 21に搬入されたキャリア C1内から第 1の搬送手段 22に より第 1の処理が行われるウェハ W1が取り出され、キャリアブロック B1の受け渡しス テージ 24に受け渡される。
[0067] この受け渡しステージ 24のウェハ Wは搬送ブロック B2の第 2の搬送手段 23により、 例えば第 1の処理ブロック S1の棚ユニット 83Aの受け渡しユニット TRS1を介して第 3 の搬送手段 31に受け渡され、処理ブロック S1内において、例えば温調ユニット(CP L)→下層側反射防止膜形成ユニット (BARC)→減圧乾燥ユニット (VD)の順序で 搬送されて下層側反射防止膜が形成された後、加熱ユニット (LHP)→温調ユニット ( CPL)→塗布ユニット→減圧乾燥ユニット (VD)の順序で搬送されてレジスト液の塗 布処理が行なわれる。次レ、で加熱ユニット(PAB)→温調ユニット (CPL)→上層側反 射防止膜形成ユニット (TARC)→減圧乾燥ユニット (VD)→加熱ユニット (LHP)の 順序で搬送されて上層側反射防止膜が形成された後、出力用の受け渡しユニット T RS2→搬送ブロック B2の第 2の搬送手段 23→インターフェイス部 B5の受け渡しステ ージ 27→受け渡し手段 26→露光装置 B6の経路で搬送され、ここで所定の露光処 理が行なわれる。
[0068] 次いで露光後のウェハ Wは、インターフェイス部 B5の受け渡し手段 26→受け渡し ステージ 27→第 2の搬送手段 23の経路で、レジスト液が塗布された元の処理ブロッ クつまり第 1の処理ブロック S 1の入力用受け渡しユニット TRS 1を介して当該処理ブ ロック S 1に搬送され、ここで加熱ユニット(PEB)→温調ユニット (CPL)→現像ュニッ ト(DEV)に搬送されて、所定の現像処理が行なわれた後、加熱ユニット(LHP)にて 所定温度に調整され、こうして下層側反射防止膜とレジスト膜と上層側反射防止膜と が形成される第 1の処理が行われたウェハ Wは、出力用受け渡しユニット TRS2→第 2の搬送手段 23→キャリアブロック B1の受け渡しステージ 24→第 1の受け渡し手段 2 2の経路で、例えば元のキャリア C内に戻される。
[0069] また同じキャリア C内から取り出された第 2の処理が行われるウェハ W2は、キャリア ブロック B1の受け渡しステージ 24を介して第 2の搬送手段 23により、例えば受け渡 しユニット TRS1を介して第 2の処理ブロック S2の第 3の搬送手段 31に受け渡され、 処理ブロック S2内において、例えば疎水化処理ユニット(ADH)→温調ユニット (CP L)→塗布ユニット (COT)→減圧乾燥ユニット (VD)の順序で搬送されてレジスト液 の塗布処理が行なわれる。次レ、で加熱ユニット(PAB)→温調ユニット (CPL)→上層 側反射防止膜形成ユニット (TARC)→減圧乾燥ユニット (VD)→加熱ユニット (LHP )の順序で搬送されて上層側反射防止膜が形成された後、出力用の受け渡しュニッ ト TRS2→搬送ブロック Bの第 2の搬送手段 23→インターフェイス部 B5の受け渡しス テージ 27→受け渡し手段 26→露光装置 B6の経路で搬送され、ここで所定の露光 処理が行なわれる。
[0070] 次いで露光後のウェハ Wは、上述の第 1の処理と同様の経路でレジスト液の塗布と 上層側反射防止膜が形成された第 2の処理ブロック S2に搬送されて、所定の現像処 理が行われた後、こうしてレジスト膜と上層側反射防止膜とが形成される第 2の処理 が行われたウェハ Wは、例えば元のキャリア C内に戻される。
[0071] また同じキャリア C内から取り出された第 3の処理が行われるウェハ W3は、キャリア ブロック B1の受け渡しステージ 24を介して第 2の搬送手段 23により、例えば第 3の処 理ブロック S3の受け渡しユニット TRS1を介して第 3の搬送手段 31に受け渡され、処 理ブロック S3内において、例えば温調ユニット (CPL)→下層側反射防止膜形成ュ ニット(BARC)→減圧乾燥ユニット (VD)→加熱ユニット(LHP)の順序で搬送されて 下層側反射防止膜が形成された後、温調ユニット(CPL)→塗布ユニット (COT)→ 減圧乾燥ユニット (VD)→加熱ユニット(PAB)の順序で搬送されてレジスト液の塗布 処理が行なわれる。次いで出力用の受け渡しユニット TRS2→搬送ブロック Bの第 2 の搬送手段 23→インターフェイス部 B5の受け渡しステージ 27→受け渡し手段 26→ 露光装置 B6の経路で搬送され、ここで所定の露光処理が行なわれる。
[0072] 次いで露光後のウェハ Wは、上述の第 1の処理と同様の経路でレジスト液の塗布と 下層側反射防止膜が形成された第 3の処理ブロック S3に搬送されて、所定の現像処 理が行われた後、こうして下層側反射防止膜とレジスト膜とが形成される第 3の処理 が行われたウェハ Wは、例えば元のキャリア C内に戻される。
[0073] なお上述の第 1一第 3の処理においても塗布ユニットとしてスピン塗布式の構成を 用いる場合には、必ずしも減圧乾燥ユニット (VD)における処理を行なわなくてもよい
[0074] このような構成では、複数の処理ブロック B単位で異なる品種の一連の処理が完結 しているので、例えば品種の拡張を行う場合、新しい品種に対応した処理ブロック B を追加することにより対応でき、当該装置にて行われる処理の自由度が大きい。これ により上述の実施の形態で説明したように、例えば同じキャリア C内に品種の異なる 処理を行うウェハを搭載する場合等の少量多品種の生産に対応できる。
[0075] またキャリア C毎に品種の異なる処理を行うように設定してもよぐこの場合には、例 えばキャリア載置部 21に第 1の処理を行うウェハ W1が収納されたキャリア C1と、第 2 の処理を行うウェハ W2が収納されたキャリア C2と、第 3の処理を行うウェハ W2が収 納されたキャリア C3と、を載置しておき、第 1の搬送手段 22により、キャリア C1一 C3 力 順次ウェハ W1— W3を取り出して、第 2の搬送手段 23により対応する処理ブロッ ク S1 S3に搬送し、夫々の処理ブロック S1— S3内にて、所定の処理を行った後、 再び第 2の搬送手段 23、第 1の搬送手段 22により対応する元のキャリア C1一 C3内 に戻される。なお受け渡しステージ 27は、ウェハ Wを受け渡す前に基板温度を一定 化させるために温調機能を備えたものであってもよぐ複数であってもよい。
[0076] 以上において、この実施の形態では、例えば処理ブロック S1 S3に、下層側反射 防止膜形成ユニット (BASC)、塗布ユニット (COT)、上層側反射防止膜形成ュニッ ト(TARC)、減圧乾燥ユニット (VD)、加熱ユニット(LHP)、加熱ユニット(PAB)、加 熱ユニット(PEB)、温調ユニット(CPL)、受け渡しユニット(TRS1、TRS2)を同じ個 数分、同じレイアウトで配列した処理ブロックを用意しておき、各処理ブロック S1— S 3において必要な処理ユニットを使用するようにしてもよい。この場合、各処理ユニット は必要とされる最大数分予め搭載しておく。
[0077] さらに本発明の基板処理装置は、搬送ブロック B2のキャリアブロック B1に接続され た側の反対側に、インターフェイス部 B5を介して露光装置 B6を接続する構成の他に 、例えば図 17に示すように、搬送ブロック B2の処理ブロック B0,B3, B4に接続され た側の反対側に、インターフェイス部 B5を介して露光装置 B6を接続するように構成 してもよレ、。この場合、例えば図 17に示すように、インターフェイス部 B5には、搬送ブ ロック B2の第 2の搬送手段 23とインターフェイス部 B5の受け渡し手段 91との間でゥ ェハ Wの受け渡しを行うための受け渡しステージ 92が設けられる。ここで処理ブロッ クの構成は、図 1に示すようにレイアウトされてもよいし、図 14に示すようにレイアウトさ れてもよい。
[0078] さらに本発明では、図 1に示すように、処理ブロックを 3台用としながら 2台接続した 態様で納品し、後で処理枚数が増えたときに新たに処理ブロックを追加させる構成で あってもよいし、初め力 処理ブロックの空きスペースを設けずに、処理ブロックを 2台 又は 3台設ける構成であってもよい。このように処理ブロックの空きスペースを設けな い構成であっても、後から新たに処理ユニットを追加することもできる。この場合には 、処理ブロックの追加時に搬送路を延長して露光装置の位置をずらす必要があるが 、電子ビーム(EB)を用いた露光装置では、後から移動することができるので、この態 様も有効である。
[0079] さらにまた本発明では、ウェハ Wのロット毎に対応する処理ブロックを割り当ててお き、第 1のロットのウェハ Wは第 1の処理ブロック B3にて処理を行なレ、、第 2のロットの ウェハ Wは第 2の処理ブロック B4にて処理を行なうようにウェハ Wを処理ブロックに 対して搬送するようにしてもょレ、。
[0080] また本発明では、露光装置を処理ブロックに接続する構成の他、露光装置を処理 ブロックとは切り離して、別の場所に設ける構成であってもよい。この場合には、キヤリ アブロック Blのキャリア C内のウェハ Wを、第 1の搬送手段、第 2の搬送手段を介して 所定の処理ブロックに搬送して、ここで例えばレジスト液の塗布処理を行なった後、 第 2の搬送手段、第 1の搬送手段を介して再びキャリアブロック B1に戻し、この後当 該ウェハ Wを別の場所に設けられた露光装置に搬送して所定の露光処理を行なう。 次いで露光処理が行なわれたウェハ Wを再びキャリアブロック Bl、第 1の搬送手段、 第 2の搬送手段を介してレジスト液が塗布された元の処理ブロックに戻し、ここで所定 の現像処理を行なった後、再び第 2の搬送手段、第 1の搬送手段により、キャリアプロ ック B1内の元のキャリア C内に戻すことが行われる。
[0081] さらに本発明の基板処理装置では、例えばインターフェイス部 B5内に、加熱ュニッ ト(PEB)を搭載し、露光装置 B6にて露光処理した後のウェハ Wを、受け渡し手段 26 により所定時間内に優先的に加熱ユニット(PEB)に搬送するようにしてもよい。この 場合、インターフェイス部 B5内に受け渡し手段 26の他に、露光装置 B6→加熱ュニ ット(PEB)の搬送を行うための専用の搬送アームを備えるようにしてもょレ、。
[0082] さらにまた本発明の基板処理装置では、複数の処理ブロックは、平面的な大きさが 同じであれば、各々の処理ブロックは、内部の処理ユニットの種類や個数、レイアウト が夫々異なるものであってもよい。また既述のように複数の処理ブロックにおいて、同 じ品種の処理を行なうようにしてもよいし、異なる品種の処理を行なってもよレ、。また 露光装置を含まない構成としてもよいし、例えば層間絶縁膜を用途とする処理であつ てもよぐ基板に SOG (Spin On Glass)膜を形成する処理にも適用できる。また本 発明においては、基板は半導体ウェハに限られず、例えば液晶ディスプレイ用のガ ラス基板やフォトマスク基板などであってもよい。
[0083] さらに、複数の露光装置を含む構成としてもよい。図 19は、露光装置を共有する為 の実施例である。露光装置 B6は ArF露光機と、 KrF露光機とを含み、 2つの露光装 置 B6の間の距離 Lは 1000mm以上とされる。両方の露光装置 B6は、インターフェイ ス部 B5により塗布現像装置と接続される。露光装置 B6間はオペ一レーシヨン、メン テナンスが可能なスペースを確保している。露光機は同時に処理が可能とし、そのた めの塗布現像の PRBを有する処理ブロック B3, B4, B5を接続している。少量多品 種生産向けに露光装置 B6として EB (電子ビーム)露光機を接続した場合は、露光機 の並行処理により TP (スループット)の向上が実現できる。なお、図 19では、搬入路 7 00力らキャリアステーション CSを有するキャリアブロック B1にウェハのロットが導入さ れ、ドッキングステーション DSに内蔵される第 2の搬送手段 23を経由して処理ブロッ ク B3, B4, B5に導入される。

Claims

請求の範囲
[1] 複数枚の基板が収納された基板キャリア(C)が搬入出されるキャリア載置部(21)と 、このキャリア載置部(21)に載置された基板キャリア(C)に対して基板の受け渡しを 行う第 1の搬送手段(22)と、を含むキャリアブロック(B1)と、
このキャリアブロック (B1)に隣接して設けられ、直線状の搬送路に沿って基板を搬 送する第 2の搬送手段 (23)と、
前記第 1の搬送手段(22)と第 2の搬送手段(23)との間で基板の受け渡しを行うた めの第 1の受け渡しステージ(24)と、
前記搬送路に沿って配列され、装置本体に対して着脱自在に設けられる複数の処 理ブロック(BO, B3, B4)と、を備え、
各処理ブロック(BO, B3, B4)は、レジスト液を基板に塗布するための塗布ユニット (32)と、露光後の基板に対して現像処理を行うための現像ユニット(33)と、基板を 加熱するための加熱ユニット(PEB, LHP, PAB)と、これらユニットの間で基板を搬 送する第 3の搬送手段(31)と、前記第 2の搬送手段(23)と第 3の搬送手段(31)との 間で基板の受け渡しを行うための第 2の受け渡しステージ (TRS1, TRS2)と、を含 み、
各処理ブロック(B0, B3, B4)単位で基板に対してレジスト液の塗布及び/又は露 光後の現像処理を行う、基板処理装置。
[2] 前記搬送路のキャリアブロック (B1)に接続された側の反対側には、露光装置 (B6) が接続されるインターフェイス部(B5)が接続される、請求項 1記載の基板処理装置。
[3] 前記搬送路の処理ブロック(BO, B3, B4)に接続された側の反対側には、露光装 置(B6)が接続されるインターフェイス部(B5)が接続される、請求項 1記載の基板処 理装置。
[4] 複数枚の基板が収納された基板キャリア(C)が搬入出されるキャリア載置部(21)と 、このキャリア載置部(21)に載置された基板キャリア(C)に対して基板の受け渡しを 行う第 1の搬送手段(22)と、を含むキャリアブロック(B1)と、
このキャリアブロック(B1)に隣接して設けられ、直線状の搬送路に沿って基板を搬 送する第 2の搬送手段(23)と、 前記第 1の搬送手段(22)と第 2の搬送手段(23)との間で基板の受け渡しを行うた めの第 1の受け渡しステージ(24)と、
前記搬送路に沿って配列され、装置本体に対して着脱自在に設けられる複数の処 理ブロック(BO, B3, B4)と、を備え、
各処理ブロック(B0, B3, B4)は、基板に対して薬液により処理を行う液処理ュニッ ト(U1)と、基板を加熱するための加熱ユニット(PEB, LHP, PAB)と、これらユニット の間で基板を搬送する第 3の搬送手段(31)と、前記第 2の搬送手段(23)と第 3の搬 送手段(31)との間で基板の受け渡しを行うための第 2の受け渡しステージ (TRS1, TRS2)と、を含み、
各処理ブロック(B0, B3, B4)単位で基板に対して一連の処理を行う、基板処理装 置。
[5] 前記液処理ユニット (U1)は、塗布膜を形成する処理である、請求項 4記載の基板 処理装置。
[6] 前記液処理ユニット (U1)は、絶縁膜の前駆物質を含む薬液を基板に塗布するも のである、請求項 4記載の基板処理装置。
[7] 前記複数の処理ブロック(BO, B3, B4)は、平面的な大きさが同じに形成されてい る、請求項 4に記載の基板処理装置。
[8] 前記第 2の搬送手段(23)は、複数の処理ブロック(BO, B3, B4)の並びに沿って 伸びる搬送ブロックに設けられ、各処理ブロック(BO, B3, B4)は搬送ブロックに対し て着脱できるように構成されている、請求項 4に記載の基板処理装置。
[9] 前記キャリアブロック(B1)は前記搬送ブロック(B2)の端部に設けられた回転軸(2
8)を中心として回転することが可能である、請求項 8に記載の基板処理装置。
[10] 前記処理ブロック(B0, B3, B4)は前記搬送ブロック(B2)にヒンジ(528)により取 り付けられた後、前記ヒンジ(528)を中心として回転されることで位置決めされる、請 求項 8に記載の基板処理装置。
[11] 前記処理ブロック(B0, B3, B4)が配置される領域の底部または側部に処理ブロッ ク(BO, B3, B4)の位置決めをするために設けられた位置決め部材 (45)を備えた、 請求項 4に記載の基板処理装置。 [12] 前記処理ブロック(BO, B3, B4)が配置される領域の底部または側部に処理ブロッ ク(BO, B3, B4)を引き込むために設けられたガイド部材 (44)と、このガイド部材 (4
4)に処理ブロック(BO, B3, B4)の位置決めをするために設けられた位置決め部材
(45)と、を備えた、請求項 4に記載の基板処理装置。
[13] 各処理ブロック(B0, B3, B4)は、外部から用力を取り込むための複数の用力ライ ン (41 , 42)と、外部の対応する用カラインの接続端 (41b, 42b)に対して脱着できる ように構成された各用カライン (41 , 42)の接続端 (41a, 42a)と、を備えている、請 求項 4に記載の基板処理装置。
[14] 前記複数の用カライン (41 , 42)は、互いに異なる用力を供給するものであり、それ ら複数の用カライン (41 , 42)の各々は、下流側で分岐されて各処理ユニットに導か れている、請求項 13に記載の基板処理装置。
[15] 複数の用カライン (41 , 42)は、温調用流体の供給ライン、不活性ガスの供給ライン
、給電線及び信号線を含む、請求項 13に記載の基板処理装置。
[16] 外部側の接続端 (41b, 42b)は、第 2の搬送手段(23)の下方側に設けられ、処理 ブロック(BO, B3, B4)を第 2の搬送手段(23)側に押し入れたときに当該外部の接 続端 (41b, 42b)と、処理ブロック(BO, B3, B4)側の接続端 (41a, 42a)とが接続さ れるように構成されてレ、る、請求項 4に記載の基板処理装置。
[17] 用カライン (41, 42)は、更に薬液供給管を含む、請求項 16に記載の基板処理装 置。
PCT/JP2004/016723 2003-12-12 2004-11-11 基板処理装置 WO2005057648A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/582,239 US20070117400A1 (en) 2003-12-12 2004-11-11 Substrate treating apparatus
KR1020067013956A KR101060368B1 (ko) 2003-12-12 2004-11-11 기판 처리 장치

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003415467A JP4280159B2 (ja) 2003-12-12 2003-12-12 基板処理装置
JP2003-415467 2003-12-12

Publications (1)

Publication Number Publication Date
WO2005057648A1 true WO2005057648A1 (ja) 2005-06-23

Family

ID=34675131

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/016723 WO2005057648A1 (ja) 2003-12-12 2004-11-11 基板処理装置

Country Status (6)

Country Link
US (1) US20070117400A1 (ja)
JP (1) JP4280159B2 (ja)
KR (1) KR101060368B1 (ja)
CN (1) CN100446214C (ja)
TW (1) TWI273629B (ja)
WO (1) WO2005057648A1 (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4999415B2 (ja) * 2006-09-29 2012-08-15 東京エレクトロン株式会社 基板処理装置及び基板処理方法並びに基板処理装置の用力供給装置及び基板処理装置の用力供給方法
KR100799437B1 (ko) * 2007-03-28 2008-01-30 (주)오성엔지니어링 엘씨디용 포토마스크 이재기
JP4687682B2 (ja) * 2007-03-30 2011-05-25 東京エレクトロン株式会社 塗布、現像装置及びその方法並びに記憶媒体
US8636458B2 (en) * 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
JP4859814B2 (ja) * 2007-11-06 2012-01-25 株式会社東京精密 ウェーハ処理装置
JP2009135169A (ja) * 2007-11-29 2009-06-18 Tokyo Electron Ltd 基板処理システムおよび基板処理方法
CN101942645B (zh) * 2009-07-06 2013-10-09 鸿富锦精密工业(深圳)有限公司 镀膜机
JP5586191B2 (ja) * 2009-08-31 2014-09-10 武蔵エンジニアリング株式会社 作業装置
JP5361002B2 (ja) * 2010-09-01 2013-12-04 独立行政法人産業技術総合研究所 デバイス製造装置および方法
JP5466728B2 (ja) * 2012-05-24 2014-04-09 株式会社Sokudo 基板処理装置
JP2015082569A (ja) * 2013-10-22 2015-04-27 株式会社ディスコ ウエーハ加工システム
JP6562803B2 (ja) * 2015-09-30 2019-08-21 株式会社Screenホールディングス 基板処理システム
JP6539558B2 (ja) * 2015-10-05 2019-07-03 リンテック株式会社 処理装置
JP2019004179A (ja) * 2018-09-21 2019-01-10 東京エレクトロン株式会社 基板処理装置
KR102403199B1 (ko) * 2019-07-02 2022-05-27 세메스 주식회사 기판 처리 장치

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63129641A (ja) * 1986-11-19 1988-06-02 Kokusai Electric Co Ltd 各種プロセスの半導体製造ライン構成用ブロツク・システム
JPS63229836A (ja) * 1987-03-19 1988-09-26 Nikon Corp ウエハ検査装置
JPH0794572A (ja) * 1993-09-24 1995-04-07 Dainippon Screen Mfg Co Ltd 基板処理装置
JPH1012528A (ja) * 1996-06-26 1998-01-16 Dainippon Screen Mfg Co Ltd 基板処理システム
JPH1012693A (ja) * 1996-06-21 1998-01-16 Tokyo Ohka Kogyo Co Ltd 処理ユニット及び処理ユニット構築体
JP2000058618A (ja) * 1998-08-07 2000-02-25 Tokyo Ohka Kogyo Co Ltd 処理ユニット構築体
JP2000124124A (ja) * 1998-10-20 2000-04-28 Tokyo Electron Ltd 基板処理装置

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4836968A (en) * 1987-04-15 1989-06-06 Sterling Engineered Products Inc. Method of making fiber optic duct insert
DE3735449A1 (de) * 1987-10-20 1989-05-03 Convac Gmbh Fertigungssystem fuer halbleitersubstrate
JPH04326506A (ja) * 1991-04-25 1992-11-16 Canon Inc 半導体露光装置
JPH081923B2 (ja) * 1991-06-24 1996-01-10 ティーディーケイ株式会社 クリーン搬送方法及び装置
US5733024A (en) * 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
JPH09330971A (ja) * 1996-06-10 1997-12-22 Dainippon Screen Mfg Co Ltd 基板処理装置
US6168667B1 (en) * 1997-05-30 2001-01-02 Tokyo Electron Limited Resist-processing apparatus
JPH1145928A (ja) * 1997-07-25 1999-02-16 Dainippon Screen Mfg Co Ltd 基板処理装置
US6053687A (en) * 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
JP3788855B2 (ja) * 1997-09-11 2006-06-21 大日本スクリーン製造株式会社 基板処理ユニットおよびそれを用いた基板処理装置
JP3346734B2 (ja) * 1998-01-16 2002-11-18 東京エレクトロン株式会社 処理装置
JP3456919B2 (ja) * 1998-07-29 2003-10-14 東京エレクトロン株式会社 基板処理方法および基板処理装置
US6598279B1 (en) * 1998-08-21 2003-07-29 Micron Technology, Inc. Multiple connection socket assembly for semiconductor fabrication equipment and methods employing same
KR100646906B1 (ko) * 1998-09-22 2006-11-17 동경 엘렉트론 주식회사 기판처리장치 및 기판처리방법
US6277199B1 (en) * 1999-01-19 2001-08-21 Applied Materials, Inc. Chamber design for modular manufacturing and flexible onsite servicing
JP2000269297A (ja) * 1999-03-16 2000-09-29 Tokyo Ohka Kogyo Co Ltd 処理ユニット構築体
JP3462426B2 (ja) * 1999-05-24 2003-11-05 東京エレクトロン株式会社 基板処理装置
US6464789B1 (en) * 1999-06-11 2002-10-15 Tokyo Electron Limited Substrate processing apparatus
KR100616293B1 (ko) * 1999-11-11 2006-08-28 동경 엘렉트론 주식회사 기판처리장치 및 기판처리방법
TW484170B (en) * 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6485203B2 (en) * 1999-12-20 2002-11-26 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
WO2001084621A1 (en) * 2000-04-27 2001-11-08 Ebara Corporation Rotation holding device and semiconductor substrate processing device
DE10106558C1 (de) * 2001-02-13 2002-11-07 Siemens Ag System zur automatisierten Behandlung von Fluiden, mit aneinanderreihbaren, austauschbaren Prozessmodulen
JP4238485B2 (ja) * 2001-03-28 2009-03-18 独立行政法人産業技術総合研究所 密閉容器
JP2002331444A (ja) * 2001-05-07 2002-11-19 Denso Corp 製造装置
US6802935B2 (en) * 2002-03-21 2004-10-12 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor chamber process apparatus and method
JP2003297834A (ja) * 2002-03-29 2003-10-17 Toshiba Corp 半導体装置の製造方法
JP4162420B2 (ja) * 2002-04-16 2008-10-08 大日本スクリーン製造株式会社 基板処理装置
US7335277B2 (en) * 2003-09-08 2008-02-26 Hitachi High-Technologies Corporation Vacuum processing apparatus

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63129641A (ja) * 1986-11-19 1988-06-02 Kokusai Electric Co Ltd 各種プロセスの半導体製造ライン構成用ブロツク・システム
JPS63229836A (ja) * 1987-03-19 1988-09-26 Nikon Corp ウエハ検査装置
JPH0794572A (ja) * 1993-09-24 1995-04-07 Dainippon Screen Mfg Co Ltd 基板処理装置
JPH1012693A (ja) * 1996-06-21 1998-01-16 Tokyo Ohka Kogyo Co Ltd 処理ユニット及び処理ユニット構築体
JPH1012528A (ja) * 1996-06-26 1998-01-16 Dainippon Screen Mfg Co Ltd 基板処理システム
JP2000058618A (ja) * 1998-08-07 2000-02-25 Tokyo Ohka Kogyo Co Ltd 処理ユニット構築体
JP2000124124A (ja) * 1998-10-20 2000-04-28 Tokyo Electron Ltd 基板処理装置

Also Published As

Publication number Publication date
JP4280159B2 (ja) 2009-06-17
CN100446214C (zh) 2008-12-24
TW200527482A (en) 2005-08-16
KR101060368B1 (ko) 2011-08-29
CN1894789A (zh) 2007-01-10
TWI273629B (en) 2007-02-11
KR20060126538A (ko) 2006-12-07
JP2005175310A (ja) 2005-06-30
US20070117400A1 (en) 2007-05-24

Similar Documents

Publication Publication Date Title
JP4376072B2 (ja) 基板処理装置及び基板処理方法
JP4464993B2 (ja) 基板の処理システム
KR970003907B1 (ko) 기판처리 장치 및 기판처리 방법
KR100348938B1 (ko) 포토리소그라피 공정을 위한 반도체 제조장치
KR101516819B1 (ko) 기판의 처리 장치
WO2005057648A1 (ja) 基板処理装置
KR20070103694A (ko) 기판 반송 처리 장치
JP3571471B2 (ja) 処理方法,塗布現像処理システム及び処理システム
JP2000323370A (ja) 基板処理装置及び基板処理方法
JP3416078B2 (ja) 基板処理装置
US10201824B2 (en) Substrate processing apparatus and substrate processing method
JP3774283B2 (ja) 処理システム
KR100602108B1 (ko) 처리시스템
JP3441681B2 (ja) 処理装置
JP2001168009A (ja) 基板処理装置
JP2001023873A (ja) 基板処理装置
JP3710979B2 (ja) 基板処理装置
JP2014067940A (ja) 基板処理システム
JP2004266283A (ja) 基板処理装置
JP4014192B2 (ja) 基板処理装置
KR100590711B1 (ko) 처리시스템
JP2001110701A (ja) 基板処理装置
JP2007277014A (ja) 処理システム
JP2001155991A (ja) 基板処理装置
JPH11243128A (ja) 基板処理装置

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200480037053.5

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2007117400

Country of ref document: US

Ref document number: 10582239

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 1020067013956

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1020067013956

Country of ref document: KR

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 10582239

Country of ref document: US