WO1999041434A2 - Plating apparatus and method - Google Patents

Plating apparatus and method Download PDF

Info

Publication number
WO1999041434A2
WO1999041434A2 PCT/US1999/000964 US9900964W WO9941434A2 WO 1999041434 A2 WO1999041434 A2 WO 1999041434A2 US 9900964 W US9900964 W US 9900964W WO 9941434 A2 WO9941434 A2 WO 9941434A2
Authority
WO
WIPO (PCT)
Prior art keywords
subsfrate
plating
elecfrolyte
film
anode
Prior art date
Application number
PCT/US1999/000964
Other languages
English (en)
French (fr)
Other versions
WO1999041434A3 (en
Inventor
Hui Wang
Original Assignee
Acm Research, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Acm Research, Inc. filed Critical Acm Research, Inc.
Priority to EP99902321A priority Critical patent/EP1055020A2/en
Priority to AU22333/99A priority patent/AU2233399A/en
Priority to JP2000531609A priority patent/JP3523197B2/ja
Priority to CA002320278A priority patent/CA2320278C/en
Publication of WO1999041434A2 publication Critical patent/WO1999041434A2/en
Publication of WO1999041434A3 publication Critical patent/WO1999041434A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/026Electroplating of selected surface areas using locally applied jets of electrolyte
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation

Definitions

  • the present invention relates generally to a method and apparatus for plating thin films and, more particularly, plating metal films to form interconnects in semiconductor devices.
  • interconnect delay is larger than device gate delay for 0.18 ⁇ m generation devices if aluminum (Al) and SiO2 are still being used.
  • Al aluminum
  • SiO2 SiO2
  • copper and low k dielectric are a possible solution. Copper/low k interconnects provide several advantages over traditional Al/SiO2 approaches, including the ability to significantly reduce the interconnect delay, while also reducing the number of levels of metal required, minimizing power dissipation and reducing manufacturing costs. Copper offers improved reliability in that its resistance to electromigration is much better than aluminum.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • PVD Cu deposition typically has a cusping problem which results in voids when filling small gaps ( ⁇ 0.18 ⁇ m) with a large aspect ratio.
  • CVD Cu has high impurity incorporated inside the film during deposition, which needs a high temperature annealing to drive out the impurity in order to obtain a low resistivity Cu film.
  • Only electroplated Cu can provide both low resistivity and excellent gap filling capability at the same time. Another important factor is the cost; the cost of electroplating took is two thirds or half of that of PVD or CVD tools, respectively.
  • low process temperatures (30° to 60°C) for electroplating Cu are advantageous with low k dielectrics (polymer, xerogels and aerogels) in succeeding generations of devices.
  • Electroplated Cu has been used in printed circuit boards, bump plating in chip packages and magnetic heads for many years.
  • density of plating current flow to the periphery of wafers is greater than that to the center of wafers. This causes a higher plating rate at the periphery than at the center of wafers.
  • U.S. Pat. No. 4,304,841 to Grandia et al. discloses a diffuser being put between a substrate and an anode in order to obtain uniform plating current flow and electrolyte flow to the substrate.
  • U.S. Pat. No. 5,443,707 to Mori discloses manipulating plating current by shrinking the size of the anode.
  • 5,421,987 to Tzanavaras discloses a rotating anode with multiple jet nozzles to obtain a uniform and high plating rate.
  • U.S. Pat. No. 5,670,034 to Lowery discloses a transversely reciprocating anode in front of a rotating wafer to improve plating thickness uniformity.
  • U.S. Pat. No. 5,820,581 to Ang discloses a thief ring powered by a separate power supply to manipulate the plating current distribution across the wafer.
  • PVD Cu physical vapor deposition
  • CVD Cu chemical vapor deposition
  • plating current and electrolyte flow pattern are manipulated dependently, or only the plating current is manipulated. This limits the process tuning window, because the optimum plating current condition does not necessarily synchronize with optimum electrolyte flow condition for obtaining excellent gap filling capability, thickness uniformity and electrical uniformity as well as grain size and structure uniformity all at the same time.
  • plating head or plating systems are bulky with large foot prints, which causes higher cost of ownership for users.
  • SMIF Standard Mechanical Interface
  • AVG Automated Guided Vehicle
  • SECS/GEM SEMI Equipment Communication Standard/Generic Equipment Machine
  • SEMI Semiconductor Equipment and Materials International
  • MTBF mean time between failures
  • a method for plating a film to a desired thickness on a surface of a substrate in accordance with the invention includes plating the film to the desired thickness on a first portion of the substrate surface. The film is then plated to the desired thickness on at least a second portion of the substrate to give a continuous film at the desired thickness on the substrate. Additional portions of the substrate surface adjacent to and contacting the film akeady plated on one or more of the previous portions are plated as necessary to give a continuous film over the entire surface of the substrate.
  • An apparatus for plating a film on a substrate in accordance with the invention includes a substrate holder for positioning the substrate for contact with a plating electrolyte.
  • the apparatus has at least one anode for supplying plating current to the substrate and at least two flow controllers connected to supply electrolyte contacting the substrate.
  • At least one control system is coupled to the at least one anode and the at least two flow controllers to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
  • an apparatus for plating a film on a substrate in accordance with the invention includes a substrate holder for positioning the substrate for contact with a plating electrolyte.
  • the apparatus has at least two anodes for supplying plating current to the substrate and at least one flow controller connected to supply electrolyte contacting the substrate.
  • At least one control system is coupled to the at least two anode and the at least one flow controller to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the fikn on the portions of the substrate.
  • an apparatus for plating a film on a substrate in accordance with the invention includes a substrate holder for positioning the substrate for contact with a plating electrolyte.
  • the apparatus has at least one anode for supplying plating current to the substrate and at least one flow controller connected to supply electrolyte contacting the substrate.
  • the at least one flow controller comprises at least three cylindrical walls, a first of the cylindrical walls positioned under a center portion of the substrate extending upward closer to the substrate than a second one of the cylindrical walls positioned under a second portion of the substrate peripheral to the center portion.
  • a drive mechanism is coupled to the substrate holder to drive the substrate holder up and down to control one or more portions of the substrate contacting the electrolyte.
  • At least one control system is coupled to the at least one anode and the at least one flow controller to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
  • an apparatus for plating a film on a substrate in accordance with the invention includes a substrate holder for positioning the substrate for contact with a plating electrolyte. The apparatus has at least one anode for supplying plating current to the substrate and at least one flow controller connected to supply electrolyte contacting the substrate.
  • the at least one flow controller comprises at least three cylindrical walls movable upward toward the substrate and downward away from the substrate, to adjust a gap between the substrate and each of the cylindrical walls to control one or more portions of the substrate contacting the electrolyte.
  • a drive mechanism is coupled to the substrate holder to drive the substrate holder up and down to control one or more portions of the substrate contacting the electrolyte.
  • At least one control system is coupled to the at least one anode and the at least one flow controller to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
  • an apparatus for plating a film on a substrate includes a substrate holder for positioning the substrate in a body of electrolyte. At least one movable jet anode supplies plating current and electrolyte to the substrate. The movable jet anode is movable in a direction parallel to the substrate surface. A flow controller controls electrolyte flowing through the movable jet anode. At least one control system is coupled to the movable jet anode and the flow controller to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
  • an apparatus for plating a film on a substrate includes a substrate holder for positioning the substrate above an electrolyte surface.
  • a first drive mechanism is coupled to the substrate holder to move the substrate holder toward and away from the electrolyte surface to control a portion of a surface of the substrate contacting the electrolyte.
  • a bath for the electrolyte has at least one anode mounted in the bath.
  • a second drive mechanism is coupled to the bath to rotate the bath around a vertical axis to form a substantially parabolic shape of the electrolyte surface.
  • a control system is coupled to the first and second drive mechanisms and to the at least one anode to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
  • an apparatus for plating a film on a substrate includes a substrate holder for positioning the substrate above an electrolyte surface.
  • a first drive mechanism is coupled to the substrate holder to move the substrate holder toward and away from the electrolyte surface to control a portion of a surface of the substrate contacting the electrolyte.
  • a second drive mechanism is coupled to the substrate holder to rotate the substrate holder around an axis vertical to the surface of the substrate.
  • a third drive mechanism is coupled to the substrate holder to tilt the substrate holder with respect to the electrolyte surface.
  • a bath for the electrolyte has at least one anode mounted in the bath.
  • a control system is coupled to the first, second and third drive mechanisms and to the at least one anode to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
  • a method for plating a film to a desired thickness on a surface of a substrate includes providing a plurality of stacked plating modules and a substrate transferring mechanism.
  • a substrate substrate is picked from a substrate holder with the substrate transferring mechamism.
  • the substrate is loaded into a first one of stacked plating modules with the substrate transferring mechanism.
  • a fikn is plated on the substrate in the first the one of the stacked plating modules.
  • the substrate is returned to the substrate holder with the substrate transferring mechanism.
  • an automated tool for plating a film on a substrate includes at least two plating baths positioned in a stacked relationship, at least one substrate holder and a substrate transferring mechanism.
  • a frame supports the plating baths, the substrate holder and the substrate transferring mechanism.
  • a control system is coupled to the substrate transferring mechanism, substrate holder and the plating baths to continuously perform uniform film deposition on a plurality of the substrates.
  • Method 1 Portion of wafer surface is contacted with electrolyte (static anodes
  • a method for plating a thin film directly on substrate with a barrier layer on top comprising: 1) flowing electrolyte on a portion of a subsfrate surface with a barrier layer on the top; and 2) turning on DC or pulse power to plate metal film on the same portion area of subsfrate until the film thickness reaches the pre-set value; 3) repeating step 1 and 2 for additional portions of the subsfrate by flowing electrolyte to the same additional portion of subsfrate; 4) repeating step 3 until the entire subsfrate surface is plated with a thin seed layer; 5) flowing electrolyte to entire area of the subsfrate; 6) supplying power to apply positive potential to all anodes to plate the thin film until the film thickness reaches a desired thickness value.
  • another method for plating a thin film directly on a subsfrate with a barrier layer on top comprising: 1) flowing electrolyte on the full surface of the subsfrate; 2) plating the thin film only on a portion of the subsfrate surface by applying positive potential on an anode close to the same portion of wafer surface and by applying negative potential on all other anodes close to the remainder of the subsfrate surface until the plated film thickness on the same portion of the subsfrate reaches a pre-set value; 3) repeating step 2 for an additional portion of the subsfrate; 4) repeating step 3 until the whole area of subsfrate is plated with a thin seed layer; 5) plating a thin film on the whole area of the subsfrate at the same time by applying positive potential to all anodes until the thickness of the film on the whole surface of the subsfrate reaches a pre-set thickness value.
  • Method 3 Whole wafer surface is contacted by elecfrolyte at beginning, and then portion of wafer which has been plated is moved out of elecfrolyte
  • another method for plating a thin film directly on a subsfrate with a barrier layer on top comprising: 1) flowing elecfrolyte on the full surface of a subsfrate; 2) plating the thin film only on a portion of the subsfrate surface by applying positive potential on an anode close to the same portion of the subsfrate surface and by applying negative potential on all other anodes close to the remainder of the subsfrate surface until the plated film thickness on the portion of the substrate surface reaches a pre-set value; 3) move the elecfrolyte only out of contact with the all plated portion of the subsfrate and keep the electrolyte still touching the rest of the non-plated portion of the subsfrate; 4) repeat steps 2 and 3 for plating the next portion of the subsfrate; 5) repeat step 4 until the whole area of the subsfrate is plated with a thin seed layer; 6) plate a thin film on the whole subsfrate at the
  • Method 4 A portion of subsfrate is contacted by electrolyte at beginning, and then both plated portion and the next portion of the subsfrate are contacted by elecfrolyte
  • another method for plating a thin film directly on a subsfrate with a barrier layer on top comprising: 1) flowing electrolyte on a first portion of the subsfrate surface; and 2) plating the thin film only on the first portion of the subsfrate surface by applying positive potential on an anode close to the first portion of the subsfrate surface until the plated film thickness on the first portion of the subsfrate reaches a pre-set value; 3) moving the electrolyte to contact a second portion of the subsfrate surface and at the same time keep the elecfrolyte still contacting the first portion of the subsfrate surface; 4) plating the thin film only on the second portion of the subsfrate surface by applying positive potential on a anode close to the second portion of the subsfrate surface and applying a negative potential on an anode close to the first portion of the subsfrate surface; 5) repeating step 3 and 4 for plating a third portion of the subsfrate surface
  • Method 5 Portion of subsfrate surface is contacted with elecfrolyte (movable anodes) for seed layer plating only
  • another method for plating a thin film directly on a subsfrate with a barrier layer on top comprising: 1) flowing elecfrolyte on a portion of the subsfrate surface with a barrier layer on the top through a movable jet anode; 2) turning on DC or pulse power to plate a metal film on the portion of the subsfrate until the fikn thickness reaches a pre-set value; 3) repeating steps 1 and 2 for an additional portion of the subsfrate by moving the movable jet anode close to the additional portion of the substrate; 4) repeating step 3 until the whole area of the subsfrate is plated with a thin seed layer.
  • another method for plating a thin film directly on a subsfrate with a barrier layer on top comprising: 1) immersing the full surface of a subsfrate into an elecfrolyte; 2) plating the thin film only on a first portion of the subsfrate surface by applying positive potential on a movable anode close to the first portion of the subsfrate surface; 3) repeating step 2 for additional portions of the subsfrate by moving the movable anode close to the additional portions of the subsfrate; 4) repeating step 3 until the whole area of the subsfrate is plated with a thin seed layer.
  • Apparatus 1 Multiple Liquid Flow Mass Controllers (LMFCs ⁇ and Multiple Power Supplies
  • an apparatus for plating a thin film directly on a subsfrate with a barrier layer on top comprising: a subsfrate holder for holding a subsfrate above an elecfrolyte surface; at least two anodes, with each anode being separated by an insulating cylindrical wall; a separate liquid mass flow controller for controlling elecfrolyte flowing through a space between the two cylindrical walls to touch a portion of the subsfrate; a separate power supply to create a potential between each anode and cathode or the subsfrate; the portion of the subsfrate surface will be plated only when the liquid flow controller and power supply corresponding to the portion of the subsfrate is turned on at the same time.
  • Apparatus 2 One Common LMFC and Multiple Power Supplies
  • another apparatus for plating a thin film directly on a subsfrate with a barrier layer on top comprising: a subsfrate chuck holding the subsfrate above an elecfrolyte surface; a motor driving the subsfrate holder up or down to control the portion of the surface area contacting the elecfrolyte; at least two anodes, with each anode being separated by two insulating cylindrical walls, the height of the cylindrical walls being reduced along the outward radial direction of the subsfrate; one common liquid mass flow controller for controlling elecfrolyte flowing through spaces between each adjacent cylindrical wall to reach the subsfrate surface; separate power supplies to create potential between each anode and cathode or the subsfrate; a portion of the subsfrate surface is plated only when the anode close to the portion of the subsfrate is powered to positive potential and the rest of anodes are powered to negative potential and the portion of the subsfrate is contacted
  • Apparatus 3 Multiple LMFCs and One Common Power Supply
  • another apparatus for plating a thin film directly on a subsfrate with a barrier layer on top comprising: a subsfrate holder holding the substrate above an elecfrolyte surface; at least two anodes, each anode being separated by two insulating cylindrical walls; a separate liquid mass flow controller for controlling elecfrolyte flowing through a space between the two cylindrical walls to touch a portion of the subsfrate; one common power supply to create potential between each anode and cathode or the subsfrate; a portion of the subsfrate surface is plated only when its liquid mass flow controller and the power supply are turned on at the same time.
  • Apparatus 4 One Common LMFC and One Common Power Supply
  • another apparatus for plating a thin film directly on a subsfrate with a barrier layer on top comprising: a subsfrate holder holding the subsfrate above an electrolyte surface; at least two anodes, each anode being separated by two insulating cylindrical walls; the cylindrical walls can be moved up and down to adjust a gap between the subsfrate and the top of the cylindrical walls, thereby to control elecfrolyte to contact a portion of the subsfrate adjacent to the walls, one liquid mass flow controller for controlling electrolyte flowing through a space between the two cylindrical walls; one power supply to create potential between all anodes and a cathode or the substrate; a portion of the subsfrate surface will be plated only when the cykndrical wall below the portion of the subsfrate surface is moved up so that the electrolyte touches the portion of the subsfrate and the power supply is turned on at the same time.
  • Apparatus 5 Movable Anode with Subsfrate not Immersed in Electrolyte
  • another apparatus for plating a thin film directly on a subsfrate with a barrier layer on top comprising: a subsfrate holder for holding the subsfrate above an elecfrolyte surface; a movable anode jet placed under and close to the subsfrate, the movable anode jet being capable of moving toward the subsfrate surface, thereby the elecfrolyte from the anode jet can be controlled to touch any portion of the subsfrate; one power supply to create a potential between the movable anode jet and a cathode or the substrate; a portion of subsfrate surface is plated only when the portion of the surface is contacted by elecfrolyte ejected from the movable anode jet.
  • Apparatus 6 Movable Anode with Subsfrate Immersed in Elecfrolyte
  • another apparatus for plating a thin film dkectly on a subsfrate with a barrier layer on top comprising: a subsfrate holder for holding a subsfrate, with the subsfrate being immersed in elecfrolyte; a movable anode jet adjacent to the substrate, the movable anode jet being movable toward the subsfrate surface, whereby the plating current from the anode jet can be controlled to go to any portion of the subsfrate; one power supply to create potential between the movable anode jet and a cathode or the subsfrate; a portion of subsfrate surface is plated only when the portion of the subsfrate is close to the movable anode jet.
  • Method 7 Plating Metal Film on to Subsfrate through a Fully Automation Plating Tool
  • another method for plating a thin film onto a subsfrate through a fully automated plating tool comprising: 1) picking up a wafer from a cassette and sending to one of stacked plating baths with a robot; 2) plating metal film on the wafer; 3) after f ishing the plating, picking up the plated wafer from the stacked plating bath with the robot and transporting it to one of the stacked cleaning/drying chambers; 4) Cleaning the plated wafer; 5) drying the plated wafer; 6) picking up the dried wafer from the stacked cleaning/drying chamber with the robot and transporting it to the cassette.
  • Apparatus 7 Fully Automated Tool for Plating Metal Film on to Subsfrate
  • a fully automated tool for plating a metal film onto a subsfrate comprising: a robot transporting a wafer; wafer cassettes; multiple stacked plating baths; multiple stacked cleaning/drying baths; an elecfrolyte tank; and a plumbing box holding a confrol valve, filter, liquid mass flowing controller, and plumbing.
  • the fully automated tool further comprises a computer and confrol hardware coupled between the computer and the other elements of the automated tool, and an operating system confrol software package resident on the computer.
  • Method 8 Plating thin layer — Portion of wafer surface is contacted with electrolyte, and then both plated portion and the next portion of wafer are contacted by elecfrolyte and are plated by metal
  • step 1 and 2 when the metal film thickness reaches a pre-set value, repeating step 1 and 2 for one or more additional portions of the subsfrate by making the one or more additional portions of the substrate contact the electrolyte, while continuing to plate the first portion of the subsfrate and any previous of the one or more additional portions of the subsfrate;
  • step 3 repeating step 3 until the entire area of the substrate is plated with a thin seed layer.
  • Method 9 Plating thin layer then thick layer — Portion of wafer surface is contacted with elecfrolyte. and then both plated portion and the next portion of wafer are contacted by elecfrolyte and are plated by metal
  • another method for plating a film directly on subsfrate with a barrier layer or thin seed layer on top comprising: 1) turning on DC or pulse power, 2) making a first portion of a subsfrate surface contact an elecfrolyte, so that a metal film is plated on the first portion of the subsfrate; 3) when the metal film thickness reaches a pre-set value, repeating step 1 and 2 for one or more additional portions of the subsfrate by making the one or more additional portions of the substrate contact the elecfrolyte, while continuing to plate the first portion of the subsfrate and any previous of the one or more additional portions of the subsfrate; 4) repeating step 3 until all portions of the subsfrate are plated with a thin seed layer; 5) contacting all of the portions of the subsfrate with the elecfrolyte; 6) applying positive potential to anodes adjacent to all of the portions of the subsfrate to plate a film until the film
  • Method 10 Plating a thin layer — A first portion of wafer surface is contacted by elecfrolyte initially, and then both the first portion and a second portion of wafer are contacted by elecfrolyte. but only the second portion of wafer is plated
  • another method for plating a film directly on subsfrate with a barrier layer or thin seed layer on top comprising: 1) applying a positive potential on a first anode close to a first portion of the subsfrate surface; 2) contacting the first portion of the substrate surface with the elecfrolyte, so that the film is plated on the first portion of the subsfrate surface; 3) when the film thickness on the first portion of the subsfrate surface reaches a pre-set value, further contacting a second portion of the subsfrate surface while maintaining elecfrolyte contact with the first portion of the subsfrate surface; 4) plating the film only on the second portion of the subsfrate surface by applying positive potential on a second anode close to the second portion of the subsfrate surface and applying a sufficient positive potential on the first anode close to the first portion of the subsfrate surface so that the first portion of the substrate surface is not plated but also not deplated; 5)
  • Method 11 Plating thin layer then thick layer — A portion of wafer is contacted by elecfrolyte at beginning, and then both plated portion and the next portion of wafer are contacted by electrolyte, and only the next portion of wafer is plated
  • another method for plating a film directly on subsfrate with a barrier layer or thin seed layer on top comprising: 1) contacting a first portion of a subsfrate area with an electrolyte; and 2) plating thin film only on the first portion of the subsfrate surface by applying positive potential on a first anode close to the same portion of wafer surface until a plated film thickness on the first portion of the subsfrate surface reaches a pre-set value; 3) further contacting a second portion of the subsfrate surface while maintaining elecfrolyte contact with the first portion of the subsfrate surface; 4) plating the film only on the second portion of the subsfrate surface by applying positive potential on a second anode close to the second portion of the subsfrate surface and applying a sufficient positive potential on the first anode close to the first portion of the subsfrate surface so that the first portion of the subsfrate surface is not plated but also not deplated; 5)
  • Apparatus 8 Rotating plating bath to form parabolic shape of electrolyte (single-anode)
  • another apparatus for plating a film directly on a subsfrate with a barrier layer or thin seed layer on top comprising: a subsfrate chuck holding the subsfrate above an elecfrolyte surface; a motor driving the subsfrate holder up or down to confrol the portion of the surface area contacting the elecfrolyte; a bath with an anode immersed; a liquid mass flow controller for controlling elecfrolyte flowing to contact the subsfrate; a power source to create potential between the anode and a cathode or subsfrate; another motor driving the plating bath to rotate around its central axis at such a speed that a surface of the elecfrolyte surface forms a parabolic shape; a portion of the substrate surface is plated only when the liquid mass flow controller and the power
  • Apparatus 9 Rotating plating bath to form parabolic shape of elecfrolyte (multi-anodes)
  • another apparatus for plating a film directly on a subsfrate with a barrier layer or thin seed layer on top comprising: a subsfrate chuck holding the subsfrate above an elecfrolyte surface; a motor driving the subsfrate holder up or down to confrol the portion of the surface area contacting the elecfrolyte; at least two anodes, each anode being separated by two insulating cylindrical walls; a separate liquid mass flow controller for controlling electrolyte flowing through a space between the two cylindrical walls to contact a portion of the subsfrate; separate power supplies to create potential between each anode and cathode or the subsfrate; another motor driving the plating bath to rotate around its central axis at such a speed that a surface of the elecfrolyte surface forms a parabolic shape;
  • Apparatus 10 Tilting wafer holder around y-axis or x-axis (single-anode
  • another apparatus for plating a film directly on a subsfrate with a barrier layer or thin seed layer on top comprising: a subsfrate chuck holding the subsfrate above an elecfrolyte surface, the subsfrate holder being rotatable around a z-axis, and also tiltable around a y-axis or an x-axis; an anode; a liquid mass flow controller for controlling the elecfrolyte to contact the subsfrate; a power source to create potential between the anode and a cathode or subsfrate; a peripheral portion of the subsfrate surface will be plated only when the subsfrate chuck is tilted around the y-axis or x-axis and is rotated around the z-axis so that the peripheral portion of the substrate is contacted by elecfrolyte, and the liquid mass flow controller and power source are turned on at the same time.
  • Apparatus 11 Tilting rotation axis of wafer holder (multi-anodes')
  • another apparatus for plating a film directly on a subsfrate with a barrier layer or thin seed layer on top comprising: a substrate chuck holding the subsfrate above an elecfrolyte surface, the substrate holder being rotatable around a z-axis, and also tiltable around a y-axis or an x-axis; at least two anodes, each anode being separated by two insulating cylindrical walls; a separate liquid mass flow controller for controlling elecfrolyte flowing through a space between the two cylindrical walls to contact a portion of the subsfrate; separate power supplies to create potential between each anode and cathode or the subsfrate; a peripheral portion of the subsfrate surface will be plated only when the subsfrate chuck is tilted around the y-axis or x-axis and is rotated around the z-axis so that the peripheral portion of the subsfrate is contacted by elecfro
  • Apparatus 12 Rotating plating bath to form parabolic shape of elecfrolyte and tilting wafer holder around y-axis or x-axis (single-anode)
  • another apparatus for plating a film directly on a subsfrate with a barrier layer or thin seed layer on top comprising: a subsfrate chuck holding the subsfrate above an elecfrolyte surface; a motor driving the subsfrate holder up or down to confrol the portion of the surface area contacting the elecfrolyte; the subsfrate holder being rotatable around a z-axis, and also tiltable around a y-axis or an x-axis; an anode; a liquid mass flow controller for controlling the elecfrolyte to contact the subsfrate; a power source to create potential between the anode and a cathode or subsfrate; another motor driving the plating bath to rotate around its central axis at such a speed that a surface of the elecfrolyte surface forms a parabolic shape; a peripheral portion of the subsfrate surface will be plated only
  • Apparatus 13 Rotating plating bath to form parabolic shape of elecfrolyte and tilting wafer holder around y-axis or x-axis (multi-anodes)
  • another apparatus for plating a film directly on a subsfrate with a barrier layer or thin seed layer on top comprising: a subsfrate chuck holding the subsfrate above an electrolyte surface; a motor driving the subsfrate holder up or down to confrol the portion of the surface area contacting the elecfrolyte; the subsfrate holder being rotatable around a z-axis, and also tiltable around a y-axis or an x-axis; at least two anodes, each anode being separated by two insulating cylindrical walls, the cylindrical walls being closer to the subsfrate at its center than at its edge; a separate liquid mass flow controller for controlling elecfrolyte flowing through a space between the two cylindrical walls to contact a portion of the subsfrate; separate power supplies to create potential between each anode and cathode or the subsfrate; another motor driving the plating bath to rotate around its central axi
  • Figure 1 A is a portion of a prior art plating apparatus, useful for understanding the invention.
  • Figure IB is a plan view of a subsfrate shown in Figure 1.
  • Figure 2 is a corresponding plan view of a subsfrate during plating in accordance with the invention.
  • Figure 3 A is a plan view of a portion of a plating apparatus in accordance with the invention.
  • Figure 3B is a view, partly in cross section, taken along the line 3B--3B in Figure 3 A, and partly in block diagram form, of a plating apparatus in accordance with the invention.
  • Figure 4A is a plan view of a subsfrate ready for plating in accordance with the invention.
  • Figure 4B is a cross section view, taken along the line 4A--4A of the subsfrate in Figure 4A.
  • Figure 5 is a set of waveform diagrams, useful for understanding operation of the Figures 3A-3B embodiment of the invention.
  • Figures 6 A and 6B are partial cross section views of plated substrates, useful for further understanding of the invention.
  • Figures 7 and 8 are additional sets of waveform diagrams, useful for a further understanding operation of the Figures 3A-3B embodiment of the invention.
  • Figures 9A-9D are plan views of portions of alternative embodiments of plating apparatuses in accordance with the invention.
  • Figure 10 is a plot of waveforms obtained in operation of apparatus in accordance with the invention.
  • FIG 11 is a flow diagram for a process in accordance with the invention.
  • Figure 12 is a set of waveform diagrams for an another embodiment of a process in accordance with the invention.
  • Figure 13 A is a plan view of a portion of a second embodiment of a plating apparatus in accordance with the invention.
  • Figure 13B is a view, partly in cross section, taken along the line 13B--13B in Figure 13 A, and partly in block diagram form, of the second embodiment of a plating apparatus in accordance with the invention.
  • Figure 14A is a plan view of a portion of a third embodiment of a plating apparatus in accordance with the invention.
  • Figure 14B is a view, partly in cross section, taken along the line 14B— 14B in Figure 14 A, and partly in block diagram form, of the third embodiment of a plating apparatus in accordance with the invention.
  • Figure 15A is a plan view of a portion of a fourth embodiment of a plating apparatus in accordance with the invention.
  • Figure 15B is a view, partly in cross section, taken along the line 15B-15B in Figure 15 A, and partly in block diagram form, of the fourth embodiment of a plating apparatus in accordance with the invention.
  • Figure 16A is a plan view of a portion of a fifth embodiment of a plating apparatus in accordance with the invention.
  • Figure 16B is a view, partly in cross section, taken along the line 16B--16B in Figure 16 A, and partly in block diagram form, of the fifth embodiment of a plating apparatus in accordance with the invention.
  • Figure 17 is a cross section view of a portion of a fifth embodiment of a plating apparatus in accordance with the invention.
  • Figure 18A is a plan view of a portion of a sixth embodiment of a plating apparatus in accordance with the invention.
  • Figure 18B is a view, partly in cross section, taken along the line 18B-18B in
  • FIG. 18 A and partly in block diagram form, of the sixth embodiment of a plating apparatus in accordance with the invention.
  • Figure 19A is a plan view of a portion of a seventh embodiment of a plating apparatus in accordance with the invention.
  • Figure 19B is a view, partly in cross section, taken along the line 19B--19B in
  • Figures 20A and 20B are views, partly in cross section and partly in block diagram form, of an eighth embodiment of a plating apparatus in accordance with the invention.
  • Figures 21 A and 2 IB are views, partly in cross section and partly in block diagram form, of a ninth embodiment of a plating apparatus in accordance with the invention.
  • Figure 22A is a plan view of a portion of a tenth embodiment of a plating apparatus in accordance with the invention.
  • Figure 22B is a view, partly in cross section, taken along the line 22B--22B in Figure 22 A, and partly in block diagram form, of the tenth embodiment of a plating apparatus in accordance with the invention.
  • Figures 23A and 23B are plan views of a portion of eleventh and twelfth embodiments of plating apparatus in accordance with the invention.
  • Figure 24A is a plan view of a portion of a thirteenth embodiment of a plating apparatus in accordance with the invention.
  • Figure 24B is a view, partly in cross section, taken along the line 24B--24B in Figure 24A, and partly in block diagram form, of the thirteenth embodiment of a plating apparatus in accordance with the invention.
  • Figures 25A-25C are plan views of a portion of fourteenth, fifteenth and sixteenth embodiments of plating apparatus in accordance with the invention.
  • Figure 26A is a plan view of a portion of a seventeenth embodiment of a plating apparatus in accordance with the invention.
  • Figure 26B is a view, partly in cross section, taken along the line 26B--26B in Figure 26A, and partly in block diagram form, of the seventeenth embodiment of a plating apparatus in accordance with the invention.
  • Figures 27 and 28 are plan views of a portion of eighteenth and nineteenth embodiments of plating apparatus in accordance with the invention.
  • Figures 29A-29C are plan views of a portion of twentieth, twenty first and twenty second embodiments of plating apparatus in accordance with the invention.
  • Figure 30A is a plan view of a portion of a twenty third embodiment of a plating apparatus in accordance with the invention.
  • Figure 30B is a view, partly in cross section, taken along the line 30B--30B in Figure 30 A, and partly in block diagram form, of the twenty third embodiment of a plating apparatus in accordance with the invention.
  • Figure 31 A is a plan view of a portion of a twenty fourth embodiment of a plating apparatus in accordance with the invention.
  • Figure 3 IB is a view, partly in cross section, taken along the line 3 IB— 3 IB in Figure 31 A, and partly in block diagram form, of the twenty fourth embodiment of a plating apparatus in accordance with the invention.
  • Figure 32A is a plan view of a portion of a twenty fifth embodiment of a plating apparatus in accordance with the invention.
  • Figure 32B is a view, partly in cross section, taken along the line 32B--32B in Figure 32A, and partly in block diagram form, of the twenty fifth embodiment of a plating apparatus in accordance with the invention.
  • Figure 33 A is a plan view of a portion of a twenty sixth embodiment of a plating apparatus in accordance with the invention.
  • Figure 33B is a view, partly in cross section, taken along the line 33B— 33B in Figure 33 A, and partly in block diagram form, of the twenty sixth embodiment of a plating apparatus in accordance with the invention.
  • Figures 34A-34D are cross section views of a portion of twenth seventh through thirtieth embodiments of plating apparatus in accordance with the invention.
  • Figure 35 shows a subsfrate during plating with a process in accordance with the invention.
  • Figures 36A-36D are plan views of thirty first through thirty fourth embodiments of plating apparatus in accordance with the invention.
  • Figures 37 A and 37B are cross section views of a portion of thirty fifth and thirty sixth embodiments of plating apparatus in accordance with the invention.
  • Figure 38 A is a plan view of a portion of a thirty seventh embodiment of a plating apparatus in accordance with the invention.
  • Figure 38B is a view, partly in cross section, taken along the line 38B--38B in Figure 38 A, and partly in block diagram form, of the thirty seventh embodiment of a plating apparatus in accordance with the invention.
  • Figure 39 is a set of waveform diagrams useful for understanding operation of the plating apparatus in Figures 38 A and 38B .
  • Figure 40 is a plan view of a portion of a thirty eighth embodiment of a plating apparatus in accordance with the invention.
  • Figure 40B is a view, partly in cross section, taken along the line 40B--40B in Figure 40A, and partly in block diagram form, of the thirty eighth embodiment of a plating apparatus in accordance with the invention.
  • Figure 41 A is a plan view of a portion of a thirty ninth embodiment of a plating apparatus in accordance with the invention.
  • Figure 4 IB is a view, partly in cross section, taken along the line 41B--41B in Figure 41 A, and partly in block diagram form, of the thirty ninth embodiment of a plating apparatus in accordance with the invention.
  • Figure 42A is a plan view of a portion of a fortieth embodiment of a plating apparatus in accordance with the invention.
  • Figure 42B is a view, partly in cross section, taken along the line 42B--42B in Figure 42A, and partly in block diagram form, of the fortieth embodiment of a plating apparatus in accordance with the invention.
  • Figures 43 and 44 are sets of waveform diagrams useful for understanding operation of the embodiment of Figures 42 A and 42B .
  • Figure 45 A is a plan view of a portion of a forty first embodiment of a plating apparatus in accordance with the invention.
  • Figure 45B is a view, partly in cross section, taken along the line 45B--45B in Figure 45 A, and partly in block diagram form, of the forty first embodiment of a plating apparatus in accordance with the invention.
  • Figure 46 A is a plan view of a portion of a forty second embodiment of a plating apparatus in accordance with the invention.
  • Figure 46B is a view, partly in cross section, taken along the line 46B--46B in Figure 46A, and partly in block diagram form, of the forty second embodiment of a plating apparatus in accordance with the invention.
  • Figure 47A is a plan view of a portion of a forty third embodiment of a plating apparatus in accordance with the invention.
  • Figure 47B is a view, partly in cross section, taken along the line 47B--47B in Figure 47 A, and partly in block diagram form, of the forty third embodiment of a plating apparatus in accordance with the invention.
  • Figure 48A is a plan view of a portion of a forty fourth embodiment of a plating apparatus in accordance with the invention.
  • Figure 48B is a view, partly in cross section, taken along the line 48B--48B in Figure 48A, and partly in block diagram form, of the forty fourth embodiment of a plating apparatus in accordance with the invention.
  • Figure 49A is a plan view of a portion of a forty fifth embodiment of a plating apparatus in accordance with the invention.
  • Figure 49B is a view, partly in cross section, taken along the line 49B—49B in Figure 49 A, and partly in block diagram form, of the forty fifth embodiment of a plating apparatus in accordance with the invention.
  • Figure 50 is a view, partly in cross section and partly in block diagram form, of a forty sixth embodiment of a plating apparatus in accordance with the invention.
  • Figure 51 is a view, partly in cross section and partly in block diagram form, of a forty seventh embodiment of a plating apparatus in accordance with the invention.
  • Figures 52A-52C are schematic top, cross section and side views of a first embodiment of a plating system in accordance with the invention.
  • Figure 53 is a flow diagram of operation of a portion of software for controlling the plating system of Figure 52.
  • Figures 54A-54C are schematic top, cross section and side views of a second embodiment of a plating system in accordance with the invention.
  • Figures 55 and 56 are schematic top views of third and fourth embodiments of plating systems in accordance with the invention.
  • Figures 57A-57C are schematic top, cross section and side views of a plating system in accordance with the invention.
  • Figure 58A is a plan view of a portion of a forty eighth embodiment of a plating apparatus in accordance with the invention.
  • Figure 58B is a view, partly in cross section, taken along the line 58B--58B in
  • FIG. 58 A and partly in block diagram form, of the forty eighth embodiment of a plating apparatus in accordance with the invention.
  • Figure 59 is a set of waveform diagrams showing power supply on/off sequences in use of the Figures 58A-58B embodiment during plating.
  • Figure 60 A is a plan view of a portion of a forty ninth embodiment of a plating apparatus in accordance with the invention.
  • Figure 60B is a cross section view, partly taken along the line 60B--60B in Figure 60A, of the forty ninth embodiment of a plating apparatus in accordance with the invention.
  • Figure 61 is a partly cross section and partly schematic view of a fiftieth embodiment of a plating apparatus in accordance with the invention.
  • Figures 62-71 are schematic views of fifty first through sixtieth embodiments of plating apparatuses in accordance with the invention.
  • Figs. IA shows a cross section view of a conventional fountain type plating tool and a semiconductor wafer 31 with a thin barrier layer 400.
  • the following theoretical calculation is for determining the potential difference between the center and the periphery of the wafer during normal plating. Assuming plating current density on the whole wafer surface is the same, the potential difference can be calculated by the following formula:
  • V ( ) (r 2 - r 0 2 ) (1)
  • r is the radius (cm)
  • r 0 is the radius of a wafer (cm)
  • I 0 is the total plating current flow to the wafer (Amp.)
  • the density of current flowing to the wafer can be expressed as:
  • the normal plating voltage in acid Cu plating is in a range of 2 to 4 Volts. It is clear that such a potential difference will make it impossible to plate directly onto barrier layer by a conventional plating tool. Even though metal still can be plated on the center of the wafer by using over voltage, a substantial quantity of HT ions will come out together with metal ions at the periphery of the wafer, which makes a poor quality of metal film. For the semiconductor interconnect application, plated copper film will have a very large resistivity, and poor morphology.
  • Theoretical calculation of potential difference between outside and inside of plating area during plating of the invention As shown in Fig. 2, the invention only plates a portion of wafer at one time.
  • the potential difference between the position at radius r 2 and the position at radius ri can be expressed as:
  • V 21 0.173 to 0.522 Volts (7)
  • Hydrogen overvoltage is about 0.83 V. It is clear that no hydrogen comes out during plating in accordance with the invention.
  • Figs. 3A-3B are schematic views of one embodiment of the apparatus for plating a conductive film directly on a subsfrate with a barrier layer on top in accordance with the present invention.
  • the plating bath includes anode rod 1 placed in tube 109, and anode rings 2, and 3 placed between cylindrical walls 107 and 105, 103 and 101, respectively.
  • Anodes 1, 2, and 3 are powered by power supplies 13, 12, and 11, respectively.
  • Elecfrolyte 34 is pumped by pump 33 to pass through filter 32 and reach inlets of liquid mass flow controllers (LMFCs) 21, 22, and 23. Then LMFCs 21, 22 and 23 deliver elecfrolyte at a set flow rate to sub-plating baths containing anodes 3, 2 and 1, respectively.
  • LMFCs liquid mass flow controllers
  • elecfrolyte flows back to tank 36 through spaces between cylindrical walls 100 and 101, 103 and 105, and 107 and 109, respectively.
  • a pressure leak valve 38 is placed between the outlet of pump 33 and elecfrolyte tank 36 to leak elecfrolyte back to tank 36 when LMFCs 21, 22, 23 are closed.
  • Bath temperature is controlled by heater 42, temperature sensor 40, and heater controller 44.
  • a wafer 31 held by wafer chuck 29 is connected to power supplies 11, 12 and 13.
  • a drive mechanism 30 is used to rotate wafer 31 around the z axis, and oscillate the wafer in the x, y, and z directions shown.
  • the LMFCs are anti-acid or anti corrosion, and contamination free type mass flow confrollers of a type known in the art.
  • Filter 32 filters particles larger than 0.1 or 0.2 ⁇ m in order to obtain a low particle added plating process.
  • Pump 33 should be an anti-acid or anticorrosion, and contamination free pump.
  • Cylindrical walls 100, 1001, 103, 105, 107 and 109 are made of electrically insulating, anti-acid or anti-corrosion, and non-acid dissolved, metal free materials, such as tefrafluoroethylene, polyvinyl chloride (PVC), polyvinylidene fluoride (PVDF), polypropylene, or the like.
  • Figs. 4A-4B show the wafer 31 with barrier layer 203 on top.
  • the barrier layer 203 is used to block diffusion of the plated metal into the silicon wafer.
  • titanium nitride or tantalum nitride are used.
  • a metal film 201 is deposited by PVD or CVD on the periphery of wafer 31.
  • the thickness of metal film 201 is in a range of 500 A to 2000 A .
  • the material of film 201 is preferably the same as that plated later.
  • Cu is preferably chosen as material of film 201 for plating a Cu film.
  • Step 1 Turn on LMFC 21 only, so that elecfrolyte only touches a portion of wafer 31 above anode 3.
  • Step 2 After the flow of elecfrolyte is stabilized, turn on power supply 11. Positive metal ion will be plated onto portion area of wafer 31 above anode 3.
  • Step 3 When the thickness of the metal conductive film reaches the set- value or thickness, turn off power supply 11 and turn off LMFC 21.
  • Step 4 Repeat step 1 to 3 for anode 2, using LMFC 22 and power supply 12.
  • Step 5 Repeat step 4 for anode 1, using LMFC 23 and power supply 13.
  • the power supplies can be operated in DC mode, pulse mode, or DC pulse mixed mode.
  • DC mode the power supplies can be operated in a constant current mode, or a constant voltage mode, or a combination of the constant current mode and constant voltage mode.
  • the combination of the constant current mode and constant voltage mode means that the power supply can be switched from one mode to the other mode during the plating process.
  • Fig 5 shows each power on/off sequence during a representative seed layer plating.
  • T p is called plating time, i.e. positive pulse on time during one cycle;
  • T e is called etching time, i.e. negative pulse on time during one cycle.
  • T e /T p is called the etching plating ratio. It is generally in the range of 0 to 1. As shown in Fig. 6A and 6B, a large ratio of T e /T p means better gap filling or less cusping, but a lower plating rate. A small ratio of T e /T p means a higher plating rate, but poor gap filling or more cusping.
  • Step 6 Turn on LMFCs 21, 22, and 23.
  • the flow rate of elecfrolyte from each LMFC is set as proportional to wafer area covered by the corresponding anode.
  • Step 7 After all flow is stabilized, turn on power supplies 11, 12, and 13. In principle, the current of each power supply is also set as proportional to the wafer area covered by corresponding anode.
  • Step 8 Turn off power supplies 11, 12, and 13 at the same time when plating current is used as thickness uniformity tuning variable.
  • the power supplies can be turned off at different times for adjusting plating film thickness uniformity.
  • Fig. 7 shows a representative sequence for plating metal fikn on the pre-plated metal seed layer.
  • total plating time T 3 , T 2 , and Tj can be the same when using the plating current as a variable to tune thickness uniformity within wafer, or can be different when using plating time to tuning the thickness uniformity within a wafer.
  • the number of anodes can be any number larger than 1. The more electrodes, the better film uniformity can be expected. Considering a trade off between the performance and cost, the number of the anodes is typically 7 to 20 for plating a 200 mm wafer, and 10 to 30 for plating a 300 mm wafer. As shown in Fig.
  • a modified sine-wave pulse wave form (b), a unipolar pulse wave form (c), a pulse reverse wave form (d) , a pulse-on-pulse wave form (e), or a duplex pulse wave form (f) can be used.
  • a sequence of anode 3, then anode 2, and then anode 1 is usually preferred, but the plating sequence can also be as follows:
  • Figs. 9A-9D show schematic cross section views of other embodiments of anode and wall shapes. It can be seen that the wafer area above the space between electrode 103 and 105 receives less plating current than the wafer area above anode 3 does in the case of Fig. 3. This causes thickness variation across the wafer if wafer is only rotated during plating process.
  • the shape of the anodes and walls can be, for example, a triangle, square, rectangle, pentagon, polygon, or ellipse. In these ways, the plating current distribution can be averaged out across the wafer.
  • Fig. 10 shows a mechanism to verify if the seed layer becomes a continuous film across the whole wafer. Since the resistivity of a barrier layer (Ti/TiN or Ta/TaN) is about 50 to 100 times that of metallic copper, the potential difference between an edge and the center before plating a seed layer is much higher than that after plating a continuous copper seed layer. This resistance can be calculated by measuring the output voltage and current of power supplies 11, 12 and 13 as shown in Fig. 10. When the seed layer becomes a continuous film, the loading resistance reduces significantly. In this way, it also can be determined which area is not covered by a continuous film. For instance:
  • V ⁇ and V 12 are close to each other, and V 12 and V 13 are significantly different, then the film on the wafer area anode 2 is continuous, and the film on the wafer area above anode 1 is not continuous.
  • V 12 and V 13 are close to V ⁇ , then the film on the wafer areas above anode 1 and 2 are continuous.
  • Fig. 12 shows a process sequence for plating a seed layer with the whole area wafer immersed in elecfrolyte employing the embodiment of Figs. 3A-3B.
  • the wafer area above anode 3 is in plating mode, and wafer areas above anode 2 and 1 are in etching mode.
  • the wafer area above anode 3 is in etching mode, and wafer areas above anodes 2 and 1 are in plating mode.
  • Figs. 13A-13B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Figs. 13A-13B is similar to that of Figs. 3A-3B except that LMFCs 21, 22 and 23 are replaced by valves
  • Valves 51, 52, 53 and LMFC 55 are on off valves.
  • the flow rate setting of LMFC 55 is determined by the status of each valve as follows:
  • Flow rate setting of LMFC 55 F.R. 3 x f(valve 51) + F.R. 2 x f(valve 52) + F.R. 1 x f(valve 53)
  • FIGs. 14A-14B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Figs. 14A-14B is similar to that of Figs. 3A-3B except that LMFCs 21, 22 and 23 are replaced by on/off valves 51, 52, 53 and three pumps 33. Elecfrolyte flowing to each anode is controlled independently by one pump 33 and one on/off valve.
  • Figs. 15A-15B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Figs. 15A-15B is similar to that of Figs. 3 A-3B except that additional anodes 5 and 4 are added between cylindrical walls 109 and 107, and between cylindrical walls 103 and 105, respectively, anode 3 and cylindrical wall 101 are taken out, and on/off valves 81, 82, 83, 84 are inserted between the outlet of LMFCs 21, 22, 23, 24 and tank 36.
  • Step 1 Turn on LMFC 21 and valves 82,83, and 84; turn off LMFCS 22, 23, 24 and valve 81, so that elecfrolyte only touches the portion of the wafer above anode 4, and then flows back to tank 36 through return path spaces between cylindrical walls 100 and 103 , through valves 82, 83 , and 84.
  • Step 2 After flow of elecfrolyte stabikzed, turn on power supply 11. Positive metal ions will be plated onto the portion of wafer 31 above anode 4.
  • Step 3 When the thickness of the conductive film reaches the predetermined set- value or thickness, turn off power supply 11 and turn off LMFC 21.
  • Step 4 Repeat step 1 to 3 for anode 3 (turn on LMFC 22, valves 81, 83, 84, and power supply 12, and turn off LMFCS 21 23, 24, valve 82, power supplies 11, 13, 14).
  • Step 5 Repeat step 4 for anode 2 (turn on LMFC 23, valves 81, 82, 84, and power supply 13, and turn off LMFCS 21, 22, 24, valve 83, and power supplies 11, 12, 14).
  • Step 6 Repeat step 4 for anode 1 (turn on LMFC 24, valves 81, 82, 83, and power supply 14, and turn off LMFCS 21, 22, 23, valve 84, and power supplies 11, 12, 13).
  • the plating instead of plating from the periphery of the wafer to the center of the wafer, the plating also can be performed from the center to the periphery, or can be performed with a randomly chosen anode sequence.
  • Step 7 Turn on LMFCS 21, 22, 23 and 24 and turn off valves 81, 82, 83, 84.
  • the flow rate of elecfrolyte from each LMFC is set as proportional to the wafer area covered by the corresponding anode.
  • Step 8 After all flow is stabilized, turn on power supplies 11, 12, 13 and 14. In principle, the current of each power supply is set as proportional to the wafer area covered by the corresponding anode.
  • Step 9 Turn off power supplies 11, 12,13 and 14 at the same time when plating current is used as thickness uniformity tuning variable. The power supplies can also be turned off at different times for adjusting plating film thickness uniformity.
  • Figs. 16A-16B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Figs. 16A-16B is similar to that of Figs. 15A-15B except that on/off valves 81, 82, 83, 84 are removed, and the elecfrolyte return path is reduced to only one between cylindrical walls 100 and
  • Step 1 Turn on LMFC 21 only, turn off LMFCS 22, 23, 24. The whole wafer is immersed in the elecfrolyte. However, only the portion of wafer above anode 4 faces the flowing elecfrolyte from LMFC 21.
  • Step 2 After the flow of elecfrolyte stabilized, turn on power supply 11 to output positive potential to elecfrode 4 and turn on power supplies 12, 13, and 14 to output negative potential to elecfrode 3, 2, and 1, respectively. Therefore, positive metal ions will be plated only onto the portion of wafer 31 above anode 4.
  • Step 3 When the thickness of the conductive film reaches the predetermined set- value or thickness, turn off power supply 11 and turn off LMFC 21.
  • Step 4 Turn on LMFC 22 only, turn off LMFCS 21, 23, 24. In this way, even whole wafer area is immersed in the elecfrolyte, only the wafer area above anode 3 is facing the flowing elecfrolyte from LMFC 22.
  • Step 5 Repeat step 2 to 3 for anode 3 (turn on power supply 12 to output positive potential to anode 3, and power supplies 11, 13, and 14 to output negative potential to anode 4, 2, and 1, and turn off LMFCS 21, 23, 24).
  • Step 6 Repeat step 4 to 5 for anode 2 (turn on LMFC 23, and power supply 13 to output positive potential to anode 2, and power supplies 11, 12, and 14 to output negative potential to anode 4, 3, and 1, and turn off LMFCS 21, 22, 24).
  • Step 7 Repeat step 4 to 5 for anode 1 (turn on LMFC 24, and power supply 14 to output positive potential to anode 1, and power supplies 11, 12, and 13 to output negative potential to anode 4, 3 and 2, and turn off LMFCS 21, 22, 23).
  • the plating instead of plating from the periphery of the wafer to the center of the wafer, the plating also can be performed from the center to the periphery, or can be performed with a randomly chosen anode sequence.
  • Step 8 Turn on LMFCS 21, 22, 23 and 24.
  • the flow rate of elecfrolyte from each LMFC is set as proportional to the wafer area covered by the corresponding anode.
  • Step 9 After all flow is stabilized, turn on power supplies 11, 12, 13 and 14.
  • the current of each power supply is set as proportional to the wafer area covered by the corresponding anode.
  • Step 10 Turn off power supplies 11, 12,13 and 14 at the same time when plating current is used as the thickness uniformity tuning variable. Also the power supplies can be turned off at different times for adjusting plating film thickness uniformity.
  • Fig. 17 shows another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Fig. 17 is similar to that of
  • Figs. 3A-3B except that a diffuser ring 112 is added above each anode to make the flow rate uniform along its cylindrical wall.
  • the diffuser can be made by punching many holes through the diffuser ring, or directly made of porous materials with porosity range of 10% to 90%.
  • the material for making the diffuser is anti-acid, anti-corrosion, particle and contamination free.
  • Figs. 18A-18B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Figs. 18A-18B is similar to that of Figs. 3 A-3B except that a charge accumulator meter is added to each power supply to precisely measure the charge each power supply provides during the plating process. For instance, the total number of atoms of copper can be calculated by the accumulated charge divided by two, because copper ions have a valence of two.
  • Figs. 19A-19B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Figs. 19A-19B is similar to that of Figs. 3A-3B except that the number of elecfrolyte inlets to the plating bath is two instead of one. This will further enhance the flow rate uniformity along the periphery of the cylindrical walls.
  • the number of inlets also can be 3, 4, 5, 6, .... i.e. any number larger than 2 in order to make the flow rate uniform along the periphery of the cylindrical walls.
  • Figs. 20A-20B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Figs. 20A-20B is similar to that of Figs. 15A-15B and Figs. 16A-16B, except that the height of the cylindrical walls is increasing along the outward radial direction as shown in Fig. 20A, and is reduced along the outward radial direction as shown in Fig. 20B.
  • This provides a additional variable to manipulate the flow pattern of elecfrolyte and plating current in order to optimize the plating conditions.
  • Figs. 21 A-21B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Figs. 21A-21B is similar to that of Figs. 3A-3B except that the height of the cylindrical walls is increasing along the outward radial direction as shown in Fig. 21A, and is reducing along the outward radial direction as shown in Fig. 2 IB. This provides an additional variable to manipulate the flow pattern of elecfrolyte and plating current in order to optimize the plating conditions.
  • Figs. 22A-22B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Figs. 22A-22B is similar to that of Figs. 3A-3B, except that the cylindrical walls can move up and down to adjust the flow pattern.
  • cylindrical walls 105 and 107 are moved up, so that the elecfrolyte flows toward the portion of wafer above wall 105 and 107.
  • Plating process steps are described as follows: 4A. Process steps for plating conductive film (or seed layer) directly on barrier layer.
  • Step 1 Turn on LMFC 21 only and move cylindrical walls 101, 103 close to the wafer, so that elecfrolyte only touches the portion of the wafer above cylindrical walls 101 and 103.
  • Step 2 After the flow of elecfrolyte is stabilized, turn on power supply 11. Positive metal ions will be plated onto the portion of wafer 31 above cylindrical walls 101 and
  • Step 3 When the thickness of the conductive film reaches the predetermined set- value or thickness, turn off power supply 11, turn off LMFC 21, and move cylindrical walls
  • Step 4 Repeat step 1 to 3 for cylindrical walls 105 and 107 (LMFC 22, cylindrical wall
  • Step 5 Repeat step 4 for tube 109 (LMFC 23, tube 109, and power supply 13).
  • Step 6 Turn on LMFCS 21, 22, and 23, and move all cylindrical walls 101, 103, 105,
  • the flow rate of elecfrolyte from each LMFC is set as proportional to the wafer area covered by the corresponding LMFC.
  • Step 7 After all flow is stabilized, turn on power supplies 11, 12, and 13.
  • the current from each power supply is proportional to the wafer area covered by the corresponding anode or power supply.
  • Step 8 Turn off power supplies 11, 12, and 13 at the same time when plating current is used as the thickness uniformity tuning variable.
  • the power supplies also can be turned off at different times for adjusting plating film thickness uniformity.
  • Figs. 23A-23B show another two embodiments of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiments of Figs. 23A-23B show another two embodiments of apparatus for plating a conductive film in accordance with the present invention. The embodiments of Figs.
  • 23A and 23B are similar to those of Figs. 15A-15B and Figs. 3A-3B, except that the cylindrical walls and anode ring are divided into six sectors by plate 113.
  • the number of sectors can be any number larger than 2.
  • Table 2 shows possible combinations of anode to power supply connections and each sector to an LMFC.
  • combination types 1, 2, 4, and 5 are the same as described above.
  • the wafer rotating mechanism can be eliminated since each anode at a different sector is controlled by an independent power supply.
  • the thickness of the plating film on a portion of the subsfrate can be mampulated by controlling the plating current or the plating time of the anode below the same portion of the subsfrate.
  • the operation of combination types 3, 6, 7, 8, 9 will be discussed later in detail.
  • Figs. 24A-24B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Figs. 24A-24B is similar to that of Figs. 3A-3B except that the cylindrical walls and anode ring are replaced by multiple rod type anodes 1 and tubes 109. Elecfrolyte comes out of the tubes 109, touches the wafer surface, and then flows back to the tank (not shown) through multiple holes 500.
  • the tubes and anodes in a ring are placed in the same circle. There are multiple holes between two adjacent ring of tubes and anodes for draining elecfrolyte back to tank 36.
  • the following table 3 shows possible combinations of anode to power supply connection and each sector to LMFC.
  • combination types 1, 2, 4, and 5 are the same as described above.
  • the wafer rotating mechanism can be eliminated since each anode at a different tube is controlled by an independent power supply.
  • the thickness of plating film on a portion of the substrate can be manipulated by controlling the plating current or the plating time of the anode below the same portion of the subsfrate.
  • the operation of combination types 3, 6, 7, 8, 9 will be discussed later in detail.
  • tubes and anodes instead of placing tubes and anodes on a circular ring, the tubes and anodes also can be placed on triangular, square, rectangular, pentagonal, polygonal, and elliptical rings. Triangular, square and elliptical rings are shown in Figs. 25A-25C.
  • Figs. 26A-26B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Figs. 26A-26B is similar to that of Figs. 3A-3B except that the anode rings and cylindrical walls are replaced by a single anode 240, bar 242 and valves 202, 204, 206, 208, 210, 212, 214, 216 and 218.
  • the power suppkes is reduced to a singe power supply 200.
  • the new valves are on/off valves, and are used to confrol elecfrolyte flowing to the wafer area. Valves 208 and 212, 206 and 214, 204 and 216, 202 and 218 are placed symmetrically on bar 242, respectively.
  • Step 1 Turn on pump 33, LMFC 55, and valves 202 and 218 as well as drive 30, so that elecfrolyte coming out of valves 202 and 218 only touches the peripheral portion of the wafer above valve 202 and 218.
  • Step 2 After the flow of elecfrolyte is stabikzed, turn on power supply 200. Positive metal ions will be plated onto the peripheral portion of wafer 31 above valve 202 and
  • Step 3 When the thickness of the conductive film reaches the predetermined set- value or thickness, turn off power supply 200 and rum off LMFC 55, valves 202 and 218.
  • Step 4 Repeat step 1 to 3 for valves 204 and 216.
  • Step 5 Repeat step 4 for valves 206 and 214.
  • Step 6 Repeat step 4 for valves 208 and 212.
  • Step 7 Repeat step 4 for valves 210.
  • the power supply can be operated in DC mode, or any of the variety of pulse modes shown in Fig. 8. 5B. Process steps for succeeding metal plating on the metal seed layer plated in process
  • Step 8 Turn on LMFC 55 and all valves 202, 204, 206, 208, 210, 212, 214, 216, 218, so that elecfrolyte touches the whole wafer area.
  • Step 9 After all flow is stabilized, turn on power supplies 200.
  • Step 10 Turn off power supply 200 and all the valves when the film thickness reaches the set value.
  • the valves can also be turned off at different times with the power supply 200 turned on for adjusting the plating film thickness uniformity within the wafer.
  • Fig. 27 shows another embodiment of apparatus for plating conductive film in accordance with the present invention.
  • the embodiment of Fig. 27 is similar to that of Figs. 26A-26B, except that all valves are placed on the bar 242 with a different radius in order to plate metal with better uniformity.
  • Plating process steps are described as follows:
  • Step 1 Turn on pump 33, LMFC 55, and valve 218 as well as drive 30, so that elecfrolyte coming out of valve 218 only touches the peripheral portion of the wafer above valve 218.
  • Step 2 After the flow of elecfrolyte is stabilized, turn on power supply 200. Positive metal ions will be plated onto the peripheral portion of wafer 31 above valve 218.
  • Step 3 When the thickness of the conductive film reaches the predetermined set- value or thickness, turn off power supply 200, LMFC 55 and valve 218.
  • Step 4 Repeat step 1 to 3 for valve 204.
  • Step 5 Repeat step 4 for valve 216.
  • Step 6 Repeat step 4 for valve 206
  • Step 7 Repeat step 4 for valves 214, 208, 212, and 210, respectively.
  • the power supply 200 can be operated in DC mode or any of the variety of pulse modes shown in Fig. 8.
  • Step 8 Turn on LMFC 55 and all valves 204, 206, 208, 210, 212, 214, 216, 218, so that elecfrolyte touches the whole wafer area.
  • Step 9 After all flow is stabilized, turn on power supply 200.
  • Step 10 Turn off power supply 200 and all valves when the film thickness reaches the set value.
  • the valves can also be turned off at different times with the power supply 200 turned on for adjusting plating film thickness uniformity within the wafer.
  • Fig. 28 shows another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Fig. 28 is similar to that of Fig. 26 except that an additional bar is added to form a cross shape bar structure 244.
  • Valves 202 and 218, 204 and 216, 206 and 214, 208 and 212 are placed symmetrically on the horizontal portion of bar structure244.
  • valves 220 and 236, 222 and 234, 224 and 232 are placed symmetrically on the vertical portion of the bar structure 244.
  • AU valves on the horizontal portion of bar 244 also have a different radius from those on the vertical portion of bar 244, respectively.
  • Plating process steps are described as follows:
  • Step 1 Turn on pump 33, LMFC 55, and valve 218 and 202 as well as drive 30, so that elecfrolyte coming out of valves 218 only touches the peripheral portion of the wafer above valves 218 and 202.
  • Step 2 After the flow of elecfrolyte is stabilized, turn on power supply 200. Positive metal ions will be plated onto the peripheral portion of wafer 31 above valves 218 and
  • Step 3 When the thickness of the conductive film reaches the predetermined set- value or thickness, turn off power supply 200, LMFC 55 and valves 218 and 202.
  • Step 4 Repeat step 1 to 3 for valves 220 and 236 .
  • Step 5 Repeat step 4 for valves 204 and 216.
  • Step 6 Repeat step 4 for valves 222 and 234.
  • Step 7 Repeat step 4 for valves 206 and 214, 224 and 232, 208 and 212, and 210 only, respectively.
  • the power supply can be operated in DC mode, or any of the variety of pulse modes shown in Fig. 8.
  • Step 8 Turn on LMFC 55 and all valves 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 232, 234, 236, so that elecfrolyte touches the whole wafer area.
  • Step 9 After all flow is stabilized, turn on power supply 200.
  • Step 10 Turn off power suppy 200 and all valves when the film thickness reaches the set value.
  • the valves can also be turned off at different times with the power supply 200 turned on for adjusting plating film thickness uniformity within the wafer.
  • Figs. 29A-29C show portions of an additional three embodiments of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Fig. 29A is similar to that of Figs. 26A-26B except that the number of bars is increased to three. The angle between two adjacent bars is 60°.
  • the embodiment of Fig. 29B is similar to that of Figs. 26A-26B except that the number of bars is increased to four. The angle between two adjacent bars is 45°.
  • the embodiment of Fig. 29C is similar to that of Figs. 26A-26B except that the bar is reduced to 0.5, i.e. half a bar. Alternatively, the number of bars can be 5, 6, 7, or more.
  • the plating step sequence can be started from valves close to the periphery of the wafer, or started from the center of the wafer, or started randomly. Starting from the periphery of the wafer is preferred since the previously plated metal seed layer (with a larger diameter) can be used to conduct cu ⁇ ent for plating the next seed layer (with a smaller diameter).
  • Figs. 30A-30B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Figs. 30A-30B is similar to that of Figs. 26A-26B except that fixed position valves (jet) are replaced by two movable anode jets 254.
  • Anode jets 254 are placed under wafer 31 and sit on guide bar 250.
  • Anode jets 254 inject elecfrolyte onto a portion of wafer 31, and can move in the x direction as shown in Fig. 30B.
  • Fresh elecfrolyte is supplied through flexible pipe 258. This embodiment is especially prefe ⁇ ed for plating a seed layer.
  • the seed layer plating process is shown as follows:
  • Step 1 Turn on pump 33, LMFC 55 and valves 356 as well as drive 30, so that electrolyte coming out of valves 356 only touches the peripheral portion of the wafer above valves 356.
  • Step 2 After the flow of elecfrolyte is stabilized, turn on power supply 200. Positive metal ions will be plated onto the peripheral portion of wafer 31 above valves 356.
  • Step 3 When the thickness of the conductive film reaches the predetermined set- value or thickness, turn off power supply 200, LMFC 55, and valves 356.
  • Step 4 Move anode jet 254 to the next position with a smaller radius;
  • Step 5 Repeat step 1 to 4 until the whole wafer area is plated by the thin film.
  • the above process steps can be modified as follows: Stepl : Same as above Step2: Same as above Step 3: When the thickness of the conductive film reaches a certain percentage of the predetermined set- value or thickness, start slowly moving anode jet 254 radially toward the wafer center. The rate of moving the anode jet 254 is determined by the predetermined set-value or thickness. Also since the surface area plated by the anode jet 254 is proportional to the radius of the position of anode jet 254, the rate of moving anode j et 254 increases as it moves toward the wafer center.
  • Step 4 When anode jet 254 reaches the wafer center, turn off power supply 200, LMFC 55, and valves 356.
  • Figs. 31A-31B shows another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Figs. 31A-31B is similar to that of Figs. 30A-30B except that two additional movable anode jets are added in the Y direction in order to increasing plating speed.
  • the process sequence is similar to that of the Figs. 30A-30B embodiment.
  • Figs. 32A-32B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Figs. 32A-32B is similar to that of Figs. 30A-30B except that wafer 31 is immersed into the elecfrolyte.
  • a movable anode is placed very close to the wafer 31 in order to focus plating current on a portion of wafer 31.
  • the gap size is in a range of 0.1 mm to 5 mm, and preferably 1 mm.
  • the process sequence is similar to that of the Fig. 30 embodiment.
  • Figs. 33A-33B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • 33A-33B is similar to that of Figs. 32A-32B except that fresh electrolyte is input from the center of the bath through pipes 260 instead of anode jets 254 through flexible pipe 258.
  • Wafer 31 is also immersed into the elecfrolyte.
  • a movable anode is placed very close to wafer 31 in order to focus plating current on a portion of wafer 31.
  • the gap size is in a range of 0.1 mm to 5 mm, and preferably 1 mm.
  • the process sequence is similar to that of Fig. 30.
  • Figs. 34A-34D show four embodiments of movable anodes in accordance with the present invention.
  • Fig. 34A shows an anode structure consisting of anode 252 and case 262.
  • Case 262 is made of insulator materials such as tefrafluoroethylene, PVC, PVDF, or polypropylene.
  • Fig, 34B shows an anode structure consisting of anode 266 and case 264. The elecfrolyte is feed through a hole at the bottom of case 264.
  • Fig. 34C shows an anode structure consisting of anode 262, electrodes 274 and 270, insulator spacer 272 and case 262, and power supplies 276, 268.
  • Elecfrode 274 is connected to negative output of power supply 276, and elecfrode 270 is connected to cathode wafer 31.
  • the function of elecfrode 274 is to trap any metal ions flowing out of case 262, therefore no film is plated on the wafer area outside of case 262.
  • the function of elecfrode 270 is to prevent electrical field leakage from elecfrode 274 to minimize any etching effect.
  • the embodiment of Fig. 34D is similar to that of Fig.34C except that the case 264 has a hole at the bottom for elecfrolyte to flow through.
  • Fig. 35 shows the surface status of a wafer during plating.
  • Wafer area 280 was plated by a seed layer, area 284 is in the process of plating, and wafer area 282 has not been plated.
  • Figs. 36A-36C show an additional three embodiments of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Fig. 36A is similar to that of Figs. 30A-30B except that the number of bars is increased to three. The angle between two adjacent bars is 60°.
  • the embodiment of Fig. 36B is similar to that of Figs. 30A-30B except that the number of bars is increased to four.
  • the angle between two adjacent bars is 45°.
  • the embodiment of Fig. 36C is similar to that of Figs. 30A-30B except that the number of bars is reduced to 0.5, i.e. half a bar. Alternatively, the number of bars can be 5, 6, 7 or more.
  • Fig. 36D is similar to that of Figs. 30A-30B except that the shape of bar 250 is a spiral instead of a straight line. Movable anode jet 254 is movable along the spiral bar so that good plating uniformity can be achieved without rotating the wafer. This simplifies the wafer chuck mechanism.
  • Figs. 37A and 37B show additional two embodiments of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiments of Fig. 37 A and 37B are similar to that of Figs. 30A-30B, except that the wafer is placed upside down and vertically, respectively.
  • Figs. 38A-38B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Figs. 38A-38B is similar to that of Figs. 16A-16B except that all of the anodes are replaced by a one piece anode 8.
  • Anode 8 is connected to single power supply 11. Plating process steps using this embodiment are described as follows:
  • Step 1 Turn on LMFC 21 and valves 82,83, and 84 and turn off LMFCS 22, 23, 24 and valve 81, so that elecfrolyte only touches the portion of the wafer above sub-plating bath
  • Step 2 After the flow of electrolyte is stabilized, turn on power supply 11. Positive metal ions will be plated onto the portion of wafer 31 above sub-plating bath 66.
  • Step 3 When the thickness of the conductive film reaches the predetermined set- value or thickness, turn off power supply 11 and turn off LMFC 21.
  • Step 4 Repeat step 1 to 3 for LMFC 22 (turn on LMFC 22, valves 81, 83, 84, and power supply 11, and turn off LMFCs 21 23, 24, valve 82).
  • Step 5 Repeat step 4 for LMFC 23 (turn on LMFC 23, valves 81, 82, 84, and power supply 11, and turn off LMFCs 21, 22, 24, valve 83).
  • Step 6 Repeat step 4 for LMFC 24 (turn on LMFC 24, valves 81, 82, 83, and power supply 11, and turn off LMFCs 21, 22, 23 and valve 84).
  • the plating instead of plating from the periphery of the wafer to the center of the wafer, the plating also can be performed from the center to the periphery, or can be performed in a randomly chosen anode sequence.
  • Step 7 Turn on LMFCs 21, 22, 23 and 24 and turn off valves 81, 82, 83, 84.
  • the flow rate of elecfrolyte from each LMFC is set as proportional to the wafer area covered by the co ⁇ esponding LMFC.
  • Step 8 After all flows are stabilized, turn on power supply 11.
  • Step 9 Turn off power supply 11 when the film thickness reaches the set-value.
  • LMFCs can be turned off at different times in order to adjust the plating fikn thickness uniformity as shown in Fig. 39.
  • LMFCs 21, 23, and 24 are turned off, and valves 81, 83, and 84 are also turned off. Therefore, elecfrolyte does not touch the wafer except in the area above sub-plating bath 64. As the power supply 11 remains turned on, metal ions will be plated only on the area above sub-plating bath 64. Then LMFC 22 turns off at time t 2 . Similarly, LMFC 24 turns on at time t 3 and turns off at time U to obtain extra plating at the wafer area above sub-plating bath 60. Turn off time of t 2 and U can be fine tuned by measuring wafer thickness uniformity. Figs.
  • FIG. 40A-40B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Figs. 40A-40B is similar to that of Figs. 3A-3B except that all anodes are connected to single power supply 11. Since the electrolyte only touches the portion of wafer above an anode during the seed layer plating process, the plating current will only pass through the anode and go to that portion of the wafer.
  • the plating process steps are similar to those of Figs. 3A- 3B with power supply 11 replacing power supplies 12 and 13.
  • Figs. 41 A-41B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of Figs. 41A-41B is similar to that of Figs. 40A-40B except that the cylindrical walls can move up and down to adjust the flow pattern.
  • cylindrical walls 105 and 107 are moved up, so that the elecfrolyte flows toward the portion of wafer above walls 105 and 107.
  • the plating process steps for this embodiment are described as follows:
  • Step 1 Turn on LMFC 21 only and move cylindrical walls 101, 103 close to the wafer, so that elecfrolyte only touches the portion of the wafer above cylindrical walls 101 and
  • Step 2 After the flow of elecfrolyte stabilized, turn on power supply 11. Positive metal ions will be plated onto the portion of wafer 31 above cylindrical walls 101 and 103.
  • Step 3 When the thickness of the conductive film reaches the predetermined set- value or thickness, turn off power supply 11 and LMFC 21, and move cylindrical walls 101 and 103 to a lower position.
  • Step 4 Repeat step 1 to 3 for cylindrical walls 105 and 107 (LMFC 22, cylindrical walls
  • Step 5 Repeat step 4 for tube 109 (LMFC 23 and tube 109).
  • Step 6 Turn on LMFC 21, 22, and 23, and move all cylindrical walls 101, 103, 105, 107 and tube 109 close to wafer 31.
  • the flow rate of elecfrolyte from each LMFC is set as proportional to the wafer area covered by the corresponding LMFC.
  • Step 7 After all flows are stabilized, turn on power supplies 11.
  • Step 8 Move all cylindrical walls down to their lower position, and turn off all LMFCs at the same time, then turn off power supplies 11 when the film thickness reaches the predetermined set-value.
  • Each pair of cylindrical walls can also be moved down at different times with power supply 11 on in order adjust thickness uniformity.
  • cylindrical walls 105 and 107 are being kept at the higher position with LMFC 22 on.
  • the wafer area above cylindrical walls 105 and 107 will have exfra plating film on that portion.
  • the exfra plating times and locations can be determined by analyzing the thickness uniformity of the plated film on the wafer.
  • Figs. 42A-42B is an embodiment of the apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a subsfrate with a barrier layer on top in accordance with the present invention.
  • the embodiment of Figs. 42A-42B is an embodiment of the apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a subsfrate with a barrier layer on top in accordance with the present invention.
  • the embodiment of Figs. 42A-42B is an embodiment of the apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a subsfrate with a barrier layer on top in accordance with the present invention.
  • the embodiment of Figs. 42A-42B is an embodiment of the apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a subsfrate with a barrier layer on top in accordance with the present invention.
  • LMFCs 21, 22, 23 and 24 are replaced by a single LMFC 55.
  • Step 11 A Process steps for plating conductive film (or seed layer) directly on barrier layer.
  • Step 1 Turn on LMFC 55 and immerse the whole wafer in the elecfrolyte.
  • Step 2 After the flow of electrolyte is stabilized, turn on power supply 11 to output positive potential to elecfrode 4, and turn on power supplies 12, 13, and 14 to output negative potential to electrodes 3, 2, and 1, respectively. Therefore, positive metal ions will be plated only onto the portion of wafer 31 above anode 4.
  • Step 3 When the thickness of the conductive film reaches the predetermined set- value or thickness, turn off power supply 11.
  • Step 4 Repeat steps 2 to 3 for anode 3 (turn on power supply 12 to output positive potential to anode 3, and power supplies 11, 13, and 14 to output negative potential to anodes 2 and 1).
  • Step 5 Repeat step 4 for anode 2 (turn on power supply 13 to output positive potential to anode 2, and power supply 14 to output negative potential to anode 1).
  • Step 6 Repeat step 4 for anode 1 (turn on power supply 14 to output positive potential to anode 1).
  • Fig. 43 shows the power supply turn on/off sequence for plating wafer areas 4 (above anode 4), 3, 2, and 1.
  • the power supply output wave forms can be selected from a variety of wave forms, such as a modified sine- wave form, a unipolar pulse, a reverse pulse, a pulse-on-pulse or a duplex pulse, as shown in Fig. 44.
  • the plating instead of plating from the periphery of the wafer to the center of the wafer, the plating also can be performed from the center to the periphery, or can be performed with a randomly chosen anode sequence.
  • Step 7 Turn on LMFC 55.
  • Step 8 After all flows are stabilized, turn on power supplies 11, 12, 13 and 14. In principle, the current of each power supply is set as proportional to the wafer area covered by the co ⁇ esponding anode.
  • Step 9 Turn off power supplies 11, 12,13 and 14 at the same time when plating current is used as thickness uniformity tuning variable.
  • the power supplies can be turned off at different times for adjusting plating film thickness uniformity.
  • Fig. 45A-45B is another embodiment of an apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a subsfrate with a barrier layer on top in accordance with the present invention.
  • the embodiment of Figs. 45A-45B is another embodiment of an apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a subsfrate with a barrier layer on top in accordance with the present invention.
  • the embodiment of Figs. 45A-45B is another embodiment of an apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a subsfrate with a barrier layer on top in accordance with the present invention.
  • the embodiment of Figs. 45A-45B is another embodiment of an apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a subsfrate with a barrier layer on top in accordance with the present invention.
  • 45A-45B is similar to that of Figs. 42A-42B except that the cylindrical walls can move up and down to adjust flow pattern. As shown in Fig. 45B, cylindrical walls 105 and 107 are moved up, so that the elecfrolyte flows toward the portion of the wafer above walls
  • Step 1 Turn on LMFC 55 and move cylindrical walls 101, 103 close to the wafer, so that elecfrolyte only touches the portion of the wafer above cylindrical walls 101 and 103.
  • Step 2 After the flow of elecfrolyte is stabilized, turn on power supply 11. Positive metal ions will be plated onto the portion of wafer 31 above cylindrical walls 101 and 103.
  • Step 3 When the thickness of the conductive film reaches the predetermined set- value or thickness, turn off power supply 11, and move cylindrical walls 101 and 103 to a lower position.
  • Step 4 Repeat step 1 to 3 for cylindrical walls 105 and 107 (cylindrical walls 105 and 107, and power supply 12).
  • Step 5 Repeat step 4 for tube 109 (tube 109, and power supply 13).
  • Step 6 Turn on LMFC 55, and move all cylindrical walls 101, 103, 105, 107 and tube 109 close to wafer 31.
  • Step 7 After all flows are stabilized, turn on power supplies 11, 12, and 13.
  • the current from each power supply is proportional to the wafer area covered by the corresponding anode or power supply.
  • Step 8 Turn off power supplies 11, 12,and 13 at the same time when plating current is used as the thickness uniformity tuning variable.
  • the power supplies can be turned off at different times for adjusting plating film thickness uniformity.
  • Figs. 46A-46B is another embodiment of an apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a subsfrate with a barrier layer on top in accordance with the present invention.
  • the embodiment of Figs. 46A-46B is similar to that of Figs. 42A-42B except that the height of the cylindrical wall is reduced along the outward radial direction as shown in Fig. 46B.
  • the shape or flow pattern of the elecfrolyte can be adjusted by moving cylindrical wall 120 up or down.
  • Step 1 Turn on LMFC 55 and move cylindrical wall 120 to the highest position, so that the elecfrolyte touches the whole area of wafer 31.
  • Step 2 After the flow of elecfrolyte is stabilized, turn on power supply 11 to output positive potential to anode 4, and turn on power supplies 12, 13 and 14 to output negative potential to anodes 3, 2, and 1, respectively. Therefore, positive metal ions will be plated only onto the peripheral portion of wafer 31 above anode 4.
  • Step 3 When the thickness of the conductive film on the peripheral portion of the wafer reaches the predetermined set- value or thickness, turn off power supply 11.
  • Step 4 Move cylindrical wall 120 to a lower position so that only the peripheral portion of the wafer plated by the metal thin film in step 3 is out of the electrolyte.
  • Step 5 Repeat steps 2 to 3 for anode 3 (turn on power supply 12 to output positive potential to anode 3, and turn on power supplies 13 and 14 to output negative potential to anodes 2 and 1).
  • Step 6 Move cylindrical wall 120 to the next lower position so that only the peripheral portion of the wafer plated by the metal thin film in step 5 is out of the elecfrolyte.
  • Step 7 Repeat step 2 to 3 for anode 2 (turn on power supply 13 to output positive potential to anode 2, and turn on power supply 14 to output negative potential to anode 1).
  • Step 8 Move cylindrical wall 120 to the next lower position so that only the peripheral portion of the wafer plated by the metal thin film in step 7 is out of the electrolyte.
  • Step 9 Repeat step 2 to 3 for anode 1 (turn on power supply 14 to output positive potential to anode 1).
  • Step 10 Turn on LMFC 55, and move cylindrical wall 120 to the highest position, so that whole area of wafer 31 is touched by the elecfrolyte.
  • Step 11 After flow is stabilized, turn on power supplies 11 , 12, 13, and 14. In principle, the current from each power supply is proportional to the wafer area covered by the corresponding anode or power supply.
  • Step 12 Turn off power supplies 11, 12, 13, and 14 at the same time when plating cu ⁇ ent is used as thickness uniformity tuning variable. Alternatively, each power supply can be turned off at a different time for adjusting the film thickness uniformity.
  • Figs. 47A-47B is another embodiment of an apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a subsfrate with a barrier layer on top in accordance with the present invention.
  • the embodiment of Figs. 47A-47B is similar to that of Figs. 46A-46B except that the position of cylindrical wall 120 is fixed and the level of the elecfrolyte is changed by adjusting the flow rate of the elecfrolyte.
  • the flow rate of the elecfrolyte is large, the elecfrolyte level is high, so that the whole wafer area is touched by the elecfrolyte.
  • the flow rate is small, the elecfrolyte level is low, so that the peripheral portion of wafer 31 is out of the elecfrolyte as shown in Fig. 47B.
  • the plating process steps with this embodiment are described as follows:
  • Step 1 Turn on LMFC 55 and to set a flow rate sufficiently large that the elecfrolyte touches the whole area of wafer 31.
  • Step 2 After the flow of elecfrolyte is stabilized, turn on power supply 11 to output positive potential to anode 4, and turn on power supplies 12, 13 and 14 to output negative potential to anodes 3, 2, and 1, respectively. Therefore, positive metal ion will be plated only onto the peripheral portion of wafer 31 above anode 4.
  • Step 3 When the thickness of the conductive film on the peripheral portion of the wafer reaches the set- value or thickness, turn off power supply 11.
  • Step 4 Reduce the flow rate of the elecfrolyte to such a value that only the peripheral portion of the wafer plated by the metal thin film in step 3 is out of the electrolyte.
  • Step 5 Repeat steps 2 to 3 for anode 3 (turn on power supply 12 to output positive potential to anode 3, and turn on power supplies 13 and 14 to output negative potential to anodes 2 and 1).
  • Step 6 Reduce the flow rate of the elecfrolyte so that only the peripheral portion of the wafer plated by the metal thin film in step 5 is out of the elecfrolyte.
  • Step 7 Repeat steps 2 to 3 for anode 2 (turn on power supply 13 to output positive potential to anode 2, and turn power supply 14 to output negative potential to anode 1).
  • Step 8 Reduce the flow rate of the elecfrolyte so that only the peripheral portion of the wafer plated by the metal thin film in step 7 is out of the elecfrolyte.
  • Step 9 Repeat steps 2 to 3 for anode 1 (turn on power supply 14 to output positive potential to anode 1).
  • Step 10 Increase the flow rate of the elecfrolyte so that the whole area of wafer 31 is touched by the electrolyte.
  • Step 11 After flow is stabilized, turn on power supplies 11, 12, 13, and 14. In principle, the current from each power supply is proportional to the wafer area covered by the corresponding anode or power supply.
  • Step 12 Turn off power supplies 11, 12, 13, and 14 at the same time when plating current is used as the thickness uniformity tuning variable.
  • each power supply can be turned off at a different time for adjusting the film thickness uniformity.
  • Figs. 48A-48B is another embodiment of an apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a subsfrate with a barrier layer on top in accordance with the present invention.
  • the embodiment of Figs. 48A-48B is similar to that of Figs. 47A-47B except that the level of elecfrolyte is fixed and the wafer 31 itself can be moved up and down to adjust the size of the wafer area contacted by the elecfrolyte.
  • the wafer 31 is moved to the lowest position, the whole wafer area is touched by the elecfrolyte.
  • the wafer is moved to the highest position, only the center area of wafer 31 is contacted by the elecfrolyte as shown in Fig. 48B.
  • the plating process steps with this embodiment are described as follows:
  • Step 1 Turn on LMFC 55, and move wafer 31 to such a position that the elecfrolyte contacts the whole area of wafer 31.
  • Step 2 After the flow of elecfrolyte is stabilized, turn on power supply 11 to output positive potential to anode 4, and turn on power supplies 12, 13 and 14 to output negative potential to anodes 3, 2, and 1, respectively. Therefore, positive metal ions will be plated only onto the peripheral portion of wafer 31 above anode 4.
  • Step 3 When the thickness of the conductive film on the peripheral portion of the wafer reaches the predetermined set- value or thickness, turn off power supply 11.
  • Step 4 Move wafer 31 up to a position such that only the peripheral portion of the wafer plated by the metal thin film in step 3 is out of contact with the elecfrolyte.
  • Step 5 Repeat step 2 to 3 for anode 3 (turn on power supply 12 to output positive potential to anode 3, and turn power supplies 13 and 14 to output negative potential to anodes 2 and 1).
  • Step 6 Move wafer 31 up to a position such that only the peripheral portion of the wafer plated by the metal thin film in step 5 is out of contact with the elecfrolyte.
  • Step 7 Repeat step 2 to 3 for anode 2 (turn on power supply 13 to output positive potential to anode 2, and turn on power supply 14 to output negative potential to anode
  • Step 8 Move wafer 31 up to a position such that only the peripheral portion of the wafer plated by the metal thin film in step 7 is out of contact with the elecfrolyte.
  • Step 9 Repeat step 2 to 3 for anode 1 (turn on power supply 14 to output positive potential to anode 1).
  • Step 10 Move wafer 31 down to a position such that the whole area of wafer 31 is contacted by the elecfrolyte.
  • Step 11 After flow is stabilized, turn on power supplies 11, 12, 13, and 14.
  • the current from each power supply is proportional to the wafer area covered by the co ⁇ esponding anode or power supply.
  • Step 12 Turn off power suppkes 11, 12, 13, and 14 at the same time when plating current is used as thickness uniformity tuning variable.
  • each power supply can be turned off at a different time for adjusting the film thickness uniformity.
  • Figs. 49A-49B is another embodiment of an apparatus with a single power supply and a single LMFC for plating a conductive film directly on a subsfrate with a barrier layer on top in accordance with the present invention.
  • the embodiment of Figs. 49A-49B is similar to that of Fig. 45A-45B except that the number of power supplies is reduced to one, and all the anodes are connected to single power supply 11. Similarly, the cylindrical walls can move up and down to adjust the flow pattern. As shown in Fig.
  • Step 1 Turn on LMFC 55 and move cylindrical walls 101, 103 close to wafer, so that the elecfrolyte only contacts the portion of the wafer above cylindrical walls 101 and
  • Step 2 After the flow of electrolyte is stabilized, turn on power supply 11. Positive metal ions will be plated onto the portion of wafer 31 above cylindrical walls 101 and
  • Step 3 When the thickness of the conductive film reaches the predetermined set- value or thickness, turn off power supply 11, and move cylindrical walls 101 and 103 to a lower position.
  • Step 4 Repeat step 1 to 3 for cylindrical walls 105 and 107 (move cylindrical walls 105 and 107 up close to wafer 31, and turn on power supply 11).
  • Step 5 Repeat step 4 for tube 109 (move tube 109 up to close to wafer 31, and turn on power supply 11).
  • Step 6 Turn on LMFC 55, and move all cylindrical walls 101, 103, 105, 107 and tube
  • Step 7 After all flows are stabilized, turn on power supply 11.
  • Step 8 Move all cylindrical walls down to lower position at the same time, then turn off power supply 11 when the film thickness reaches the predetermined set- value.
  • Each pair of cylindrical walls can also be moved down at different times with power supply 11 on in order adjust the thickness uniformity.
  • cylindrical walls 105 and 107 are kept at the higher position with power supply 11 on.
  • the wafer area above cylindrical walls 105 and 107 will have exfra plating film on that portion.
  • the exfra plating time length and location can be determined by analyzing the thickness uniformity of the film on the wafer through later film characterization. 5.
  • a flow rate adjuster such as the diffuser of the Figure 17 embodiment may be inserted into all embodiments that use a single LMFC.
  • Multiple stage filters such as two filters connected in series, the first one a rough filter for filtering particles larger than 1 ⁇ m, the second one a fine filter for filtering particles larger than 0.1 ⁇ m, may be employed.
  • the plating bath can be rotated during plating in order to obtain good film uniformity within the wafer.
  • a slip ring for conducting plating current which is also configured to transport the elecfrolyte, should be used.
  • a separate structure for transporting the elecfrolyte could be used.
  • An situ thickness uniformity monitor can be added to the plating baths in accordance with the present invention as shown in Fig. 50.
  • One thickness detector 500 is set under each sub-plating bath or channel at the different radii. After detecting thickness signals, detector 500 transmits the signals to computer 502.
  • Computer 502 processes the signals and outputs the thickness uniformity. Also the wafer rotation position can be input to computer 500 to locate the position along the peripheral direction.
  • the bottom of the plating bath is made of transparent material or has a window for a laser beam to pass through.
  • Fig. 51 is another embodiment of an apparatus with a thickness uniformity monitor. This embodiment is similar to the embodiment of Fig. 50 except that optical fiber 504 is used. A laser beam from detector 500 passes through the optical fiber 504 to the wafer. The laser beam reflected from the wafer also passes through optical fiber 504 and returns to detector 500, The advantage of this embodiment is that the bottom of plating bath does not need to be made of transparent material.
  • a variety of metals can be plated by using the apparatus and methods of the invention. For example, Copper, Nickel, Chromium, Zinc, Cadmium, Silver, Gold, Rhodium, Palladium, Platinum, Tin, Lead, Iron and Indium can all be plated with the invention.
  • Cyanide copper elecfrolyte is: Copper cyanide; Sodium cyanide, Sodium carbonate, Sodium hydroxide, and Rochelle salt.
  • the basic composition of acid copper elecfrolyte is: Copper sulfate, Sulfuric acid, Copper fluoborate, Fluoboric acid, and Boric acid.
  • the basic composition of pyrophosphate copper elecfrolyte is: Copper pyrophosphate, Potassium pyrophosphate, Ammonium nitrate, and Ammonia. Considering the process integration, acid copper elecfrolyte is preferred for plating copper on a semiconductor wafer.
  • a cyanide elecfrolyte In the case of plating silver, a cyanide elecfrolyte is used.
  • the basic composition of cyanide electrolyte is: Silver cyanide, Potassium cyanide, Potassium carbonate, Potassium hydroxide, and Potassium nitrate.
  • a cyanide elecfrolyte In the case of plating gold, a cyanide elecfrolyte is used.
  • the basic composition of cyanide elecfrolyte is: Potassium gold cyanide, Potassium cyanide, Potassium carbonate, Dipotassium monohydrogen phosphate, Potassium hydroxide, Monopotassium dihydrogen phosphate, and Potassium nitrate.
  • Additives can used to enhance film quality in terms of smooth surface, small grain size, reducing the tendency to tree, small film stress, low resistively, good adhesion, and better gap filling capability.
  • acid copper plating the following materials may be used as additives: glue, dextrose, phenolsulfonic acid, molasses, and thiourea.
  • Additives for cyanide copper plating include compounds having active sulfur groups and/or containing metalloids such as selenium or tellurium; organic amines or their reaction products with active sulfur containing compounds; inorganic compounds containing such metals as selenium, tellurium, lead, thallium, antimony, arsenic; and organic nitrogen and sulfur heterocyclic compounds.
  • FIGS 52A-52C are schematic views of an embodiment of a plating system for plating a conductive film on semiconductor wafer in accordance with the present invention. It is a stand alone, fully computer controlled system with automatic wafer fransfer and a cleaning module with wafer dry-in and dry-out capability. It consists of five stacked plating baths 300, 302, 304, 306, 308, five stacked cleaning/dry chambers 310, 312, 314, 316, 318, robot 322, wafer cassette 321, 322, elecfrolyte tank 36 and plumbing box 330. As described above, plating bath 300 consists of anodes, cylindrical walls or tube, wafer chuck and a driver to rotate or oscillate wafers during the plating process.
  • Elecfrolyte tank 36 includes a temperature confrol.
  • Plumbing box 330 consists of a pump, LMFCs, valves, a filter, and plumbing connections.
  • the plating system further includes computer confrol hardware, a power supply and an operating system confrol software package.
  • Robot 322 has a large z-fravel.
  • a telescopic type (stacked) robot with global positioning capability made by Genmark Automation, Inc. is prefe ⁇ ed. The operation process sequence for this embodiment is described as follows:
  • Step A Load wafer cassette 320, 321 into the plating tool manually or with a robot.
  • Step B Select recipe and begin a process run.
  • Step C The confrol software initializes the system including checking all system parameters within the recipe specification, and determining that there are no system alarms.
  • Step D After completing the initialization, robot 322 picks up a wafer from cassette 320 or 321 and sends it to one of the plating baths (300, or 302, or 304, or 306, or 308).
  • Step E Plating metal film on the wafer.
  • Step F After finishing plating, robot 322 pick up the plated wafer from the plating bath, and fransports it to one of the cleaning/drying chambers (310, or 312, or 314, or 316, or
  • Step G Cleaning the plated wafer.
  • Step H Drying the plated wafer through spin-dry and/or N purge.
  • Step I Robot 322 picks up the dried wafer and transport it to cassette 320 or 321.
  • Fig. 53 shows the process sequence for plating multiple wafers simultaneously.
  • the process sequence for plating multiple wafers is similar to that for plating a single wafer except that the computer checks if there is any unprocessed wafer remaining in cassette 320 or 321 after process step I. If there is no unprocessed wafer remaining in cassette 320 or 321, then the system loops back to step A, i.e. loading new cassettes or exchange cassettes. If there is still an unprocessed wafer remaining in cassette 320 and or 321, then system will loop back to step D, i.e. robot 322 picks the unprocessed wafer from cassette and fransports it to one of the plating baths.
  • Process step E may include two process steps, a first to plate a seed layer directly on the barrier layer and a second to plate a metal film on the plated seed layer.
  • the two process steps can be performed at different baths.
  • the advantages of doing two process steps in different baths is to give better process control or a wider process window, since the elecfrolyte for seed layer plating may be different from that for succeeding plating on the seed layer.
  • different elecfrolyte means different acid type, different concenfration of acid, different additives, different concenfration of additives or different process temperature.
  • the plating hardware may be different, considering seed layer plating needs, such as high density nuclear sites, smooth morphology, becoming a continuous film at very early stage ( ⁇ a few hundred A), and need for a conformal layer.
  • the succeeding plating on the seed layer needs a high plating rate, single crystal structure, particular grain orientation, and gap filling without voids.
  • the cleaning process can be performed in different chambers.
  • the cleaning process may consists of several steps, with each step using different solutions or a different concenfration of solution, or using different hardware.
  • robot 322 can be hung upside down onto the top of frame 301.
  • the number of plating bath and number of cleaning/drying can be varied from 1 to 10 as shown in the following table.
  • the prefe ⁇ ed range is shaded in the above table.
  • Figs. 54A-54C are schematic views of another embodiment of a plating system for plating a conductive film on a semiconductor wafer in accordance with the present invention.
  • the Figs. 54A-54C embodiment is similar to the embodiment of Figs. 52A- 52C except that the cassette 320 is moved up and down by a robot 323.
  • the position of cassette 320 is moved up and down to match the position of the robot, so that robot 322 does not need move in the Z direction when picking up an unprocessed wafer from cassette 320 or putting a plated dry wafer back into cassette 320. This increases the transporting speed of robot.
  • Fig. 55 is a schematic view of another embodiment of a plating system for plating a conductive film on a semiconductor wafer in accordance with the present invention.
  • Fig. 55 is similar to the embodiment of Figs. 52A-52C except that robot 322 itself can move in the X dkection. In this way, the robot may not need the function of rotating around the Z axis.
  • Fig. 56 is a schematic view of another embodiment of a plating system for plating a conductive film on a semiconductor wafer in accordance with the present invention.
  • the system of Fig. 56 is similar to the embodiment of Figs. 52A-52C except that the plating baths and cleaning/drying chambers are put in one column. Compared with the embodiment of Fig. 52, the foot print of the system is reduced; however, the wafer throughput is lowered.
  • Figs. 57A-57C are schematic views of another embodiment of a plating system for plating a conductive film on a semiconductor wafer in accordance with the present invention. It consists of three columns of plating baths and cleaning/drying chambers, a linearly movable robot 322, a display screen 340, two stacked cassettes, a plumbing box 330, and an elecfrolyte tank 36. Plating process steps are similar to those described for the embodiment of Figs. 52A-52C.
  • Figs. 58A-58C are schematic views of a further embodiment of the apparatus for plating a conductive film directly on subsfrate with barrier layer or thin seed layer on top in accordance with the present invention.
  • the plating bath includes anode rod 1 placed in tube 109, and anode rings 2, and 3 placed between cylindrical walls 107 and 105, 103 and 101, respectively.
  • Anode 1, 2, and 3 are powered by power supplies 13, 12, and 11, respectively.
  • the charge delivered by each of the power supplies in the plating process is monitored by charge meters 11 A, 12 A, and 13 A, respectively.
  • Elecfrolyte 34 is pumped by pump 33 to pass filter 32 and reach inlets of liquid mass flow controller (LMFCs) 21, 22, and 23. Then LMFCs 21, 23 and 23 deliver elecfrolyte at a set flow rate to sub- plating baths containing anodes 3, 2 and 1, respectively.
  • LMFCs liquid mass flow controller
  • elecfrolyte After flowing through a gap between wafer 31 and top of cylindrical walls, elecfrolyte is fed back to tank 36 through spaces between cylindrical wall 100 and 101, 103 and 105, and 107 and 109, respectively.
  • a pressure leak valve 38 is placed between outlet of pump and elecfrolyte tank 36 to leak elecfrolyte back to tank 36 when LMFCs 21, 22, 23 are closed.
  • Bath temperature is controlled by heater 42, temperature sensor 40, and heater controller 44.
  • a Wafer 31 chucked by wafer chuck 29 is connected to power supplies 11, 12 and 13.
  • a mechanism 30 is used to rotate wafer 31 around z-axis at speed ⁇ zl, and oscillate wafer 31 in the x, y, and z direction.
  • LMFC is an anti-acid or anti co ⁇ osion, and contamination free type mass flow controller.
  • Filter 32 should filter particles larger than 0.05 or 0.1 ⁇ m in order to obtain a low particle added plating process.
  • Pump 33 should be anti-acid or antico ⁇ osion, and contamination free pump.
  • Cylindrical walls 100, 1001, 103, 105, 107 and 109 are made of electrically insulating materials. The materials are also anti-acid or anti-co ⁇ osion, and non-acid dissolving, metal free materials, such as Teflon, CPVC, PVDF, or Polypropylene.
  • Step 1 Turn on power supply 11
  • Step 2 Turn on LMFC 21 only, so that elecfrolyte only touches portion of wafer above anode 3. Positive metal ion will be plated onto the area portion of wafer 31 above anode
  • Step 3 When the thickness of conductive film reaches the set- value or thickness, go to step 4 with power supply 11 and LMFC 21 on.
  • Step 4 Repeat steps 1 to 3 for anode 2 (LMFC 22, and power supply 12), go to step 5 with power supplies 11, 12, and LMFCs 21 22 on.
  • Step 5 Repeat step 4 for anode 1 (LMFC 23 and power supply 13). When film thickness on whole wafer reaches set-value, turn off all power supplies and LMFCs at the same time.
  • power supplies can be operated at DC mode, or pulse mode, or DC pulse mixed mode.
  • Fig. 59 shows each power supply on/off sequence during seed layer plating.
  • the output voltage of power supply 11 can be reduced to a level such that no plating or deplating happens on the portion of wafer above anode 3.
  • the output voltage of power supplies 11, 12 can be reduced to a level such that total charges delivered to anode 3, 2, and 1 during time T3, T2, and Tl meets the following requirement:
  • Q3 is total charge delivered to anode 3 during whole plating process
  • Q2 total charge delivered to anode 2
  • Ql total charge delivered to anode 1 during the whole plating process.
  • Charge monitors 11 A, 12 A, and 13A are used as in-situ thickness monitor. For instance charge variations caused by fluctuation of any power supply can be feed back to a computer. The computer can co ⁇ ect the variation either by adjusting current delivered by the same power supply or adjusting the plating time.
  • Figs. 60A-60B show another embodiment of apparatus for plating conductive film in accordance with the present invention.
  • the embodiment of Figs. 60A-60B is similar to that of Figs. 58A-58B except that output of each channel is adapted by multi- small nozzles 800. Those nozzles will enhance the film umformity.
  • Fig. 61 shows another embodiment of apparatus for plating conductive film in accordance with the present invention.
  • Plating bath 88 is rotated by a mechanism means
  • Anode 804 is set inside of bath 88 and connected to power supply 806.
  • Wafer chuck 29 is driven in x, y, and z movement, and is rotated around the z-axis.
  • Step 1 Deliver elecfrolyte to bath 800;
  • Step2 Rotate bath 800 around z-axis at a speed of ⁇ z2 to form a parabolic surface on top of elecfrolyte;
  • Step 3 Turn on power supply 806
  • Step 4 Move the chuck down at a certain speed until the whole wafer surface is touched by elecfrolyte.
  • the rotation angle or tilting angle is in the range of 0 to 180 degrees.
  • the speed of the chuck moving down determines initial film thickness distribution. This initial thickness distribution affects potential across the wafer during the succeeding plating.
  • Step 5 when the film reaches the pre-set value, turn off electrolyte pump, power supply, and driving means to drive bath 800.
  • the chuck can be rotated around the z-axis to further enhance film uniformity.
  • the rotation direction of the chuck is prefe ⁇ ed to be opposite to that of bath 80.
  • Figs. 62 and 63 show another two embodiments of apparatus for plating conductive film in accordance with the present invention.
  • the embodiments of Figs. 62 and 63 are similar to that of Fig. 61 except that single anode is replaced by multi-anodes.
  • Figs. 64 and Fig. 65 show another two embodiments of apparatus for plating conductive film in accordance with the present invention.
  • the embodiments of Figs. 64 and 65 are similar to these of Figs. 62 and 63 except that the height of insulating walls located from the center to the edge of the bath are the same.
  • Fig. 66 shows another embodiment of apparatus for plating conductive film in accordance with the present invention.
  • the embodiment of Fig. 66 is similar to that of
  • chuck 29 can be rotated around the y axis or the x-axis so that only peripheral part of wafer is contacted by electrolyte.
  • the rotation angle or tilting angle is in the range of 0 to 180 degrees.
  • Stepl Deliver elecfrolyte to bath 800,
  • Step2 Rotate chuck 29 around y-axis at an angle ⁇ y,
  • Step 3 Rotate chuck 29 around z-axis at a speed of ⁇ zl
  • Step 4 Turn on power supply 806;
  • Step 5 Move chuck 29 down (z-axis) at a certain speed until the whole wafer surface is contacted by elecfrolyte.
  • the speed of chuck moving down determines initial film thickness distribution. This initial thickness distribution affects potential across the wafer during the succeeding plating.
  • Step 6 When the film reaches the pre-set value, turn off elecfrolyte pump, power supply, and driving means to drive chuck 29.
  • the wafer chuck can be rotated around the y-axis to make it horizontal. This will enhance the film uniformity.
  • Fig. 67 and Fig. 68 show another two embodiments of apparatus for plating conductive film in accordance with the present invention.
  • the embodiments of Fig. 67 and Fig. 68 are similar to that of Fig. 66 except that a single anode is replaced by multi- anodes.
  • the advantage of these two embodiments is that they provide additional variables to confrol film uniformity across wafer.
  • Fig. 69 shows another embodiment of apparatus for plating conductive film in accordance with the present invention.
  • the embodiment of Fig. 69 is a combination of those of Fig. 61 and Fig. 66.
  • the advantage of this embodiment is to provide additional variable to confrol position of a wafer relative to the surface of the elecfrolyte.
  • Stepl Deliver elecfrolyte to bath 800,
  • Step2 Rotate chuck 29 around the y-axis at an angle ⁇ y,
  • Step 3 Rotate chuck 29 around the z-axis at a speed of ⁇ zl
  • Step 4 Rotate bath 800 around the z-axis at a speed of ⁇ z2 to form a parabolic surface on top of the elecfrolyte;
  • Step 5 Turn on power supply 806
  • Step 6 Move chuck 29 down (z-axis) at a certain speed until the whole wafer surface is contacted by electrolyte.
  • the speed of the chuck moving down determines initial film thickness distribution. This initial thickness distribution affects potential across the wafer during the succeeding plating.
  • Step 7 When film reached the pre-set value, turn off elecfrolyte pump, power supply, and driving means to drive bath 800 and chuck 29.
  • the wafer chuck 29 can be rotated around y-axis to make it horizontal. This will enhance the film uniformity.
  • Figs. 70 and 71 show another two embodiments of apparatus for plating conductive film in accordance with the present invention.
  • the embodiments of Figs. 70 and 71 are similar to that of Fig. 69 except that the single anode is replaced by multiple anodes.
  • the advantage of these two embodiments is that they provide additional variables to control film uniformity across the wafer.
PCT/US1999/000964 1998-02-12 1999-01-15 Plating apparatus and method WO1999041434A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
EP99902321A EP1055020A2 (en) 1998-02-12 1999-01-15 Plating apparatus and method
AU22333/99A AU2233399A (en) 1998-02-12 1999-01-15 Plating apparatus and method
JP2000531609A JP3523197B2 (ja) 1998-02-12 1999-01-15 メッキ設備及び方法
CA002320278A CA2320278C (en) 1998-02-12 1999-01-15 Plating apparatus and method

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US7446698P 1998-02-12 1998-02-12
US60/074,466 1998-02-12
US9421598P 1998-07-27 1998-07-27
US60/094,215 1998-07-27

Publications (2)

Publication Number Publication Date
WO1999041434A2 true WO1999041434A2 (en) 1999-08-19
WO1999041434A3 WO1999041434A3 (en) 1999-10-14

Family

ID=26755698

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/000964 WO1999041434A2 (en) 1998-02-12 1999-01-15 Plating apparatus and method

Country Status (9)

Country Link
US (3) US6391166B1 (US06391166-20020521-M00001.png)
EP (1) EP1055020A2 (US06391166-20020521-M00001.png)
JP (2) JP3523197B2 (US06391166-20020521-M00001.png)
KR (1) KR100474746B1 (US06391166-20020521-M00001.png)
CN (1) CN1222641C (US06391166-20020521-M00001.png)
AU (1) AU2233399A (US06391166-20020521-M00001.png)
CA (1) CA2320278C (US06391166-20020521-M00001.png)
TW (2) TW591122B (US06391166-20020521-M00001.png)
WO (1) WO1999041434A2 (US06391166-20020521-M00001.png)

Cited By (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001316887A (ja) * 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理装置
WO2001094656A2 (en) * 2000-06-05 2001-12-13 Applied Materials, Inc. Plating apparatus with individually controllable anode segments and associated method
WO2002059398A2 (en) * 2001-01-24 2002-08-01 Ebara Corporation Plating apparatus and method
EP1261021A2 (en) * 2001-05-21 2002-11-27 Shinko Electric Industries Co. Ltd. Method of production of circuit board, semiconductor device, and plating system
US6551487B1 (en) * 2001-05-31 2003-04-22 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer immersion
US6561873B2 (en) 2000-02-17 2003-05-13 Applied Materials, Inc. Method and apparatus for enhanced CMP using metals having reductive properties
US6689519B2 (en) 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
JP2004513222A (ja) * 2000-07-07 2004-04-30 アプライド マテリアルズ インコーポレイテッド 金属堆積のため挿入する際に基板を傾斜させる方法及び関連する装置
US6755946B1 (en) 2001-11-30 2004-06-29 Novellus Systems, Inc. Clamshell apparatus with dynamic uniformity control
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US6830673B2 (en) 2002-01-04 2004-12-14 Applied Materials, Inc. Anode assembly and method of reducing sludge formation during electroplating
US6848970B2 (en) 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US6890416B1 (en) 2000-05-10 2005-05-10 Novellus Systems, Inc. Copper electroplating method and apparatus
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US6951599B2 (en) 2002-01-22 2005-10-04 Applied Materials, Inc. Electropolishing of metallic interconnects
US7027143B1 (en) 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US7033465B1 (en) 2001-11-30 2006-04-25 Novellus Systems, Inc. Clamshell apparatus with crystal shielding and in-situ rinse-dry
US7066800B2 (en) 2000-02-17 2006-06-27 Applied Materials Inc. Conductive polishing article for electrochemical mechanical polishing
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
CN1296524C (zh) * 1999-04-13 2007-01-24 塞米用具公司 对工件进行电化学处理的处理容器、反应器和方法
US7189647B2 (en) 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US7204916B2 (en) 2002-08-29 2007-04-17 Dainippon Screen Mfg. Co., Ltd. Plating apparatus and plating method
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US7682498B1 (en) 2001-06-28 2010-03-23 Novellus Systems, Inc. Rotationally asymmetric variable electrode correction
US7799684B1 (en) 2007-03-05 2010-09-21 Novellus Systems, Inc. Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US7837851B2 (en) 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
US7842169B2 (en) 2003-03-04 2010-11-30 Applied Materials, Inc. Method and apparatus for local polishing control
US7935231B2 (en) 2007-10-31 2011-05-03 Novellus Systems, Inc. Rapidly cleanable electroplating cup assembly
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US7985325B2 (en) 2007-10-30 2011-07-26 Novellus Systems, Inc. Closed contact electroplating cup assembly
US8172992B2 (en) 2008-12-10 2012-05-08 Novellus Systems, Inc. Wafer electroplating apparatus for reducing edge defects
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
US8513124B1 (en) 2008-03-06 2013-08-20 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on semi-noble metal coated wafers
US8575028B2 (en) 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
TWI415976B (US06391166-20020521-M00001.png) * 2010-09-27 2013-11-21
US8703615B1 (en) 2008-03-06 2014-04-22 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9028666B2 (en) 2011-05-17 2015-05-12 Novellus Systems, Inc. Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US9092846B2 (en) 2013-02-01 2015-07-28 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific and multi-channel information
US9138784B1 (en) 2009-12-18 2015-09-22 Novellus Systems, Inc. Deionized water conditioning system and methods
US9221081B1 (en) 2011-08-01 2015-12-29 Novellus Systems, Inc. Automated cleaning of wafer plating assembly
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
WO2016082093A1 (en) * 2014-11-25 2016-06-02 Acm Research (Shanghai) Inc. Apparatus and method for uniform metallization on substrate
US9481942B2 (en) 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US9659670B2 (en) 2008-07-28 2017-05-23 Kla-Tencor Corp. Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US9677190B2 (en) 2013-11-01 2017-06-13 Lam Research Corporation Membrane design for reducing defects in electroplating systems
US9746427B2 (en) 2013-02-15 2017-08-29 Novellus Systems, Inc. Detection of plating on wafer holding apparatus
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US9828688B2 (en) 2009-06-17 2017-11-28 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9834852B2 (en) 2012-12-12 2017-12-05 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9899230B2 (en) 2013-05-29 2018-02-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9988734B2 (en) 2011-08-15 2018-06-05 Lam Research Corporation Lipseals and contact elements for semiconductor electroplating apparatuses
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US10011917B2 (en) 2008-11-07 2018-07-03 Lam Research Corporation Control of current density in an electroplating apparatus
US10053793B2 (en) 2015-07-09 2018-08-21 Lam Research Corporation Integrated elastomeric lipseal and cup bottom for reducing wafer sticking
US10053792B2 (en) 2011-09-12 2018-08-21 Novellus Systems, Inc. Plating cup with contoured cup bottom
US10066311B2 (en) 2011-08-15 2018-09-04 Lam Research Corporation Multi-contact lipseals and associated electroplating methods
US10092933B2 (en) 2012-03-28 2018-10-09 Novellus Systems, Inc. Methods and apparatuses for cleaning electroplating substrate holders
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US10416092B2 (en) 2013-02-15 2019-09-17 Lam Research Corporation Remote detection of plating on wafer holding apparatus
US10538855B2 (en) 2012-03-30 2020-01-21 Novellus Systems, Inc. Cleaning electroplating substrate holders using reverse current deplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
IT201900007878A1 (it) * 2019-06-03 2020-12-03 C D T Centro Depurazione Toscano Srl Impianto galvanostatico per la gestione della distribuzione dello spessore di riporti galvanici ed il procedimento per ottenerli
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US11225727B2 (en) 2008-11-07 2022-01-18 Lam Research Corporation Control of current density in an electroplating apparatus

Families Citing this family (284)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6921467B2 (en) * 1996-07-15 2005-07-26 Semitool, Inc. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
US6749390B2 (en) 1997-12-15 2004-06-15 Semitool, Inc. Integrated tools with transfer devices for handling microelectronic workpieces
US6752584B2 (en) * 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US7244677B2 (en) * 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
TW593731B (en) * 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6416647B1 (en) * 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
WO1999054527A2 (en) 1998-04-21 1999-10-28 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
EP1091024A4 (en) * 1998-04-30 2006-03-22 Ebara Corp METHOD AND DEVICE FOR COATING SUBSTRATES
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6248222B1 (en) * 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US7204924B2 (en) * 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US6837978B1 (en) 1999-04-08 2005-01-04 Applied Materials, Inc. Deposition uniformity control for electroplating apparatus, and associated method
US6368475B1 (en) * 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US7585398B2 (en) * 1999-04-13 2009-09-08 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
EP1052062A1 (en) * 1999-05-03 2000-11-15 Applied Materials, Inc. Pré-conditioning fixed abrasive articles
US6623609B2 (en) 1999-07-12 2003-09-23 Semitool, Inc. Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
WO2001027357A1 (en) * 1999-10-12 2001-04-19 Semitool, Inc. Method and apparatus for executing plural processes on a microelectronic workpiece at a single processing station
US20050205111A1 (en) * 1999-10-12 2005-09-22 Ritzdorf Thomas L Method and apparatus for processing a microfeature workpiece with multiple fluid streams
US6660139B1 (en) * 1999-11-08 2003-12-09 Ebara Corporation Plating apparatus and method
US6299741B1 (en) 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US6547937B1 (en) * 2000-01-03 2003-04-15 Semitool, Inc. Microelectronic workpiece processing tool including a processing reactor having a paddle assembly for agitation of a processing fluid proximate to the workpiece
US7059948B2 (en) * 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
US20080156657A1 (en) * 2000-02-17 2008-07-03 Butterfield Paul D Conductive polishing article for electrochemical mechanical polishing
US7125477B2 (en) * 2000-02-17 2006-10-24 Applied Materials, Inc. Contacts for electrochemical processing
US7029365B2 (en) * 2000-02-17 2006-04-18 Applied Materials Inc. Pad assembly for electrochemical mechanical processing
US7374644B2 (en) * 2000-02-17 2008-05-20 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7670468B2 (en) * 2000-02-17 2010-03-02 Applied Materials, Inc. Contact assembly and method for electrochemical mechanical processing
US20030213703A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Method and apparatus for substrate polishing
US7303462B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Edge bead removal by an electro polishing process
US6991528B2 (en) * 2000-02-17 2006-01-31 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7303662B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Contacts for electrochemical processing
US20050092621A1 (en) * 2000-02-17 2005-05-05 Yongqi Hu Composite pad assembly for electrochemical mechanical processing (ECMP)
US7678245B2 (en) * 2000-02-17 2010-03-16 Applied Materials, Inc. Method and apparatus for electrochemical mechanical processing
US6962524B2 (en) * 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6991526B2 (en) * 2002-09-16 2006-01-31 Applied Materials, Inc. Control of removal profile in electrochemically assisted CMP
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6979248B2 (en) * 2002-05-07 2005-12-27 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20040182721A1 (en) * 2003-03-18 2004-09-23 Applied Materials, Inc. Process control in electro-chemical mechanical polishing
US8308931B2 (en) * 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
US6495005B1 (en) * 2000-05-01 2002-12-17 International Business Machines Corporation Electroplating apparatus
US6913680B1 (en) 2000-05-02 2005-07-05 Applied Materials, Inc. Method of application of electrical biasing to enhance metal deposition
JP2004513221A (ja) 2000-05-23 2004-04-30 アプライド マテリアルズ インコーポレイテッド 銅シード層の異常を克服し表面形状サイズ及びアスペクト比を調整する方法と装置
AU2001259504A1 (en) * 2000-05-24 2001-12-03 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20050109627A1 (en) * 2003-10-10 2005-05-26 Applied Materials, Inc. Methods and chemistry for providing initial conformal electrochemical deposition of copper in sub-micron features
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US20050284751A1 (en) * 2004-06-28 2005-12-29 Nicolay Kovarsky Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
US7273535B2 (en) * 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US7584761B1 (en) * 2000-06-30 2009-09-08 Lam Research Corporation Wafer edge surface treatment with liquid meniscus
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US6428673B1 (en) * 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6747734B1 (en) * 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US7102763B2 (en) * 2000-07-08 2006-09-05 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
WO2002029137A2 (en) * 2000-10-03 2002-04-11 Applied Materials,Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6896776B2 (en) * 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
JP2002212786A (ja) * 2001-01-17 2002-07-31 Ebara Corp 基板処理装置
US20040020780A1 (en) * 2001-01-18 2004-02-05 Hey H. Peter W. Immersion bias for use in electro-chemical plating system
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US7582564B2 (en) * 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US7128825B2 (en) * 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US6811680B2 (en) 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US7232514B2 (en) * 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US20020139684A1 (en) * 2001-04-02 2002-10-03 Mitsubishi Denki Kabushiki Kaisha Plating system, plating method, method of manufacturing semiconductor device using the same, and method of manufacturing printed board using the same
US7344432B2 (en) * 2001-04-24 2008-03-18 Applied Materials, Inc. Conductive pad with ion exchange membrane for electrochemical mechanical polishing
US7137879B2 (en) * 2001-04-24 2006-11-21 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20060011487A1 (en) * 2001-05-31 2006-01-19 Surfect Technologies, Inc. Submicron and nano size particle encapsulation by electrochemical process and apparatus
US6908540B2 (en) * 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
US6746591B2 (en) 2001-10-16 2004-06-08 Applied Materials Inc. ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US20070295611A1 (en) * 2001-12-21 2007-12-27 Liu Feng Q Method and composition for polishing a substrate
US6837983B2 (en) * 2002-01-22 2005-01-04 Applied Materials, Inc. Endpoint detection for electro chemical mechanical polishing and electropolishing processes
TWI277473B (en) * 2002-01-31 2007-04-01 Ebara Corp Electrolytic processing apparatus and method, fixing method, fixing structure for ion exchanging member
US6991710B2 (en) * 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
US20030159921A1 (en) * 2002-02-22 2003-08-28 Randy Harris Apparatus with processing stations for manually and automatically processing microelectronic workpieces
US7378356B2 (en) * 2002-03-16 2008-05-27 Springworks, Llc Biased pulse DC reactive sputtering of oxide films
JP3843871B2 (ja) * 2002-03-26 2006-11-08 ソニー株式会社 電解研磨方法および半導体装置の製造方法
US7854828B2 (en) * 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US6911136B2 (en) * 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
US20050194681A1 (en) * 2002-05-07 2005-09-08 Yongqi Hu Conductive pad with high abrasion
US6893505B2 (en) * 2002-05-08 2005-05-17 Semitool, Inc. Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US7247223B2 (en) * 2002-05-29 2007-07-24 Semitool, Inc. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
US20040072445A1 (en) * 2002-07-11 2004-04-15 Applied Materials, Inc. Effective method to improve surface finish in electrochemically assisted CMP
US6875331B2 (en) * 2002-07-11 2005-04-05 Applied Materials, Inc. Anode isolation by diffusion differentials
US7114903B2 (en) * 2002-07-16 2006-10-03 Semitool, Inc. Apparatuses and method for transferring and/or pre-processing microelectronic workpieces
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US20040217005A1 (en) * 2002-07-24 2004-11-04 Aron Rosenfeld Method for electroplating bath chemistry control
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US8535396B2 (en) 2002-08-09 2013-09-17 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US20070264564A1 (en) 2006-03-16 2007-11-15 Infinite Power Solutions, Inc. Thin film battery on an integrated circuit or circuit board and method thereof
US8021778B2 (en) 2002-08-09 2011-09-20 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US8394522B2 (en) 2002-08-09 2013-03-12 Infinite Power Solutions, Inc. Robust metal film encapsulation
US8404376B2 (en) 2002-08-09 2013-03-26 Infinite Power Solutions, Inc. Metal film encapsulation
US8236443B2 (en) 2002-08-09 2012-08-07 Infinite Power Solutions, Inc. Metal film encapsulation
US8431264B2 (en) 2002-08-09 2013-04-30 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8445130B2 (en) 2002-08-09 2013-05-21 Infinite Power Solutions, Inc. Hybrid thin-film battery
US7112270B2 (en) * 2002-09-16 2006-09-26 Applied Materials, Inc. Algorithm for real-time process control of electro-polishing
US20050061674A1 (en) * 2002-09-16 2005-03-24 Yan Wang Endpoint compensation in electroprocessing
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7614411B2 (en) * 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US7045018B2 (en) * 2002-09-30 2006-05-16 Lam Research Corporation Substrate brush scrubbing and proximity cleaning-drying sequence using compatible chemistries, and method, apparatus, and system for implementing the same
US7389783B2 (en) * 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
US6954993B1 (en) 2002-09-30 2005-10-18 Lam Research Corporation Concentric proximity processing head
US7093375B2 (en) * 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US8236382B2 (en) * 2002-09-30 2012-08-07 Lam Research Corporation Proximity substrate preparation sequence, and method, apparatus, and system for implementing the same
US7632376B1 (en) 2002-09-30 2009-12-15 Lam Research Corporation Method and apparatus for atomic layer deposition (ALD) in a proximity system
US7153400B2 (en) * 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US7293571B2 (en) * 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7367345B1 (en) 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US7240679B2 (en) 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US7069937B2 (en) * 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US7513262B2 (en) * 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US6988326B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Phobic barrier meniscus separation and containment
US7997288B2 (en) * 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
WO2004052547A2 (en) * 2002-12-05 2004-06-24 Surfect Technologies, Inc. Coated and magnetic particles and applications thereof
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
AU2003297814A1 (en) * 2002-12-09 2004-06-30 Acm Research, Inc. Measuring alignment between a wafer chuck and polishing/plating receptacle
US20040226654A1 (en) * 2002-12-17 2004-11-18 Akihisa Hongo Substrate processing apparatus and substrate processing method
DE10261493A1 (de) * 2002-12-23 2004-07-08 METAKEM Gesellschaft für Schichtchemie der Metalle mbH Anode zur Galvanisierung
US20040154926A1 (en) * 2002-12-24 2004-08-12 Zhi-Wen Sun Multiple chemistry electrochemical plating method
JP4303484B2 (ja) * 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 メッキ装置
US20060049038A1 (en) * 2003-02-12 2006-03-09 Surfect Technologies, Inc. Dynamic profile anode
US20040192066A1 (en) * 2003-02-18 2004-09-30 Applied Materials, Inc. Method for immersing a substrate
EP1597408B1 (en) * 2003-02-27 2012-12-05 Symmorphix, Inc. Method for forming dielectric barrier layers
US8728285B2 (en) 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
US7238628B2 (en) * 2003-05-23 2007-07-03 Symmorphix, Inc. Energy conversion and storage films and devices by physical vapor deposition of titanium and titanium oxides and sub-oxides
WO2004107422A2 (en) * 2003-05-27 2004-12-09 Ebara Corporation Plating apparatus and plating method
US7390429B2 (en) * 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
KR100545192B1 (ko) * 2003-06-19 2006-01-24 동부아남반도체 주식회사 증착 중단 시기 검출 장치 및 이를 이용한 반도체 소자의구리 배선 형성 방법
US7675000B2 (en) * 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US7067048B2 (en) * 2003-08-08 2006-06-27 Lsi Logic Corporation Method to improve the control of electro-polishing by use of a plating electrode an electrolyte bath
DE10337669B4 (de) * 2003-08-08 2006-04-27 Atotech Deutschland Gmbh Wässrige, saure Lösung und Verfahren zum galvanischen Abscheiden von Kupferüberzügen sowie Verwendung der Lösung
JP4624738B2 (ja) * 2003-08-21 2011-02-02 株式会社荏原製作所 めっき装置
JP5232844B2 (ja) * 2003-08-21 2013-07-10 株式会社荏原製作所 めっき装置
US6972438B2 (en) * 2003-09-30 2005-12-06 Cree, Inc. Light emitting diode with porous SiC substrate and method for fabricating
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US20050092601A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a diffusion member
US20050092602A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a membrane stack
US20050095854A1 (en) * 2003-10-31 2005-05-05 Uzoh Cyprian E. Methods for depositing high yield and low defect density conductive films in damascene structures
US20050092611A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Bath and method for high rate copper deposition
US20050121141A1 (en) * 2003-11-13 2005-06-09 Manens Antoine P. Real time process control for a polishing process
US7186164B2 (en) * 2003-12-03 2007-03-06 Applied Materials, Inc. Processing pad assembly with zone control
US6998337B1 (en) * 2003-12-08 2006-02-14 Advanced Micro Devices, Inc. Thermal annealing for Cu seed layer enhancement
US20070039827A1 (en) * 2003-12-09 2007-02-22 Acm Reasearch, Inc. Measuring alignment between a wafer chuck and polishing/plating receptacle
US20050178666A1 (en) * 2004-01-13 2005-08-18 Applied Materials, Inc. Methods for fabrication of a polishing article
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
TW200533791A (en) * 2004-02-04 2005-10-16 Surfect Technologies Inc Plating apparatus and method
US8062471B2 (en) * 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7285195B2 (en) * 2004-06-24 2007-10-23 Applied Materials, Inc. Electric field reducing thrust plate
US7214297B2 (en) * 2004-06-28 2007-05-08 Applied Materials, Inc. Substrate support element for an electrochemical plating cell
US7645364B2 (en) * 2004-06-30 2010-01-12 Lam Research Corporation Apparatus and method for plating semiconductor wafers
US20060030156A1 (en) * 2004-08-05 2006-02-09 Applied Materials, Inc. Abrasive conductive polishing article for electrochemical mechanical polishing
US7084064B2 (en) * 2004-09-14 2006-08-01 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
WO2006039436A2 (en) * 2004-10-01 2006-04-13 Applied Materials, Inc. Pad design for electrochemical mechanical polishing
US7520968B2 (en) * 2004-10-05 2009-04-21 Applied Materials, Inc. Conductive pad design modification for better wafer-pad contact
US20060102467A1 (en) * 2004-11-15 2006-05-18 Harald Herchen Current collimation for thin seed and direct plating
JP2008522040A (ja) * 2004-11-30 2008-06-26 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 導電性表面の膜制限選択性電気めっき
US7959769B2 (en) 2004-12-08 2011-06-14 Infinite Power Solutions, Inc. Deposition of LiCoO2
CN101931097B (zh) 2004-12-08 2012-11-21 希莫菲克斯公司 LiCoO2的沉积
US7368042B2 (en) * 2004-12-30 2008-05-06 United Microelectronics Corp. Electroplating apparatus including a real-time feedback system
US20060163058A1 (en) * 2005-01-26 2006-07-27 Kiyonori Watanabe Apparatus for plating a semiconductor wafer and plating solution bath used therein
US7655565B2 (en) * 2005-01-26 2010-02-02 Applied Materials, Inc. Electroprocessing profile control
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
US20060196778A1 (en) * 2005-01-28 2006-09-07 Renhe Jia Tungsten electroprocessing
US20060175201A1 (en) * 2005-02-07 2006-08-10 Hooman Hafezi Immersion process for electroplating applications
US20060219566A1 (en) * 2005-03-29 2006-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating metal layer
US20060219663A1 (en) * 2005-03-31 2006-10-05 Applied Materials, Inc. Metal CMP process on one or more polishing stations using slurries with oxidizers
US7427340B2 (en) * 2005-04-08 2008-09-23 Applied Materials, Inc. Conductive pad
US7820026B2 (en) * 2005-04-13 2010-10-26 Applied Materials, Inc. Method to deposit organic grafted film on barrier layer
US20060237319A1 (en) * 2005-04-22 2006-10-26 Akira Furuya Planting process and manufacturing process for semiconductor device thereby, and plating apparatus
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US7838133B2 (en) * 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications
KR100651919B1 (ko) * 2005-09-29 2006-12-01 엘지전자 주식회사 녹화 속도 조절 기능을 갖는 이동통신단말기 및 이를이용한 방법
JP2007123473A (ja) * 2005-10-27 2007-05-17 Alps Electric Co Ltd 軟磁性膜及びその製造方法、ならびに前記軟磁性膜を用いた薄膜磁気ヘッド及びその製造方法
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US20070141818A1 (en) * 2005-12-19 2007-06-21 Bulent Basol Method of depositing materials on full face of a wafer
US8029653B2 (en) * 2006-02-21 2011-10-04 Ebara Corporation Electroplating apparatus and electroplating method
US7655126B2 (en) 2006-03-27 2010-02-02 Federal Mogul World Wide, Inc. Fabrication of topical stopper on MLS gasket by active matrix electrochemical deposition
US20070227633A1 (en) * 2006-04-04 2007-10-04 Basol Bulent M Composition control for roll-to-roll processed photovoltaic films
CN101454486B (zh) * 2006-04-04 2013-03-13 索罗能源公司 用于卷绕处理光电薄膜的组分控制
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US7422982B2 (en) * 2006-07-07 2008-09-09 Applied Materials, Inc. Method and apparatus for electroprocessing a substrate with edge profile control
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US8813764B2 (en) 2009-05-29 2014-08-26 Lam Research Corporation Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer
KR20090069323A (ko) 2006-09-29 2009-06-30 인피니트 파워 솔루션스, 인크. 가요성 기판의 마스킹 및 가요성 기판에 배터리 층을 증착하기 위한 재료의 구속
US8197781B2 (en) 2006-11-07 2012-06-12 Infinite Power Solutions, Inc. Sputtering target of Li3PO4 and method for producing same
JP4915220B2 (ja) * 2006-11-24 2012-04-11 富士通株式会社 携帯端末装置
US7736928B2 (en) * 2006-12-01 2010-06-15 Applied Materials, Inc. Precision printing electroplating through plating mask on a solar cell substrate
US20080128019A1 (en) * 2006-12-01 2008-06-05 Applied Materials, Inc. Method of metallizing a solar cell substrate
US7799182B2 (en) * 2006-12-01 2010-09-21 Applied Materials, Inc. Electroplating on roll-to-roll flexible solar cell substrates
US7704352B2 (en) * 2006-12-01 2010-04-27 Applied Materials, Inc. High-aspect ratio anode and apparatus for high-speed electroplating on a solar cell substrate
US8146902B2 (en) * 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US7975708B2 (en) * 2007-03-30 2011-07-12 Lam Research Corporation Proximity head with angled vacuum conduit system, apparatus and method
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US20080293343A1 (en) * 2007-05-22 2008-11-27 Yuchun Wang Pad with shallow cells for electrochemical mechanical processing
DE102007026633B4 (de) 2007-06-06 2009-04-02 Atotech Deutschland Gmbh Vorrichtung und Verfahren zum elektrolytischen Behandeln von plattenförmiger Ware
US8141566B2 (en) * 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
CN101903560B (zh) 2007-12-21 2014-08-06 无穷动力解决方案股份有限公司 用于电解质膜的溅射靶的方法
US8268488B2 (en) 2007-12-21 2012-09-18 Infinite Power Solutions, Inc. Thin film electrolyte for thin film batteries
CN101911367B (zh) 2008-01-11 2015-02-25 无穷动力解决方案股份有限公司 用于薄膜电池及其他器件的薄膜包封
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
WO2009124191A2 (en) 2008-04-02 2009-10-08 Infinite Power Solutions, Inc. Passive over/under voltage control and protection for energy storage devices associated with energy harvesting
US20090250352A1 (en) * 2008-04-04 2009-10-08 Emat Technology, Llc Methods for electroplating copper
CN101580945B (zh) * 2008-05-12 2012-12-05 盛美半导体设备(上海)有限公司 电沉积系统
KR20110058793A (ko) 2008-08-11 2011-06-01 인피니트 파워 솔루션스, 인크. 전자기 에너지를 수확하기 위한 일체형 컬렉터 표면을 갖는 에너지 디바이스 및 전자기 에너지를 수확하는 방법
JP5650646B2 (ja) 2008-09-12 2015-01-07 インフィニット パワー ソリューションズ, インコーポレイテッド 電磁エネルギーを介したデータ通信のための一体型伝導性表面を有するエネルギーデバイスおよび電磁エネルギーを介したデータ通信のための方法
JP2012503096A (ja) * 2008-09-16 2012-02-02 エーシーエム リサーチ (シャンハイ) インコーポレーテッド 半導体ウエハ上に実質的に均一な銅析出を行う方法
WO2010042594A1 (en) 2008-10-08 2010-04-15 Infinite Power Solutions, Inc. Environmentally-powered wireless sensor module
US8858774B2 (en) 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US20100126849A1 (en) * 2008-11-24 2010-05-27 Applied Materials, Inc. Apparatus and method for forming 3d nanostructure electrode for electrochemical battery and capacitor
CN101748459B (zh) * 2008-12-01 2014-09-24 盛美半导体设备(上海)有限公司 在半导体晶片上超均匀沉积铜膜的方法
US8475637B2 (en) * 2008-12-17 2013-07-02 Novellus Systems, Inc. Electroplating apparatus with vented electrolyte manifold
JP5084766B2 (ja) * 2009-03-11 2012-11-28 住友電気工業株式会社 薄膜超電導線材および超電導ケーブル導体
JP5492998B2 (ja) 2009-09-01 2014-05-14 インフィニット パワー ソリューションズ, インコーポレイテッド 薄膜バッテリを組み込んだプリント回路基板
US20110041899A1 (en) * 2009-10-30 2011-02-24 National Institute Of Standards And Technology Three Dimensionally Structured Thin Film Photovoltaic Devices with Self-Aligned Back Contacts
US9347987B2 (en) 2009-11-06 2016-05-24 Intel Corporation Direct liquid-contact micro-channel heat transfer devices, methods of temperature control for semiconductive devices, and processes of forming same
TWI397614B (zh) * 2009-12-22 2013-06-01 Zhen Ding Technology Co Ltd 電鍍治具
TWI410531B (zh) * 2010-05-07 2013-10-01 Taiwan Semiconductor Mfg 直立式電鍍設備及其電鍍方法
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9385035B2 (en) 2010-05-24 2016-07-05 Novellus Systems, Inc. Current ramping and current pulsing entry of substrates for electroplating
CN102947976B (zh) 2010-06-07 2018-03-16 萨普拉斯特研究有限责任公司 可充电、高密度的电化学设备
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
TWI414640B (zh) * 2010-09-06 2013-11-11 Grand Plastic Technology Co Ltd 垂直懸臂式電鍍夾具
US20120325671A2 (en) * 2010-12-17 2012-12-27 Tel Nexx, Inc. Electroplated lead-free bump deposition
CN102041531B (zh) * 2010-12-30 2012-05-23 东莞铭励电器制品有限公司 一种局部喷镀银接触钉之喷镀装置及一种局部喷镀银接触钉
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
JP6113154B2 (ja) 2011-06-24 2017-04-12 エーシーエム リサーチ (シャンハイ) インコーポレーテッド 基板上に均一な金属膜を形成するための方法及び装置
WO2013021847A1 (ja) * 2011-08-11 2013-02-14 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置及び配線形成用治具
US20130233356A1 (en) * 2012-03-12 2013-09-12 Lam Research Ag Process and apparatus for treating surfaces of wafer-shaped articles
CN103590092B (zh) * 2012-08-16 2017-05-10 盛美半导体设备(上海)有限公司 一种电化学抛光/电镀装置及方法
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US9435048B2 (en) * 2013-02-27 2016-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Layer by layer electro chemical plating (ECP) process
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US10113244B2 (en) * 2013-04-22 2018-10-30 Acm Research (Shanghai) Inc. Method and apparatus for uniformly metallization on substrate
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
CN103938257A (zh) * 2014-05-08 2014-07-23 中国科学院宁波材料技术与工程研究所 多用途电化学池装置及电镀和分析方法
CN105316754B (zh) * 2014-07-29 2019-08-16 盛美半导体设备(上海)有限公司 电化学加工工艺及电化学加工装置
CN105590987B (zh) * 2014-10-20 2022-06-14 苏州易益新能源科技有限公司 一种水平电化学沉积金属的方法
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9567685B2 (en) 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
TWI560323B (en) * 2015-02-13 2016-12-01 Inotera Memories Inc Electrochemical plating device and anode assembly thereof
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US9988733B2 (en) 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
EP3176288A1 (en) * 2015-12-03 2017-06-07 ATOTECH Deutschland GmbH Method for galvanic metal deposition
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
AU2017295870B2 (en) 2016-07-13 2022-04-28 Iontra Inc Electrochemical methods, devices and compositions
CN109475884A (zh) * 2016-07-20 2019-03-15 技术公司 在半导电晶片上电沉积均匀厚度的金属层
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
WO2018063257A1 (en) * 2016-09-29 2018-04-05 Intel Corporation Methods & apparatus for electroless plating dispense
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
CN106917121A (zh) * 2017-03-02 2017-07-04 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 一种晶圆电镀装置及电镀方法
KR102000672B1 (ko) * 2017-07-28 2019-07-17 주식회사 선익시스템 박막 증착용 마스크 제조 방법 및 이를 통해 제작된 증착 마스크
KR101987172B1 (ko) * 2017-07-28 2019-06-10 주식회사 선익시스템 박막 증착용 마스크 제조 방법 및 이를 통해 제작된 증착 마스크
CN110512248B (zh) * 2018-05-21 2022-04-12 盛美半导体设备(上海)股份有限公司 电镀设备及电镀方法
JP7296699B2 (ja) * 2018-07-02 2023-06-23 東京エレクトロン株式会社 ガス供給システム、プラズマ処理装置およびガス供給システムの制御方法
WO2020133149A1 (en) * 2018-12-28 2020-07-02 Acm Research (Shanghai) Inc. Plating apparatus and plating method
KR102639119B1 (ko) * 2018-12-31 2024-02-20 엘지디스플레이 주식회사 전기 도금 장치 및 이를 이용한 전기 도금 방법
CN110614074B (zh) * 2019-09-25 2021-07-23 赣州泰普新材料有限公司 一种带有废物处理机构的萜烯树脂用生产装置
CN110923761B (zh) * 2019-12-26 2022-01-11 重庆切普电子技术有限公司 一种喷淋电镀系统
CN113493920B (zh) * 2020-03-19 2022-12-23 芯恩(青岛)集成电路有限公司 改善电镀薄膜均匀性的装置及方法
JP7356401B2 (ja) * 2020-05-12 2023-10-04 株式会社荏原製作所 プレート、めっき装置、及びプレートの製造方法
CN111854415B (zh) * 2020-07-15 2022-04-01 合肥三伍机械有限公司 一种烘干机用自清洁网板结构和烘干机
KR200496932Y1 (ko) 2020-08-25 2023-06-07 주식회사 한국가스기술공사 방폭등기구 테스트 장치
CN112899743B (zh) * 2021-01-19 2021-09-21 鑫巨(深圳)半导体科技有限公司 一种电镀装置及电镀方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0257670A1 (en) * 1986-07-19 1988-03-02 Ae Plc Process and apparatus for the deposition of bearing alloys
WO1995020064A1 (en) * 1994-01-24 1995-07-27 Berg N Edward Uniform electroplating of printed circuit boards
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3880725A (en) * 1974-04-10 1975-04-29 Rca Corp Predetermined thickness profiles through electroplating
JPS56102590A (en) * 1979-08-09 1981-08-17 Koichi Shimamura Method and device for plating of microarea
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
JPS57171690A (en) * 1981-04-13 1982-10-22 Tokio Osaki Plating method
AU602673B2 (en) * 1985-12-24 1990-10-25 Gould Electronics Inc Electroplating metal foil
JPH01234590A (ja) * 1988-03-16 1989-09-19 Toshiba Eng Co Ltd 部分メッキ装置
US5235995A (en) 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
WO1990000476A1 (en) 1988-07-12 1990-01-25 The Regents Of The University Of California Planarized interconnect etchback
JPH07113159B2 (ja) * 1988-08-29 1995-12-06 日本電装株式会社 めっき装置
JPH02185999A (ja) * 1989-01-11 1990-07-20 Nec Corp 電気メッキ槽
JPH083153B2 (ja) * 1990-02-26 1996-01-17 日本電装株式会社 めっき装置
US5222310A (en) 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
JPH04170031A (ja) * 1990-11-02 1992-06-17 Nec Corp 金属配線の形成方法
US5326455A (en) * 1990-12-19 1994-07-05 Nikko Gould Foil Co., Ltd. Method of producing electrolytic copper foil and apparatus for producing same
JP2538705Y2 (ja) * 1991-01-10 1997-06-18 日本電気株式会社 めっき処理装置
JPH04311591A (ja) * 1991-04-08 1992-11-04 Sumitomo Metal Ind Ltd めっき装置及びめっき方法
JP3112700B2 (ja) * 1991-05-08 2000-11-27 啓一郎 菅沼 半導体製造方法並びにその装置
JPH0555167A (ja) * 1991-08-28 1993-03-05 Nec Corp 半導体装置の製造方法
JPH05206064A (ja) * 1991-12-10 1993-08-13 Nec Corp 半導体装置の製造方法
JPH05195183A (ja) * 1992-01-23 1993-08-03 Mitsubishi Electric Corp 半導体装置の製造方法
JPH0617291A (ja) * 1992-07-03 1994-01-25 Nec Corp 金属めっき装置
JPH0625899A (ja) 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
JPH0645283A (ja) * 1992-07-27 1994-02-18 Nec Corp 半導体装置の製造方法
JP3350564B2 (ja) * 1993-01-22 2002-11-25 沖電気工業株式会社 めっき装置及びめっき方法
JP3064734B2 (ja) * 1993-04-01 2000-07-12 日本電気株式会社 半導体装置の製造方法
US5489341A (en) 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5421987A (en) 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
JP3289459B2 (ja) * 1993-12-29 2002-06-04 カシオ計算機株式会社 メッキ方法及びメッキ装置
US5544421A (en) 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
JP3033009B2 (ja) * 1994-09-09 2000-04-17 東京エレクトロン株式会社 処理装置
JP3394842B2 (ja) * 1995-04-14 2003-04-07 島田理化工業株式会社 ウエハ処理装置
US5522975A (en) * 1995-05-16 1996-06-04 International Business Machines Corporation Electroplating workpiece fixture
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
EP0751566A3 (en) * 1995-06-30 1997-02-26 Ibm Metal thin film barrier for electrical connections
US5670034A (en) 1995-07-11 1997-09-23 American Plating Systems Reciprocating anode electrolytic plating apparatus and method
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
JP3537269B2 (ja) * 1996-05-21 2004-06-14 アネルバ株式会社 マルチチャンバースパッタリング装置
US5779799A (en) * 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
DE19821781C2 (de) * 1997-05-15 2002-07-18 Toyoda Gosei Kk Beschichtungsverfahren und Beschichtungsgerät zur Herstellung dreidimensionaler Metallgegenstände
JP3223850B2 (ja) * 1997-07-18 2001-10-29 日本電気株式会社 噴流めっき装置
JPH1180993A (ja) * 1997-09-10 1999-03-26 Ebara Corp 半導体ウエハメッキ装置
JP3554665B2 (ja) * 1997-09-17 2004-08-18 株式会社荏原製作所 半導体基板配線のバリア層及び配線構造
US5882498A (en) * 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6251528B1 (en) * 1998-01-09 2001-06-26 International Business Machines Corporation Method to plate C4 to copper stud
US6140234A (en) * 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
JP3501937B2 (ja) * 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
US6143155A (en) * 1998-06-11 2000-11-07 Speedfam Ipec Corp. Method for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipiolar electrode assembly
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0257670A1 (en) * 1986-07-19 1988-03-02 Ae Plc Process and apparatus for the deposition of bearing alloys
WO1995020064A1 (en) * 1994-01-24 1995-07-27 Berg N Edward Uniform electroplating of printed circuit boards
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 007, no. 014 (C-146), 20 January 1983 (1983-01-20) & JP 57 171690 A (TOKIO OOSAKI;OTHERS: 02), 22 October 1982 (1982-10-22) *
PATENT ABSTRACTS OF JAPAN vol. 013, no. 569 (C-666), 15 December 1989 (1989-12-15) & JP 01 234590 A (TOSHIBA ENG CO LTD), 19 September 1989 (1989-09-19) *

Cited By (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1296524C (zh) * 1999-04-13 2007-01-24 塞米用具公司 对工件进行电化学处理的处理容器、反应器和方法
US6561873B2 (en) 2000-02-17 2003-05-13 Applied Materials, Inc. Method and apparatus for enhanced CMP using metals having reductive properties
US7066800B2 (en) 2000-02-17 2006-06-27 Applied Materials Inc. Conductive polishing article for electrochemical mechanical polishing
US6689519B2 (en) 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6987572B2 (en) 2000-05-04 2006-01-17 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US7767956B2 (en) 2000-05-04 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US7462814B2 (en) 2000-05-04 2008-12-09 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
JP2001316887A (ja) * 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理装置
US7967969B2 (en) 2000-05-10 2011-06-28 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US6890416B1 (en) 2000-05-10 2005-05-10 Novellus Systems, Inc. Copper electroplating method and apparatus
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
WO2001094656A2 (en) * 2000-06-05 2001-12-13 Applied Materials, Inc. Plating apparatus with individually controllable anode segments and associated method
WO2001094656A3 (en) * 2000-06-05 2002-03-07 Applied Materials Inc Plating apparatus with individually controllable anode segments and associated method
JP2004513222A (ja) * 2000-07-07 2004-04-30 アプライド マテリアルズ インコーポレイテッド 金属堆積のため挿入する際に基板を傾斜させる方法及び関連する装置
US7166204B2 (en) 2001-01-24 2007-01-23 Ebara Corporation Plating apparatus and method
WO2002059398A3 (en) * 2001-01-24 2004-04-22 Ebara Corp Plating apparatus and method
WO2002059398A2 (en) * 2001-01-24 2002-08-01 Ebara Corporation Plating apparatus and method
US8883640B1 (en) 2001-04-05 2014-11-11 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US8026174B1 (en) 2001-04-05 2011-09-27 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US8450210B1 (en) 2001-04-05 2013-05-28 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US7189647B2 (en) 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
EP1261021A3 (en) * 2001-05-21 2006-02-08 Shinko Electric Industries Co. Ltd. Method of production of circuit board, semiconductor device, and plating system
EP1261021A2 (en) * 2001-05-21 2002-11-27 Shinko Electric Industries Co. Ltd. Method of production of circuit board, semiconductor device, and plating system
US7114251B2 (en) 2001-05-21 2006-10-03 Shinko Electric Industries Co., Ltd. Method of producing of circuit board; for semiconductor device
US7097410B1 (en) 2001-05-31 2006-08-29 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer positioning
US6551487B1 (en) * 2001-05-31 2003-04-22 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer immersion
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US7682498B1 (en) 2001-06-28 2010-03-23 Novellus Systems, Inc. Rotationally asymmetric variable electrode correction
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US6755946B1 (en) 2001-11-30 2004-06-29 Novellus Systems, Inc. Clamshell apparatus with dynamic uniformity control
US7033465B1 (en) 2001-11-30 2006-04-25 Novellus Systems, Inc. Clamshell apparatus with crystal shielding and in-situ rinse-dry
US6830673B2 (en) 2002-01-04 2004-12-14 Applied Materials, Inc. Anode assembly and method of reducing sludge formation during electroplating
US6951599B2 (en) 2002-01-22 2005-10-04 Applied Materials, Inc. Electropolishing of metallic interconnects
US7204916B2 (en) 2002-08-29 2007-04-17 Dainippon Screen Mfg. Co., Ltd. Plating apparatus and plating method
US6848970B2 (en) 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US7027143B1 (en) 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US7842169B2 (en) 2003-03-04 2010-11-30 Applied Materials, Inc. Method and apparatus for local polishing control
US7837851B2 (en) 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
US7799684B1 (en) 2007-03-05 2010-09-21 Novellus Systems, Inc. Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US7985325B2 (en) 2007-10-30 2011-07-26 Novellus Systems, Inc. Closed contact electroplating cup assembly
US8377268B2 (en) 2007-10-30 2013-02-19 Novellus Systems, Inc. Electroplating cup assembly
US7935231B2 (en) 2007-10-31 2011-05-03 Novellus Systems, Inc. Rapidly cleanable electroplating cup assembly
US8398831B2 (en) 2007-10-31 2013-03-19 Novellus Systems, Inc. Rapidly cleanable electroplating cup seal
US8513124B1 (en) 2008-03-06 2013-08-20 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on semi-noble metal coated wafers
US8703615B1 (en) 2008-03-06 2014-04-22 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US9659670B2 (en) 2008-07-28 2017-05-23 Kla-Tencor Corp. Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
US10011917B2 (en) 2008-11-07 2018-07-03 Lam Research Corporation Control of current density in an electroplating apparatus
US10214828B2 (en) 2008-11-07 2019-02-26 Lam Research Corporation Control of current density in an electroplating apparatus
US10689774B2 (en) 2008-11-07 2020-06-23 Lam Research Corporation Control of current density in an electroplating apparatus
US11225727B2 (en) 2008-11-07 2022-01-18 Lam Research Corporation Control of current density in an electroplating apparatus
US8172992B2 (en) 2008-12-10 2012-05-08 Novellus Systems, Inc. Wafer electroplating apparatus for reducing edge defects
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
US10840101B2 (en) 2009-06-17 2020-11-17 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US10301738B2 (en) 2009-06-17 2019-05-28 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9852913B2 (en) 2009-06-17 2017-12-26 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9828688B2 (en) 2009-06-17 2017-11-28 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9721800B2 (en) 2009-06-17 2017-08-01 Novellus Systems, Inc. Apparatus for wetting pretreatment for enhanced damascene metal filling
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9138784B1 (en) 2009-12-18 2015-09-22 Novellus Systems, Inc. Deionized water conditioning system and methods
US10190230B2 (en) 2010-07-02 2019-01-29 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
TWI415976B (US06391166-20020521-M00001.png) * 2010-09-27 2013-11-21
US10006144B2 (en) 2011-04-15 2018-06-26 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
US8575028B2 (en) 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
US9028666B2 (en) 2011-05-17 2015-05-12 Novellus Systems, Inc. Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath
US9587322B2 (en) 2011-05-17 2017-03-07 Novellus Systems, Inc. Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath
US10968531B2 (en) 2011-05-17 2021-04-06 Novellus Systems, Inc. Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath
US10087545B2 (en) 2011-08-01 2018-10-02 Novellus Systems, Inc. Automated cleaning of wafer plating assembly
US9221081B1 (en) 2011-08-01 2015-12-29 Novellus Systems, Inc. Automated cleaning of wafer plating assembly
US10435807B2 (en) 2011-08-15 2019-10-08 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
US10066311B2 (en) 2011-08-15 2018-09-04 Lam Research Corporation Multi-contact lipseals and associated electroplating methods
US9988734B2 (en) 2011-08-15 2018-06-05 Lam Research Corporation Lipseals and contact elements for semiconductor electroplating apparatuses
US10053792B2 (en) 2011-09-12 2018-08-21 Novellus Systems, Inc. Plating cup with contoured cup bottom
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US10092933B2 (en) 2012-03-28 2018-10-09 Novellus Systems, Inc. Methods and apparatuses for cleaning electroplating substrate holders
US10538855B2 (en) 2012-03-30 2020-01-21 Novellus Systems, Inc. Cleaning electroplating substrate holders using reverse current deplating
US11542630B2 (en) 2012-03-30 2023-01-03 Novellus Systems, Inc. Cleaning electroplating substrate holders using reverse current deplating
US9834852B2 (en) 2012-12-12 2017-12-05 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10662545B2 (en) 2012-12-12 2020-05-26 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9092846B2 (en) 2013-02-01 2015-07-28 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific and multi-channel information
US9746427B2 (en) 2013-02-15 2017-08-29 Novellus Systems, Inc. Detection of plating on wafer holding apparatus
US10416092B2 (en) 2013-02-15 2019-09-17 Lam Research Corporation Remote detection of plating on wafer holding apparatus
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US10128102B2 (en) 2013-02-20 2018-11-13 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US10301739B2 (en) 2013-05-01 2019-05-28 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9899230B2 (en) 2013-05-29 2018-02-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9677190B2 (en) 2013-11-01 2017-06-13 Lam Research Corporation Membrane design for reducing defects in electroplating systems
WO2016082093A1 (en) * 2014-11-25 2016-06-02 Acm Research (Shanghai) Inc. Apparatus and method for uniform metallization on substrate
US9481942B2 (en) 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10214829B2 (en) 2015-03-20 2019-02-26 Lam Research Corporation Control of current density in an electroplating apparatus
US10923340B2 (en) 2015-05-14 2021-02-16 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US10053793B2 (en) 2015-07-09 2018-08-21 Lam Research Corporation Integrated elastomeric lipseal and cup bottom for reducing wafer sticking
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US11047059B2 (en) 2016-05-24 2021-06-29 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
IT201900007878A1 (it) * 2019-06-03 2020-12-03 C D T Centro Depurazione Toscano Srl Impianto galvanostatico per la gestione della distribuzione dello spessore di riporti galvanici ed il procedimento per ottenerli

Also Published As

Publication number Publication date
CN1290310A (zh) 2001-04-04
JP2002503766A (ja) 2002-02-05
KR20010040926A (ko) 2001-05-15
JP2004162166A (ja) 2004-06-10
TW591122B (en) 2004-06-11
AU2233399A (en) 1999-08-30
US20020008036A1 (en) 2002-01-24
TWI240019B (en) 2005-09-21
US6391166B1 (en) 2002-05-21
CN1222641C (zh) 2005-10-12
CA2320278A1 (en) 1999-08-19
EP1055020A2 (en) 2000-11-29
JP3523197B2 (ja) 2004-04-26
TW200416307A (en) 2004-09-01
CA2320278C (en) 2006-01-03
WO1999041434A3 (en) 1999-10-14
US20010040100A1 (en) 2001-11-15
KR100474746B1 (ko) 2005-03-08

Similar Documents

Publication Publication Date Title
US6391166B1 (en) Plating apparatus and method
US20220010446A1 (en) Electrodeposition of nanotwinned copper structures
US10689774B2 (en) Control of current density in an electroplating apparatus
US20060081478A1 (en) Plating apparatus and plating method
US20040118694A1 (en) Multi-chemistry electrochemical processing system
US20040262150A1 (en) Plating device
US20110073469A1 (en) Electrochemical deposition system
TW202038409A (zh) 低溫銅-銅直接接合
KR20200059309A (ko) 혼합된 피처 전기도금을 위한 대류 최적화
CN101369533B (zh) 镀覆装置
US20040118699A1 (en) Homogeneous copper-palladium alloy plating for enhancement of electro-migration resistance in interconnects
KR20230157852A (ko) 기판 상의 다이-레벨 패턴들에 대해 공간적으로 맞춤된 (tailor) 차폐부 (shield) 또는 이온 저항성 (resistive) 이온 투과성 (permeable) 엘리먼트를 사용한 금속들의 전착 (electrodeposition)
US11225727B2 (en) Control of current density in an electroplating apparatus
Ritzdorf et al. 16 Electrochemical deposition equipment
KR20230136017A (ko) 전기 도금 동안 테일러링된 유체 역학에 대한 공간적으로 그리고 치수적으로 불균일한 채널링된 플레이트
KR102653496B1 (ko) 전기도금 장치에서의 전류 밀도 제어
CN115803481A (zh) 用于管芯级电沉积厚度分布控制的微惰性阳极阵列
TW202244317A (zh) 用於形成半導體特徵部的電化學組件
KR20240046835A (ko) 전기도금 장치에서의 전류 밀도 제어

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 99802920.3

Country of ref document: CN

AK Designated states

Kind code of ref document: A2

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG UZ VN YU ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW SD SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

AK Designated states

Kind code of ref document: A3

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG UZ VN YU ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW SD SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref document number: 2320278

Country of ref document: CA

Ref document number: 2320278

Country of ref document: CA

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 1020007008837

Country of ref document: KR

ENP Entry into the national phase

Ref document number: 2000 531609

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 1999902321

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1999902321

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWP Wipo information: published in national office

Ref document number: 1020007008837

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1020007008837

Country of ref document: KR