TWI592385B - 針對先進元件之晶圓上粒子性能的化學相容性塗層材料 - Google Patents

針對先進元件之晶圓上粒子性能的化學相容性塗層材料 Download PDF

Info

Publication number
TWI592385B
TWI592385B TW102126490A TW102126490A TWI592385B TW I592385 B TWI592385 B TW I592385B TW 102126490 A TW102126490 A TW 102126490A TW 102126490 A TW102126490 A TW 102126490A TW I592385 B TWI592385 B TW I592385B
Authority
TW
Taiwan
Prior art keywords
coating
article
plasma
spray system
powder
Prior art date
Application number
TW102126490A
Other languages
English (en)
Other versions
TW201410639A (zh
Inventor
孫語南
卡農哥比拉賈普拉薩德
路布米斯基德米崔
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201410639A publication Critical patent/TW201410639A/zh
Application granted granted Critical
Publication of TWI592385B publication Critical patent/TWI592385B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/4807Ceramic parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B15/00Layered products comprising a layer of metal
    • B32B15/04Layered products comprising a layer of metal comprising metal as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B15/00Layered products comprising a layer of metal
    • B32B15/20Layered products comprising a layer of metal comprising aluminium or copper
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B18/00Layered products essentially comprising ceramics, e.g. refractory products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • C04B35/505Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds based on yttrium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5042Zirconium oxides or zirconates; Hafnium oxides or hafnates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5045Rare-earth oxides
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C26/00Coating not provided for in groups C23C2/00 - C23C24/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12583Component contains compound of adjacent metal
    • Y10T428/1259Oxide
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12597Noncrystalline silica or noncrystalline plural-oxide component [e.g., glass, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12597Noncrystalline silica or noncrystalline plural-oxide component [e.g., glass, etc.]
    • Y10T428/12604Film [e.g., glaze, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12611Oxide-containing component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12611Oxide-containing component
    • Y10T428/12618Plural oxides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12625Free carbon containing component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12993Surface feature [e.g., rough, mirror]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • Y10T428/24372Particulate matter
    • Y10T428/24413Metal or metal compound
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • Y10T428/24975No layer or component greater than 5 mils thick
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/25Web or sheet containing structurally defined element or component and including a second component containing structurally defined particles
    • Y10T428/252Glass or ceramic [i.e., fired or glazed clay, cement, etc.] [porcelain, quartz, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/25Web or sheet containing structurally defined element or component and including a second component containing structurally defined particles
    • Y10T428/256Heavy metal or aluminum or compound thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Structural Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Composite Materials (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Drying Of Semiconductors (AREA)

Description

針對先進元件之晶圓上粒子性能的化學相容性塗層材料
本揭示案之實施例大體而言係關於陶瓷塗佈製品及係關於一種用於向介電蝕刻處理組件塗覆陶瓷塗層之製程。
在半導體工業中,藉由眾多用於生產尺寸日益縮小之結構的製造製程來製造元件。一些製造製程(諸如電漿蝕刻及電漿清洗製程)將基板曝露於高速電漿流中,以蝕刻或清洗基板。電漿可為強腐蝕性,且可腐蝕處理腔室及其他曝露於電漿之表面。此腐蝕可產生經常污染正經處理之基板的粒子,從而導致元件缺陷。
隨著元件幾何形狀縮小,對缺陷的易感性增加且粒子污染物要求變得更加嚴格。因此,隨著元件幾何形狀縮小,可降低粒子污染之容許水準。為了最小化由電漿蝕刻製程及/或電漿清洗製程引入之粒子污染,已經開發出抗電漿之腔室材料。不同材料提供不同材料特性,諸如電漿抗性、剛性、撓曲強度、耐熱衝擊性等等。又,不同材料具有不同材料成 本。因此,一些材料具有優良的電漿抗性,其他材料具有較低成本,而還有其他材料具有優良的撓曲強度及/或耐熱衝擊性。
實施例提供一種用於半導體處理腔室之製品,該製品包括Al、Al2O3或SiC中之至少一者的主體及主體上之陶瓷塗層,該陶瓷塗層包括一化合物,該化合物包括自約50莫耳%至約75莫耳%之範圍內之Y2O3、自約10莫耳%至約30莫耳%之範圍內之ZrO2及自約10莫耳%至約30莫耳%之範圍內之Al2O3,其中每吋節結之數目處於自約30個節結至約45個節結之範圍內且孔隙率處於自約2.5%至約3.2%之範圍內。
粗糙度可自約220微吋至約250微吋。主體之前側及主體之後側上的陶瓷塗層可比主體之外徑上的陶瓷塗層更厚。陶瓷塗層可包括約62.93莫耳%之Y2O3、約23.13莫耳%之ZrO2及約13.94莫耳%之Al2O3
實施例提供一種用於半導體處理腔室之製品,該製品包括Al、Al2O3或SiC中之至少一者的主體及主體上之陶瓷塗層,該陶瓷塗層包括Y4Al2O9(YAM)之化合物及Y2-xZrxO3之固溶體。藉由一種方法將陶瓷塗層塗覆於主體上,該方法包括以下步驟:提供具有在約90 A至約150 A之範圍內之電漿電流的電漿噴塗系統;在距離主體約60 mm與約120 mm之間處安置電漿噴塗系統之噴燈支架;使得氣體以約80公升/分鐘與約130公升/分鐘之間的速率流過電漿噴塗系統;以及用陶瓷塗層電漿噴塗該製品。
電漿電流可在約110 A與約150 A之間的範圍內。可在距離主體約60 mm與約120 mm之間處安置電漿噴塗系統之噴燈支架。氣體可以90公升/分鐘與約130公升/分鐘之間的速率流過電漿噴塗系統。塗覆陶瓷塗層之方法可進一步包括將包括氧化釔的粉末饋送入電漿噴塗系統中,其中該粉末主要包括環形粒子,且其中約50%之粉末可具有小於約25微米之直徑。主體之前側及主體之後側上的塗層可比製品之外徑上的陶瓷塗層更厚。
塗覆陶瓷塗層之方法可進一步包括藉由以下步驟塗覆一或更多個塗層:塗佈主體之後側,其中隨著電漿噴塗系統垂直於主體之旋轉軸移動,以相對於旋轉主體大約45度角塗佈該塗層,且後側塗層具有約2密耳之厚度;塗佈主體之外徑,其中隨著電漿噴塗系統平行於主體之旋轉軸移動,相對於旋轉主體水平地塗覆該塗層,且外徑塗層具有約2密耳之厚度;以及塗佈主體之前側,其中隨著電漿噴塗系統垂直於主體之旋轉軸移動,翻轉該主體及以相對於旋轉主體大約45度角塗覆該塗層,且以約2密耳之厚度塗覆前側塗層。塗層之節結計數可為每吋約30個節結至約45個節結,塗層之粗糙度可為約220微吋至約250微吋,以及塗層之橫斷面孔隙率可為約2.5%至約3.2%。
實施例提供一種用於半導體處理腔室之方法,該方法包括以下步驟:提供具有在約90 A至約150 A範圍內之電漿電流的電漿噴塗系統;在距離主體約60 mm與約120 mm之間處安置電漿噴塗系統之噴燈支架;使得氣體以約80公升 /分鐘與約130公升/分鐘之間的速率流過電漿噴塗系統;以及用陶瓷塗層電漿噴塗主體,其中該陶瓷塗層包括Y4Al2O9(YAM)之化合物及Y2-xZrxO3之固溶體。
100‧‧‧襯墊套件
101‧‧‧上襯墊
103‧‧‧縫隙閥門
105‧‧‧電漿篩
107‧‧‧下襯墊
109‧‧‧陰極襯墊
111‧‧‧腔室主體
120‧‧‧前側
122‧‧‧後側
124‧‧‧外徑
130‧‧‧位置
200‧‧‧製造系統
201‧‧‧處理設備
202‧‧‧珠粒噴擊器
203‧‧‧濕式清洗器
204‧‧‧電漿噴槍系統
215‧‧‧設備自動化層
220‧‧‧計算元件
225‧‧‧陶瓷塗層配方
300‧‧‧電漿噴塗系統
302‧‧‧電弧
304‧‧‧陽極
306‧‧‧噴嘴
308‧‧‧粉末
310‧‧‧製品
312‧‧‧塗層
314‧‧‧粒子
316‧‧‧陰極
318‧‧‧氣體
400‧‧‧製程
402‧‧‧方塊
404‧‧‧方塊
406‧‧‧方塊
408‧‧‧方塊
801‧‧‧方塊
802‧‧‧方塊
803‧‧‧方塊
804‧‧‧方塊
805‧‧‧噴塗系統
806‧‧‧製品
807‧‧‧水準
808‧‧‧垂直
809‧‧‧多向
810‧‧‧單向
820‧‧‧前側
822‧‧‧外徑
824‧‧‧後側
900‧‧‧方法
902‧‧‧操作/方塊
904‧‧‧操作/方塊
906‧‧‧操作/方塊
908‧‧‧操作/方塊
909‧‧‧方塊
910‧‧‧操作
912‧‧‧操作
在隨附圖式之諸圖中以實例之方式(而非以限定之方式)圖示本發明,在該等圖式中,類似元件符號指示相似元件。應注意,對本揭示案中的「一」或「一個」實施例之不同引用不一定指示相同實施例,且此種引用意謂至少一者。
第1圖圖示襯墊套件之橫斷面視圖。
第2圖圖示製造系統之示例性架構。
第3圖圖示電漿噴塗系統之橫斷面視圖。
第4圖圖示根據一個實施例之向製品塗覆塗層之方法。
第5圖圖示用於塗層之粉末形狀。
第6A圖圖示用於塗層之粉末尺寸分佈。
第6B圖圖示根據一個實施例之用於塗層之粉末尺寸分佈。
第7A圖圖示根據一個實施例之塗層之節結計數。
第7B圖圖示根據一個實施例之塗層之表面粗糙度。
第7C圖圖示根據一個實施例之塗層之橫斷面孔隙率。
第8圖圖示塗層之塗覆。
第9圖圖示根據一個實施例之塗覆塗層之方法。
第10圖圖示塗層表面之掃描式電子顯微鏡 (scanning electron microscope;SEM)視圖。
第11圖圖示塗層之橫斷面之SEM視圖。
第12A圖圖示隨時間流逝之塗層之粒子性能。
第12B圖圖示根據一個實施例之塗層之粒子性能。
本發明之實施例係針對一種製品(例如,電漿篩、襯墊套件、淋噴頭、蓋、靜電卡盤或用於半導體處理腔室的曝露於還原性電漿化學品中的其他腔室組件,該製品包括Al或Al2O3或SiC基板)及製品上之陶瓷塗層。在一個實施例中,陶瓷塗層係複合陶瓷,包括Y4Al2O9(YAM)之化合物及Y2-xZrxO3之固溶體,其中該陶瓷塗層耐還原性化學品(H2、CO、COS、CH4等等)。
在製品上塗佈陶瓷塗層之方法包括以下步驟:提供具有在約90 A至約150 A範圍內之電漿電流的電漿噴塗系統;及在距離製品約60 mm與約120 mm之間處安置電漿噴塗系統之噴燈支架。該方法亦包括以下步驟:使得氣體以約80公升/分鐘與約130公升/分鐘之間的速率流過電漿噴塗系統;及用陶瓷塗層電漿噴塗製品。
導體蝕刻製程涉及藉由氣體混合物之導電基板(諸如Si晶圓)之電漿輔助蝕刻。在導體蝕刻中,晶圓上水準粒子性能主要與腔室關鍵組件相關聯,尤其是與具有前側120、後側122及外徑124的襯墊套件100相關聯,該襯墊套件100可包括腔室主體111、上襯墊101、縫隙閥門103、電漿篩105(亦即,圍繞晶圓的類格柵結構)、下襯墊107及陰極襯墊 109,如第1圖所示。上襯墊101、縫隙閥門103及下襯墊107較接近於腔室主體111,而電漿篩105圍繞晶圓(未圖示,但是在操作期間位於位置130處)且陰極襯墊109位於晶圓下方。
標準襯墊套件可由塗有8-12密耳之電漿噴塗Y2O3(氧化釔)或其他陶瓷且具有約100-270 μin之表面粗糙度的Al基板組成。對於大多數典型半導體塗覆,晶圓上粒子規格係在大於或等於90 nm粒子尺寸處約30個附加物(例如,位於晶圓上的雜散粒子)之最大值。標準Y2O3襯墊套件符合此晶圓上粒子規格。
針對28 nm元件節點處之特定先進塗覆,晶圓上粒子規格在大於或等於45 nm尺寸處小於或等於1.3個附加物時更加嚴格。此外,該等塗覆可使用經常增加晶圓上粒子污染之還原性化學品(H2、CH4、CO、COS等等)。在還原性化學品下使用習知Y2O3塗佈襯墊套件的腔室測試展示高晶圓上粒子(例如,在大於或等於45 nm粒子尺寸處約50至100個或更多個附加物),但是顯著的腔室乾燥(seasoning,例如,100至150射頻RF小時處理)可在大於或等於45 nm尺寸處將粒子缺陷水準降低至約0至10個附加物,以便在生產可繼續前滿足生產規格。然而,長時間腔室乾燥可降低生產力。在測試中,能量分散之X射線光譜學已證實習知基於Y2O3之晶圓上粒子可來源於襯墊套件。
進一步,Y2O3塗層在還原性化學品(例如,H2、CH4、CO、COS等等)下穩定性較低及形成明顯的Y-OH。Y-OH轉 換導致容積變化,從而導致流出可於晶圓上發現之粒子。然而,在無還原性化學品的情況下,Y2O3為穩定的且不會流出粒子。
本發明之實施例包括複合陶瓷塗層材料以增加與還原性化學品使用之相容性,以便改良半導體工業應用中針對腔室組件之晶圓上粒子性能。舉例而言,在襯墊套件塗覆中,可使用電漿噴塗技術將複合陶瓷塗層(例如,基於氧化釔的複合陶瓷塗層)塗覆於襯墊套件之面向電漿之側面。在其他實施例中,可經由氣溶膠沉積、漿料電漿或其他適宜技術(諸如其他熱噴塗技術)塗覆複合陶瓷塗層。在一個實例中,鋁襯墊套件上之塗層厚度可高達25密耳。在另一實例中,塗層之熱膨脹係數(coefficient of thermal expansion;CTE)更好地與基板之CTE相匹配的Al2O3或其他金屬氧化物基板可具有較厚塗層。
在一個實施例中,複合陶瓷塗層由化合物Y4Al2O9(YAM)及固溶體Y2-xZrxO3(Y2O3-ZrO2固溶體)組成。在另一實施例中,複合陶瓷塗層包括62.93莫耳%之Y2O3、23.23莫耳%之ZrO2及13.94莫耳%之Al2O3。在又一實施例中,複合陶瓷塗層可包括在50莫耳%-75莫耳%之範圍內之Y2O3、在10莫耳%-30莫耳%之範圍內之ZrO2及在10莫耳%-30莫耳%之範圍內之Al2O3。在其他實施例中,其他分配亦可用於複合陶瓷塗層。在一個實施例中,複合陶瓷係含有氧化釔之固溶體,該固溶體可與ZrO2、Al2O3、HfO2、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或前述物質之組合中之 一或更多者混合。
在各種塗層測試期間,在無CO及H2(非還原性化學品)情況下及有CO及H2(亦即,在還原性化學品下)情況下觀察晶圓上粒子水準。與所測試之其他塗層及塊體材料(例如,塊體Y2O3、電漿噴塗(PS)Y2O3、SiC、柱狀Si、單晶Si及SiO2)相比,複合陶瓷塗層呈現較好的抗腐蝕性,尤其是在與還原性化學品一起的情況下,此情況展示出與所測試之其他塗層相比複合陶瓷塗層之較低腐蝕速率(每RFhr之腐蝕深度)。舉例而言,第12A圖圖示隨RF小時數變化針對>45 nm粒子的Y2O3塗層之晶圓上粒子性能。在此處,塗層展示在初始階段(例如,小於20 RF小時)之高數目之YO粒子及需要80至100 RF小時達到穩定粒子數目。第12B圖圖示隨RF小時數變化針對45 nm粒子的複合陶瓷塗層之晶圓上粒子性能,在此處塗層並未展示在乾燥期間之高數目之YO粒子且YO粒子之數目在60 RF小時處一致較低(亦即,小於5個附加物)。
第2圖圖示製造系統200之示例性架構。製造系統200可為塗層製造系統(例如,用於對諸如襯墊套件之製品塗覆複合陶瓷塗層)。在一個實施例中,製造系統200包括連接至設備自動化層215之處理設備201。處理設備201可包括珠粒噴擊器202、一或更多個濕式清洗器203、電漿噴槍系統204及/或其他設備。製造系統200可進一步包括連接至設備自動化層215之一或更多個計算元件220。在替代實施例中,製造系統200可包括更多或更少組件。舉例而言,製造系統 200可包括人工作業(例如,線下)之處理設備201,而不包括設備自動化層215或計算元件220。
珠粒噴擊器202係經配置以粗化製品(例如,襯墊套件)之表面的機器。珠粒噴擊器202可為珠粒噴擊箱、手持型珠粒噴擊器或其他類型珠粒噴擊器。珠粒噴擊器202可藉由利用珠粒或粒子轟擊基板來粗化基板。在一個實施例中,珠粒噴擊器202在基板上發射陶瓷珠粒或粒子。由珠粒噴擊器202實現之粗糙度可基於發射珠粒所使用之力、珠粒材料、珠粒尺寸、珠粒噴擊器與基板之間的距離、處理持續時間等等。在一個實施例中,珠粒噴擊器使用一系列珠粒尺寸以粗化陶瓷製品。
在替代實施例中,可使用除珠粒噴擊器202以外的其他類型之表面粗化器。舉例而言,可使用機動研磨墊以粗化陶瓷基板之表面。砂磨器可在研磨墊壓抵製品之表面的同時旋轉或振動研磨墊。藉由研磨墊實現之粗糙度可取決於所施加之壓力、振動或旋轉速率及/或研磨墊之粗糙度。
濕式清洗器203係使用濕式清洗製程清洗製品(例如,襯墊套件)之清洗裝置。濕式清洗器203包括裝滿液體的濕式浸洗槽,將基板浸入該等槽中以清洗基板。濕式清洗器203可在清洗期間使用超音波攪動濕式浸洗槽以改良清洗功效。在本文中將此製程稱為超音波處理濕式浸洗槽。在其他實施例中,可使用諸如乾式清洗器之替代類型清洗器來清洗製品。乾式清洗器可藉由施加熱、氣體、電漿等等來清洗製品。
陶瓷塗佈機204係經配置以向基板之表面塗覆陶瓷塗層的機器。在一個實施例中,陶瓷塗佈機204為電漿噴塗器(或電漿噴塗系統),該電漿噴塗器對基板(例如,襯墊套件)上電漿噴塗塗層(例如,複合陶瓷塗層)。在替代實施例中,陶瓷塗佈機204可應用其他熱噴塗技術,諸如可使用爆震噴塗、線電弧噴塗、高速氧氣燃料(high velocity oxygen fuel;HVOF)噴塗、火焰噴塗、溫噴塗及冷噴塗。另外,陶瓷塗佈機204可執行其他塗佈製程,諸如可使用氣溶膠沉積、電鍍、物理氣相沉積(physical vapor deposition;PVD)及化學氣相沉積(chemical vapor deposition;CVD)以形成陶瓷塗層。
設備自動化層215可將一些或所有製造機器201與計算元件220、與其他製造機器、與計量工具及/或其他元件互連。設備自動化層215可包括網路(例如,區域網路(location area network;LAN))、路由器、閘道器、伺服器、資料儲存器等等。製造機器201可經由SEMI設備通訊標準/通用設備模型(SEMI Equipment Communications Standard/Generic Equipment Model;SECS/GEM)介面、經由乙太網路介面及/或經由其他介面連接至設備自動化層215。在一個實施例中,設備自動化層215使得製程資料(例如,由製造機器201在製程操作期間收集之資料)能夠儲存在資料儲存器(未圖示)中。在替代實施例中,計算元件220直接連接至製造機器201中的一或更多者。
在一個實施例中,一些或所有製造機器201包括可程式化控制器,該控制器可載入、儲存及執行製程配方。可 程式化控制器可控制製造機器201之溫度設定、氣體及/或真空設定、時間設定等等。可程式化控制器可包括主記憶體(例如,唯讀記憶體(read-only memory;ROM)、快閃記憶體、動態隨機存取記憶體(dynamic random access memory;DRAM)、靜態隨機存取記憶體(static random access memory;SRAM)等等)及/或二級記憶體(例如,諸如磁碟驅動器之資料儲存裝置)。主記憶體及/或二級記憶體可儲存用於執行本文所描述之熱處理製程之指令。
可程式化控制器亦可包括處理元件,該處理元件耦接至主記憶體及/或二級記憶體(例如,經由匯流排耦接)以執行指令。處理元件可為通用處理元件,諸如微處理器、中央處理單元或類似裝置。處理元件亦可為專用處理元件,諸如特殊應用積體電路(application specific integrated circuit;ASIC)、現場可程式化閘極陣列(field programmable gate array;FPGA)、數位訊號處理器(digital signal processor;DSP)、網路處理器或類似裝置。在一個實施例中,可程式化控制器為可程式化邏輯控制器(programmable logic controller;PLC)。
在一個實施例中,製造機器201經程式化以執行配方,該等配方將使得製造機器粗化基板、清洗基板及/或製品、塗佈製品及/或加工(例如,研磨或拋光)製品。在一個實施例中,製造機器201經程式化以執行配方,該等配方執行用於製造陶瓷塗佈製品之多操作製程之操作,如參考以下圖式所描述。計算元件220可儲存可下載至製造機器201的一或更多個陶瓷塗層配方225,以使得製造機器201製造根據本揭 示案之實施例之陶瓷塗佈製品。
第3圖圖示用於在介電蝕刻元件或腐蝕系統中所使用之其他製品(例如,襯墊套件)上電漿噴塗塗層的系統之橫斷面視圖。系統300係一種熱噴塗系統。在電漿噴塗系統300中,電弧302形成於陽極304與陰極316此兩個電極之間,氣體318從該等電極之間流過。在電漿噴塗系統300中適宜使用之氣體實例包括(但不限於)氬/氫、氬/氦或氬/氧。隨著電弧302加熱氣體,氣體膨脹及加速穿過成形噴嘴306,從而產生高速電漿流。
將粉末308注入電漿噴塗或噴燈中,在該裝置中極高的溫度熔化粉末及推動該材料成為奔向製品310之熔化粒子314流。在衝擊製品310之後,熔化粉末變平、迅速凝固、並形成塗層312,該塗層黏著於製品310。影響塗層312之厚度、密度及粗糙度的參數包括粉末類型、粉末尺寸分佈、粉末饋送速率、電漿氣體組成、氣體流動速率、能量輸入、噴燈偏移距離及基板冷卻。
第4圖係圖示根據一實施例用於製造塗佈製品之製程400的流程圖。可藉由各種製造機器執行製程400之操作。將參考如上文所描述之任何製品來描述製程400之操作,該等製程之操作可用於反應性離子蝕刻或電漿蝕刻系統中。
在方塊402處,最佳化用於電漿噴塗塗層之粉末。此舉可包括針對複合陶瓷塗層的粉末形狀及尺寸分佈之最佳化。在一個實施例中,最佳化塗層包括(但不限於)決定粉末類型(例如,化學成分)、平均粉末尺寸及粉末饋送速率。 可選擇粉末類型以產生如先前所描述之複合陶瓷塗層。選擇具有規定組合物、純度及尺寸之原料陶瓷粉末。陶瓷粉末可由Y2O3、Y4Al2O9、Y3Al5O12(YAG)或其他含有氧化釔的陶瓷形成。另外,陶瓷粉末可與ZrO2、Al2O3、HfO2、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物中之一或更多者結合。隨後混合原料陶瓷粉末。在一個實施例中,將Y2O3、Al2O3及ZrO2之原料陶瓷粉末混合在一起用於複合陶瓷塗層。該等原料陶瓷粉末可具有99.9%之純度或在一個實施例中純度更高。原料陶瓷粉末可使用(例如)球磨混合。在混合陶瓷粉末後,可在規定煆燒時間及溫度下煆燒該等陶瓷粉末。
第5圖圖示根據一個實施例用於塗層的經最佳化之粉末粒子形狀。在此處,一些粒子具有球形,在球體相對側上具有深凹痕。換言之,大部分粒子具有環形。對由具有環形的粒子之粉末形成的塗層之評估展示出與其他形狀之粉末粒子相比較具有改良後的形態及孔隙率。舉例而言,由於粉末之熔化改良、粗糙度減小及孔隙率降低,由具有環形的粒子形成之塗層傾向於具有較少節結及較多長條,所有該等因素導致晶圓上粒子性能改良。
第6A圖圖示針對粉末的粉末尺寸分佈長條圖,基於粉末塗佈成塗層時塗層表面形態及孔隙率評估該粉末。在第6A圖中,50%之粒子的尺寸(亦即,粒徑,D50)為約25微米或更小。第6B圖圖示根據一實施例針對粉末的經最佳化之粉末尺寸分佈長條圖,亦基於粉末塗佈成塗層時塗層表面形態及孔隙率評估該粉末。在第6B圖中,50%之粒子的尺寸 (D50)小於或等於約15微米。對由具有50%之粒子的尺寸為約25微米或更小之粉末形成的塗層之評估(如第6A圖所示)展示出與具有較大尺寸之粉末相比較具有改良後的形態及孔隙率,該改良形態及孔隙率兩者皆導致晶圓上粒子性能改良。
回到第4圖,在方塊404處,電漿噴塗參數經最佳化以最大化粉末之熔化,減少表面節結之數目,增加長條表面,減小粗糙度及降低孔隙率。在一個實施例中,最佳化電漿噴塗參數包括(但不限於)決定電漿噴槍功率及噴射載體氣體之組合物。最佳化電漿噴塗參數亦可包括最佳化噴塗塗層序列及最佳化用於在基板(例如,電漿篩)上方塗覆塗層(例如,複合陶瓷塗層)的製程條件。
舉例而言,表A展示塗佈製程最佳化(例如,正交陣列評估)以評定及識別修正塗層參數對塗層表面形態之影響(例如,節結對比長條)。
在此處,在第7A圖、第7B圖及第7C圖中圖示評估結果之實例。第7A圖圖示針對每一參數之每一水準的200x放大照片(例如,一吋樣本之200x掃描式電子顯微照相(SEM))上節結之數目。在一個實例中,水準1的主要氣體流 動速率(80公升/分鐘)造成的節結數目(約60個)比水準2的主要氣體流動速率(90公升/分鐘)造成的節結數目(約45個)更多。進一步,水準2的主要氣體流動速率造成的節結數目比水準3的主要氣體流動速率(130公升/分鐘)造成的節結數目(約43個)更多。
在另一實例中,水準1的噴燈支架距離(60 mm)造成的節結數目(約39個)比水準2的噴燈支架距離(80 mm)造成的節結數目(約58個)更少。進一步,水準2的噴燈支架距離造成的節結數目比水準3的噴燈支架距離(120 mm)造成的節結數目(約61個)更少。
第7B圖圖示針對每一參數之每一水準的複合陶瓷塗層之平均表面粗糙度(Ra)(以微吋表示)。在一個實例中,電漿電流水準1(90 A)造成的粗糙度(約260微吋)比電漿電流水準2造成的粗糙度(約255微吋)更大。進一步,電漿電流水準2(110 A)造成的粗糙度比電漿電流水準3(150 A)造成的粗糙度(約250微吋)更大。
第7C圖圖示針對每一參數之每一水準的複合陶瓷塗層之橫斷面孔隙率(以百分比表示)。在一個實例中,水準1的主要氣體流動速率(80公升/分鐘)造成的孔隙率(約4.2%)比水準2的主要氣體流動速率(90公升/分鐘)造成的孔隙率(約3.4%)更大。進一步,水準2的主要氣體流動速率造成的孔隙率比水準3的主要氣體流動速率(130公升/分鐘)造成的孔隙率(約2.6%)更大。
在一個實施例中,參數經最佳化以最大化熔化,減 少節結之數目(可指示粉末熔化中的增長),增加長條表面(可指示粉末熔化中的增長),減小表面粗糙度及降低塗層之孔隙率,該等結果將在還原性化學品下減少晶圓上粒子計數,因為粒子不太可能位移。表A之分析展示可最佳化塗層之參數水準係增加主要氣體流動速率(例如,約130公升/分鐘),增加電漿電流(例如,約150 A),減小噴燈支架距離(例如,約60 mm)及增加粉末之粒子直徑(例如,50%之粒子的粒徑約小於或等於25微米)。
舉例而言,經最佳化之電漿電流可在約90 A至約150 A範圍內。進一步經最佳化之電漿電流可在約110 A至約150 A之範圍內。在另一實例中,電漿噴塗系統之噴燈支架的經最佳化之定位可距離製品(例如,襯墊套件或電漿篩)約60 mm與約120 mm之間。噴燈支架的進一步經最佳化之定位可距離製品約60 mm與約90 mm之間。在又另一實例中,經最佳化之氣體可以約80公升/分鐘與約130公升/分鐘之間的速率流過電漿噴塗系統。進一步經最佳化之氣體可以約90公升/分鐘與約130公升/分鐘之間的速率流過電漿噴塗系統。
在上文實例中,根據進一步經最佳化之參數在製品上所塗佈之塗層可具有每吋約30個節結至約45個節結之節結計數、約220微吋至約250微吋之粗糙度及約2.5%至約3.2%之橫斷面孔隙率。
再回到第4圖,在方塊406處,根據所選參數塗佈製品。熱噴塗技術及電漿噴塗技術可熔化材料(例如,陶瓷粉末)及使用所選參數將熔化後的材料噴塗至製品上。熱噴 塗或電漿噴塗之陶瓷塗層可具有約5-40密耳(例如,在一個實施例中25密耳)之厚度。在一個實例中,根據複合陶瓷塗層之腐蝕速率選擇厚度以確保製品具有至少大約5000射頻小時(Radio Frequency Hours;RFHrs)之可用壽命。換言之,若複合陶瓷塗層之腐蝕速率為約0.005密耳/小時,則為獲得約5000 RF小時之可用壽命,可形成具有約25密耳之厚度的陶瓷塗層。
可多次噴塗執行電漿噴塗製程。對於每一次執行,可改變電漿噴塗噴嘴之角度以維持與正經噴塗之表面的相對角度。舉例而言,可旋轉電漿噴塗噴嘴以與正經噴塗之製品之表面維持大約45度至大約90度之角度。
在一個實施例中,可最佳化電漿噴塗序列以實現改良的塗層(例如,較少的孔隙率、減少的表面節結及減小的表面粗糙度)以及減少塗層表面上雜散粒子(主要來自製品之後側塗層)之再沉積。第8圖圖示用於諸如電漿篩之複雜部分的經最佳化之噴塗序列之一個實例。第一,如方塊801中所示,以45度角噴塗(或塗佈)製品806(例如,電漿篩,在第8圖中圖示該電漿篩之部分橫斷面視圖)之前側820,藉由在製品806旋轉的同時水平807移動噴塗系統805(例如,電漿噴塗系統)跨越製品806,以使得噴塗係多向809。在此處,製品806之前側820係當製品806安裝於用於半導體製造之腔室內時製品806上將面向電漿噴塗系統之側面。第二,如方塊802中所示,藉由以下步驟噴塗(或塗佈)製品806之外徑822:在製品806旋轉的同時於製品806旁垂直808 移動噴塗系統805,以使得噴塗係單向810。第三,如方塊803中所示,在翻轉製品806後,藉由以下步驟以45度角噴塗(或塗佈)製品806之後側824:在製品806旋轉的同時水平807移動噴塗系統805跨越製品806,以使得噴塗係多向809。第四,在方塊804處,藉由以下步驟噴塗(或塗佈)製品806之外徑822:在製品806旋轉的同時於製品806旁垂直808移動噴塗系統805,以使得噴塗係單向810。
在一實例中,塗層可高達約8密耳厚度。然而,因為在針對每一側面的單一塗層操作中較厚地塗覆塗層,未適當黏著的塗層可沿製品之邊緣積累,使得塗層粒子在製造期間可位移及降低晶圓上粒子性能。進一步,因為在來自後側塗層的前側(該側在蝕刻期間面向電漿)迴圈粒子可鬆散地黏著於製品之前側上的塗層之後塗佈後側,使得塗層粒子在製造期間可位移及亦降低晶圓上粒子性能。
第9圖圖示根據一個實施例噴塗製品(例如,電漿篩)之方法900。在根據一個實施例之噴塗序列中,例如,連續改良製程(continued improvement process;CIP)#1(在操作902中,如第8圖之方塊803中所示),藉由以下步驟以45度角噴塗(或塗佈)製品806之後側824:在製品806旋轉的同時垂直807於製品806之旋轉軸(例如,水平地)移動噴塗系統805(例如,電漿噴槍)跨越製品806,以使得噴塗係多向809。在一個實施例中,噴塗系統為固定的及製品為移動的。
在操作904中,如方塊802中所示,藉由以下步驟 噴塗(或塗佈)製品806之外徑822:在製品806旋轉的同時於製品806旁平行808於製品806之旋轉軸(例如,垂直地)移動噴槍805,以使得噴塗係單向810。在一個實施例中,噴塗系統為固定的及製品為移動的。
在操作906中,如方塊801中所示,翻轉製品806,且藉由以下步驟以45度角噴塗(或塗佈)製品806之前側820:在製品806旋轉的同時垂直807於製品806之旋轉軸(例如,水平地)移動噴塗系統805跨越製品806,以使得噴塗係多向809。在一個實施例中,噴塗系統為固定的及製品為移動的。
在操作908中,如方塊802中所示,藉由以下步驟再次噴塗(或塗佈)製品806之外徑:在製品806旋轉的同時於製品806旁平行808於製品之旋轉軸(例如,垂直地)移動噴塗系統805,以使得噴塗係單向810。
在方塊909處,決定是否重複方塊902-908之序列。在一個實施例中,重複該序列一次。若將重複該序列,製程返回至方塊902,且以翻轉製品、操作902、操作904、翻轉製品、操作906及操作908之序列繼續噴塗。若在方塊909處不將重複方塊902-908之操作,則以翻轉製品、在操作910處塗佈製品之後側、翻轉製品及在操作912處塗佈製品之前側的序列繼續噴塗。
由於外徑的噴塗次數比前側及後側的噴塗次數更少,前側及後側上的塗層比外徑上的塗層更厚,因此製品之邊緣處的塗層存在的積累較少。進一步,由於多層塗覆塗層, 製品之邊緣處的塗層亦不太可能存在積累。製品之邊緣處減少的積累改良了粒子性能,因為製品之邊緣處存在較少的不適宜黏著塗層,自該塗層粒子可位移。進一步,由於最後塗佈前側(該側在蝕刻期間面向電漿),塗層之表面不太可能具有來自其他表面之塗層的迴圈粒子不適宜地黏著,該等粒子可位移及降低粒子性能。
根據一個實施例之另一噴塗序列(例如,CIP #2)包括操作902、操作904、操作906、翻轉製品806及操作908。在此處,並未重複操作902、操作904、操作906及操作908。確切而言,可以翻轉製品806、操作902、操作904、翻轉製品806及操作906之序列繼續噴塗。接下來,可以翻轉製品806、操作902、翻轉製品806及操作906之序列繼續噴塗。CIP #2不同於CIP #1,因為在CIP #2中塗佈製品之外徑的次數比在CIP #1中的次數更少。
由於外徑的噴塗次數比前側及後側的噴塗次數更少,在一個實施例中,前側及後側上的塗層可比外徑上的塗層更厚,使得製品之邊緣處的塗層存在的積累較少。進一步,由於多層塗覆塗層,製品之邊緣處的塗層亦不太可能存在積累。製品之邊緣處減少的積累改良了粒子性能,因為製品之邊緣處存在較少的不適宜黏著塗層,自該塗層粒子可位移。進一步,由於最後塗佈前側(該側在蝕刻期間面向電漿),塗層之表面不太可能具有不適宜地黏著的來自其他表面之塗層的迴圈粒子,該等粒子可位移及降低晶圓上粒子性能。
再參看第4圖,在方塊408處,可執行電漿塗層特 性化。此舉可包括決定表面形態、粗糙度、孔隙率、識別表面節結等等。舉例而言,第10圖圖示三個放大倍數1000x、4000x及10000x的塗層之三個實例(第一原型、CIP #1及CIP #2)之SEM視圖。在此實例中,CIP #2顯示了具有較低粗糙度及較少表面節結的更佳表面形態。又,第11圖圖示塗層實例之橫截面之SEM視圖,在該圖中以2000x放大倍數計數沿一吋樣本的節結數目。在此實例中,CIP #2顯示較少表面節結。
前文之描述闡明了諸如特定系統、組件、方法等實例之眾多特定細節,以便提供對本揭示案之多個實施例之良好理解。然而,對熟習此項技術者應將顯而易見的是,可在無該等特定細節的情況下實施本揭示案之至少一些實施例。在其他實例中,並未詳細描述熟知組件或方法或僅以簡單方塊圖形式呈現該等組件或方法,以免不必要地模糊本揭示案。因此,本文所闡明之特定細節僅為示例性。特定實施例可自該等示例性細節而變化,且仍應包含於本揭示案之範疇內。
貫穿本說明書中對「一個實施例」或「一實施例」之引用意謂結合實施例所描述之特定特徵、結構或特性包括在至少一個實施例中。因此,在本說明書中多處出現的片語「在一個實施例中」或「在一實施例中」不一定全部指示相同實施例。另外,術語「或」意欲表示包容性「或」,而非排他性「或」。
儘管以特定次序圖示及描述本文中方法之操作,但 可改變每一方法之操作次序,使得可以相反次序執行某些操作或可至少部分地與其他操作同時執行某些操作。在另一實施例中,可以間歇性及/或交替性方式執行不同操作之指令或子操作。
應瞭解,上文之描述意欲為說明之目的,而非限定。許多其他實施例對於閱讀及理解上文之描述後熟習此項技術者將顯而易見。因此,應參考隨附申請專利範圍決定本揭示案之範疇,以及該等申請專利範圍授權之等效物之完整範疇。
801‧‧‧方塊
802‧‧‧方塊
803‧‧‧方塊
804‧‧‧方塊
805‧‧‧噴塗系統
806‧‧‧製品
807‧‧‧水準
808‧‧‧垂直
809‧‧‧多向
810‧‧‧單向
820‧‧‧前側
822‧‧‧外徑
824‧‧‧後側

Claims (20)

  1. 一種用於一半導體處理腔室之製品,該製品包含:Al、Al2O3或SiC中之至少一者之一主體;以及該主體上之一陶瓷塗層,該陶瓷塗層包含一化合物,該化合物包含自約50莫耳%至約75莫耳%之一範圍內之Y2O3、自約10莫耳%至約30莫耳%之一範圍內之ZrO2及自約10莫耳%至約30莫耳%之一範圍內之Al2O3,其中每吋節結之數目在自約30個節結至約45個節結的一範圍內且孔隙率在自約2.5%至約3.2%的一範圍內。
  2. 如請求項1所述之製品,其中粗糙度自約220微吋至約250微吋。
  3. 如請求項1所述之製品,其中在該主體之一前側及該主體之一後側上的該陶瓷塗層比在該主體之一外徑上的該陶瓷塗層更厚。
  4. 如請求項1所述之製品,其中該陶瓷塗層包含約62.93莫耳%之Y2O3、約23.13莫耳%之ZrO2及約13.94莫耳%之Al2O3
  5. 一種用於一半導體處理腔室之製品,該製品包含:Al、Al2O3或SiC中之至少一者之一主體;以及 該主體上之一陶瓷塗層,該陶瓷塗層包含Y4Al2O9(YAM)之一化合物及Y2-xZrxO3之一固溶體,其中藉由一種方法將該陶瓷塗層塗覆於該主體上,該方法包含:提供具有在約90 A至約150 A的範圍內之一電漿電流的一電漿噴塗系統;在一距離該主體約60 mm與約120 mm之間處安置該電漿噴塗系統之一噴燈支架;使得氣體以約80公升/分鐘與約130公升/分鐘之間的一速率流過該電漿噴塗系統;以及用一陶瓷塗層電漿噴塗該製品。
  6. 如請求項5所述之製品,其中該電漿電流在約110 A與約150 A之間的一範圍內。
  7. 如請求項5所述之製品,其中安置該電漿噴塗系統之該噴燈支架與該主體的一距離處於約60 mm與約120 mm之間。
  8. 如請求項5所述之製品,其中該氣體以約90公升/分鐘與約130公升/分鐘之間的一速率流過該電漿噴塗系統。
  9. 如請求項5所述之製品,其中塗覆該陶瓷塗層之該方法進一步包含將包含氧化釔的粉末饋送入該電漿噴塗系統中,其中該粉末主要包含環形粒子,且其中約50%之該粉末具有小於約25微米之一直徑。
  10. 如請求項5所述之製品,其中在該主體之一前側及該主體之一後側上的該塗層比在該製品之一外徑上的該塗層更厚。
  11. 如請求項5所述之製品,其中塗覆該陶瓷塗層之該方法進一步包含藉由以下步驟塗覆一或更多個塗層:塗佈該主體之一後側,其中隨著該電漿噴塗系統垂直於該主體之一旋轉軸移動,以相對於該旋轉主體大約45度角塗覆該塗層,且該後側塗層具有約2密耳之一厚度;塗佈該主體之一外徑,其中隨著該電漿噴塗系統平行於該主體之該旋轉軸平行移動,相對於該旋轉主體水準塗覆該塗層,且該外徑塗層具有約2密耳之一厚度;以及塗佈該主體之一前側,其中隨著該電漿噴塗系統垂直於該主體之該旋轉軸移動,翻轉該主體並以相對於該旋轉主體大約45度角塗覆該塗層,且以約2密耳之厚度塗覆該前側塗層。
  12. 如請求項5所述之製品,其中該塗層之一節結計數為每吋約30個節結至約45個節結,該塗層之一粗糙度為約220微吋至約250微吋,以及該塗層之一橫斷面孔隙率為約2.5%至約3.2%。
  13. 一種方法,該方法包含以下步驟: 提供具有在約90 A至約150 A的範圍內之一電漿電流的一電漿噴塗系統;在一距離一主體約60 mm與約120 mm之間處安置該電漿噴塗系統之一噴燈支架;使得氣體以約80公升/分鐘與約130公升/分鐘之間的一速率流過該電漿噴塗系統;以及用一陶瓷塗層電漿噴塗該主體,其中該陶瓷塗層包含Y4Al2O9(YAM)之一化合物及Y2-xZrxO3之一固溶體。
  14. 如請求項13所述之方法,其中該電漿電流在約110 A與約150 A之間的一範圍內。
  15. 如請求項13所述之方法,其中安置該電漿噴塗系統之該噴燈支架與該主體的一距離處於約60 mm與約120 mm之間。
  16. 如請求項13所述之方法,其中該氣體以約90公升/分鐘與約130公升/分鐘之間的一速率流過該電漿噴塗系統。
  17. 如請求項13所述之方法,該方法進一步包含以下步驟:將包含氧化釔的粉末饋送入該電漿噴塗系統中,其中該粉末主要包含環形粒子。
  18. 如請求項13所述之方法,該方法進一步包含以下步驟: 將包含氧化釔的粉末饋送入該電漿噴塗系統中,其中約50%之該粉末具有小於約15微米之一直徑。
  19. 如請求項13所述之方法,其中該電漿噴塗之步驟包含藉由以下步驟塗覆一或更多個塗層:塗佈該主體之一後側,其中隨著該電漿噴塗系統垂直於該主體之一旋轉軸移動,以相對於該旋轉主體大約45度角塗覆該塗層,且該後側塗層具有約2密耳之一厚度;塗佈該主體之一外徑,其中隨著該電漿噴塗系統平行於該主體之該旋轉軸移動,相對於該旋轉主體水準塗覆該塗層,且以約2密耳之厚度塗覆該外徑塗層;以及塗佈該主體之一前側,其中隨著該電漿噴塗系統垂直於該主體之一旋轉軸移動,翻轉該主體及以相對於該旋轉主體大約45度角塗覆該塗層,且以約2密耳之厚度塗覆該前側塗層。
  20. 如請求項13所述之方法,其中該主體包含用於一半導體處理腔室且與還原性化學品一起使用的一電漿篩,其中該電漿篩包含Al、Al2O3或SiC中之至少一者。
TW102126490A 2012-07-27 2013-07-24 針對先進元件之晶圓上粒子性能的化學相容性塗層材料 TWI592385B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261676818P 2012-07-27 2012-07-27
US13/830,608 US9343289B2 (en) 2012-07-27 2013-03-14 Chemistry compatible coating material for advanced device on-wafer particle performance

Publications (2)

Publication Number Publication Date
TW201410639A TW201410639A (zh) 2014-03-16
TWI592385B true TWI592385B (zh) 2017-07-21

Family

ID=49995164

Family Applications (3)

Application Number Title Priority Date Filing Date
TW106119552A TWI624868B (zh) 2012-07-27 2013-07-24 針對先進元件之晶圓上粒子性能的化學相容性塗層材料
TW107112487A TWI661480B (zh) 2012-07-27 2013-07-24 針對先進元件之晶圓上粒子性能的化學相容性塗層材料
TW102126490A TWI592385B (zh) 2012-07-27 2013-07-24 針對先進元件之晶圓上粒子性能的化學相容性塗層材料

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW106119552A TWI624868B (zh) 2012-07-27 2013-07-24 針對先進元件之晶圓上粒子性能的化學相容性塗層材料
TW107112487A TWI661480B (zh) 2012-07-27 2013-07-24 針對先進元件之晶圓上粒子性能的化學相容性塗層材料

Country Status (6)

Country Link
US (3) US9343289B2 (zh)
JP (3) JP6275713B2 (zh)
KR (4) KR102140194B1 (zh)
CN (2) CN107516645B (zh)
TW (3) TWI624868B (zh)
WO (1) WO2014018830A1 (zh)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
TWI722986B (zh) * 2014-05-16 2021-04-01 美商應用材料股份有限公司 使用相和應力控制的電漿噴灑塗佈設計
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
JP2016065302A (ja) * 2014-09-17 2016-04-28 東京エレクトロン株式会社 プラズマ処理装置用の部品、及び部品の製造方法
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
US9209016B1 (en) * 2014-10-14 2015-12-08 Macronix International Co., Ltd. Coating method and coating system
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160254125A1 (en) * 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
EP3418428B1 (en) * 2016-02-19 2023-05-17 Nippon Steel Corporation Ceramic laminate, ceramic insulating substrate, and method for manufacturing ceramic laminate
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
KR20180071695A (ko) * 2016-12-20 2018-06-28 주식회사 티씨케이 층간 경계를 덮는 증착층을 포함하는 반도체 제조용 부품 및 그 제조방법
JP6723659B2 (ja) * 2017-01-12 2020-07-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
WO2019051364A1 (en) * 2017-09-11 2019-03-14 Applied Materials, Inc. IN SITU SELECTIVE CLEANING OF HIGH K FILMS FROM A PROCESSING CHAMBER USING A REACTIVE GAS PRECURSOR
US11279656B2 (en) * 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11114330B2 (en) 2018-08-24 2021-09-07 Axcelis Technologies, Inc. Substrate support having customizable and replaceable features for enhanced backside contamination performance
CN208835019U (zh) * 2018-11-12 2019-05-07 江苏鲁汶仪器有限公司 一种反应腔内衬
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
CN109825827A (zh) * 2019-02-22 2019-05-31 沈阳富创精密设备有限公司 一种ic装备等离子体刻蚀腔防护涂层的制备方法
EP3933065A4 (en) * 2019-03-01 2022-08-17 NHK Spring Co., Ltd. TRAY AND ITS MANUFACTURING PROCESS
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
CN111424273A (zh) * 2020-03-30 2020-07-17 沈阳富创精密设备有限公司 一种制备高洁净度涂层的方法
CN113185268B (zh) * 2021-04-29 2022-04-22 湖南大学 一种氧化铝陶瓷材料的制备方法及氧化铝陶瓷基片

Family Cites Families (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
JPS6038222B2 (ja) * 1979-11-30 1985-08-30 株式会社 サト−セン 鉄鋼用連続鋳造鋳型
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4642440A (en) 1984-11-13 1987-02-10 Schnackel Jay F Semi-transferred arc in a liquid stabilized plasma generator and method for utilizing the same
US4704299A (en) 1985-11-06 1987-11-03 Battelle Memorial Institute Process for low temperature curing of sol-gel thin films
KR910007382B1 (ko) * 1987-08-07 1991-09-25 가부시기가이샤 히다찌세이사꾸쇼 초전도 재료 및 초전도 박막의 제조방법
CN1036286A (zh) 1988-02-24 1989-10-11 珀金·埃莱姆公司 超导陶瓷的次大气压等离子体喷涂
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US5679167A (en) 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
WO1996011288A1 (en) 1994-10-05 1996-04-18 United Technologies Corporation Multiple nanolayer coating system
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5626923A (en) 1995-09-19 1997-05-06 Mcdonnell Douglas Corporation Method of applying ceramic coating compositions to ceramic or metallic substrate
US5766693A (en) * 1995-10-06 1998-06-16 Ford Global Technologies, Inc. Method of depositing composite metal coatings containing low friction oxides
US6245202B1 (en) 1996-04-12 2001-06-12 Hitachi, Ltd. Plasma treatment device
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
JP2000057981A (ja) * 1998-06-02 2000-02-25 Toshiba Corp 熱輻射部材およびこれを用いた回転陽極型x線管、並びにそれらの製造方法
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
KR100722057B1 (ko) 1999-09-29 2007-05-25 동경 엘렉트론 주식회사 멀티존 저항가열기
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
US6891263B2 (en) 2000-02-07 2005-05-10 Ibiden Co., Ltd. Ceramic substrate for a semiconductor production/inspection device
JP2001284328A (ja) * 2000-03-31 2001-10-12 Taiheiyo Cement Corp セラミック部品
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
CA2306941A1 (en) 2000-04-27 2001-10-27 Standard Aero Ltd. Multilayer thermal barrier coatings
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
IL152516A0 (en) 2000-05-02 2003-05-29 Univ Johns Hopkins Freestanding reactive multilayer foils
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
JP3672833B2 (ja) 2000-06-29 2005-07-20 信越化学工業株式会社 溶射粉及び溶射被膜
EP1167565B1 (en) 2000-06-29 2007-03-07 Shin-Etsu Chemical Co., Ltd. Method for thermal spray coating and rare earth oxide powder used therefor
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP4688307B2 (ja) 2000-07-11 2011-05-25 コバレントマテリアル株式会社 半導体製造装置用耐プラズマ性部材
JP4644343B2 (ja) * 2000-09-29 2011-03-02 株式会社アルバック 真空処理室用表面構造
JP2002106360A (ja) 2000-09-29 2002-04-10 Toshiba Corp ガスタービン用部品および当該部品を備えたガスタービン
US6479108B2 (en) 2000-11-15 2002-11-12 G.T. Equipment Technologies, Inc. Protective layer for quartz crucibles used for silicon crystallization
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
US6915964B2 (en) 2001-04-24 2005-07-12 Innovative Technology, Inc. System and process for solid-state deposition and consolidation of high velocity powder particles using thermal plastic deformation
TWI246873B (en) 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
CN1608036B (zh) 2001-08-02 2010-09-22 3M创新有限公司 Al2O3-Y2O3-ZrO2/HfO2材料及其制备和使用方法
JP4903322B2 (ja) * 2001-08-20 2012-03-28 株式会社日本セラテック 酸化イットリウム質部材
JP5132859B2 (ja) 2001-08-24 2013-01-30 ステラケミファ株式会社 多成分を有するガラス基板用の微細加工表面処理液
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6592948B1 (en) 2002-01-11 2003-07-15 General Electric Company Method for masking selected regions of a substrate
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
JP4153708B2 (ja) 2002-03-12 2008-09-24 東京エレクトロン株式会社 エッチング方法
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
US20030232139A1 (en) 2002-06-13 2003-12-18 Detura Frank Anthony Shield and method for spraying coating on a surface
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
TW200420431A (en) 2002-11-20 2004-10-16 Shinetsu Chemical Co Heat resistant coated member, making method, and treatment using the same
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
US6753269B1 (en) 2003-05-08 2004-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for low k dielectric deposition
US7510641B2 (en) 2003-07-21 2009-03-31 Los Alamos National Security, Llc High current density electropolishing in the preparation of highly smooth substrate tapes for coated conductors
US7658816B2 (en) 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
EP1589567B1 (en) 2003-09-16 2007-04-04 Shin-Etsu Quartz Products Co., Ltd. Member for plasma etching device and method for manufacture thereof
US20050056056A1 (en) 2003-09-16 2005-03-17 Wong Marvin Glenn Healing micro cracks in a substrate
KR101084553B1 (ko) * 2003-10-17 2011-11-17 토소가부시키가이샤 진공장치용 부품과 그 제조방법 및 그것을 이용한 장치
JP4604640B2 (ja) * 2003-10-17 2011-01-05 東ソー株式会社 真空装置用部品及びその製造方法並びにそれを用いた装置
US20070212567A1 (en) 2003-10-31 2007-09-13 Tatsuo Esaki Aluminum Nitride Junction Body And Method Of Producing The Same
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4443976B2 (ja) 2004-03-30 2010-03-31 忠弘 大見 セラミックスの洗浄方法および高清浄性セラミックス
CN1938452A (zh) * 2004-03-31 2007-03-28 东陶机器株式会社 使用气溶胶制造被膜的方法、用于该方法的微粒、以及被膜和复合材料
US7618769B2 (en) * 2004-06-07 2009-11-17 Applied Materials, Inc. Textured chamber surface
JP2006027012A (ja) 2004-07-14 2006-02-02 Pioneer Electronic Corp 脱泡方法及びこれを用いた脱泡装置
US20060068189A1 (en) 2004-09-27 2006-03-30 Derek Raybould Method of forming stabilized plasma-sprayed thermal barrier coatings
AU2005333196B2 (en) 2004-10-01 2009-10-01 American Superconductor Corp. Thick superconductor films with improved performance
US8058186B2 (en) 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
JP2006213936A (ja) * 2005-02-01 2006-08-17 Osaka Prefecture 金属基材用保護皮膜、その形成方法及び保護皮膜付き金属基材
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
EP1890983B1 (en) 2005-05-31 2012-12-12 Corning Incorporated Aluminum titanate ceramic forming batch mixtures and green bodies including pore former combinations and methods of manufacturing and firing same
JP4813115B2 (ja) 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
JP2007126712A (ja) * 2005-11-02 2007-05-24 Fujimi Inc 溶射用粉末及び溶射皮膜の形成方法
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7622195B2 (en) 2006-01-10 2009-11-24 United Technologies Corporation Thermal barrier coating compositions, processes for applying same and articles coated with same
US7648782B2 (en) 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
ATE518016T1 (de) 2006-05-12 2011-08-15 Fundacion Inasmet Verfahren zum erhalt von keramikbeschichtungen und erhaltene keramikbeschichtungen
KR100939256B1 (ko) * 2006-06-21 2010-01-29 한국과학기술연구원 반도체 제조 장비용 열용사 코팅물질의 제조방법
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US20080090034A1 (en) 2006-09-18 2008-04-17 Harrison Daniel J Colored glass frit
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US7919722B2 (en) 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
RU2421498C2 (ru) 2007-03-12 2011-06-20 Сэнт-Гобэн Керамикс Энд Пластикс, Инк. Высокопрочные керамические элементы и способы их изготовления и применения
TWI654159B (zh) * 2007-04-27 2019-03-21 美商應用材料股份有限公司 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP2009068067A (ja) * 2007-09-13 2009-04-02 Covalent Materials Corp 耐プラズマ性セラミックス溶射膜
JP5047741B2 (ja) * 2007-09-13 2012-10-10 コバレントマテリアル株式会社 耐プラズマ性セラミックス溶射膜
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
CN101239771A (zh) * 2008-03-14 2008-08-13 清华大学 一种水源热泵与污泥厌氧消化集成方法及系统
CA2658210A1 (en) 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
US8546284B2 (en) * 2008-05-07 2013-10-01 Council Of Scientific & Industrial Research Process for the production of plasma sprayable yttria stabilized zirconia (YSZ) and plasma sprayable YSZ powder produced thereby
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20090297718A1 (en) 2008-05-29 2009-12-03 General Electric Company Methods of fabricating environmental barrier coatings for silicon based substrates
JP5537001B2 (ja) 2008-08-20 2014-07-02 株式会社アルバック 表面処理セラミックス部材、その製造方法および真空処理装置
US7929269B2 (en) 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
JP5545792B2 (ja) 2008-10-31 2014-07-09 株式会社日本セラテック 耐食性部材
US20100272982A1 (en) 2008-11-04 2010-10-28 Graeme Dickinson Thermal spray coatings for semiconductor applications
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
CN102388680B (zh) * 2009-02-05 2015-07-08 苏舍美特科公司 等离子体涂覆设备和基材表面的涂覆或处理方法
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US8444737B2 (en) 2009-02-27 2013-05-21 Corning Incorporated Ceramic structures and methods of making ceramic structures
JP5001323B2 (ja) 2009-03-27 2012-08-15 トーカロ株式会社 白色酸化イットリウム溶射皮膜表面の改質方法および酸化イットリウム溶射皮膜被覆部材
EP2428592B1 (en) 2009-05-08 2019-12-11 Fuchita Nanotechnology Ltd. Method for forming zirconia film
KR101123719B1 (ko) 2009-06-05 2012-03-15 한국세라믹기술원 내플라즈마성 전자빔증착 세라믹 피막 부재
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5527884B2 (ja) * 2009-12-28 2014-06-25 コバレントマテリアル株式会社 溶射用粉末
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
KR101221925B1 (ko) 2010-04-22 2013-01-14 한국세라믹기술원 플라즈마 저항성 세라믹 피막 및 그 제조 방법
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
CN102296263B (zh) 2010-06-25 2013-04-24 中国科学院微电子研究所 等离子体刻蚀工艺腔室内表面的改性处理方法
US20120040100A1 (en) 2010-06-29 2012-02-16 Los Alamos National Security, Llc Solution deposition planarization method
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US20120100299A1 (en) 2010-10-25 2012-04-26 United Technologies Corporation Thermal spray coating process for compressor shafts
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP2014522572A (ja) 2011-06-02 2014-09-04 アプライド マテリアルズ インコーポレイテッド 静電チャックの窒化アルミ誘電体の修復方法
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
JP5496992B2 (ja) 2011-12-13 2014-05-21 中国電力株式会社 プラズマ溶射装置及びその制御方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control

Also Published As

Publication number Publication date
KR20190114040A (ko) 2019-10-08
TW201410639A (zh) 2014-03-16
US9343289B2 (en) 2016-05-17
KR102140194B1 (ko) 2020-07-31
US10020170B2 (en) 2018-07-10
CN104704606B (zh) 2017-09-01
CN107516645B (zh) 2020-06-23
KR20170034938A (ko) 2017-03-29
KR101720094B1 (ko) 2017-03-27
TW201732917A (zh) 2017-09-16
TW201828353A (zh) 2018-08-01
JP2015530737A (ja) 2015-10-15
JP2018076600A (ja) 2018-05-17
US20140030486A1 (en) 2014-01-30
US20160211121A1 (en) 2016-07-21
TWI661480B (zh) 2019-06-01
CN104704606A (zh) 2015-06-10
WO2014018830A1 (en) 2014-01-30
KR20150046073A (ko) 2015-04-29
JP6640250B2 (ja) 2020-02-05
JP7035005B2 (ja) 2022-03-14
US20180269039A1 (en) 2018-09-20
TWI624868B (zh) 2018-05-21
KR20170104668A (ko) 2017-09-15
JP2020073725A (ja) 2020-05-14
KR102029885B1 (ko) 2019-10-08
US11587771B2 (en) 2023-02-21
CN107516645A (zh) 2017-12-26
KR101897594B1 (ko) 2018-09-12
JP6275713B2 (ja) 2018-02-07

Similar Documents

Publication Publication Date Title
TWI592385B (zh) 針對先進元件之晶圓上粒子性能的化學相容性塗層材料
US11578398B2 (en) Plasma spray coating design using phase and stress control
TWI601637B (zh) 半導體應用上之稀土氧化物系抗腐蝕塗層
TWI722986B (zh) 使用相和應力控制的電漿噴灑塗佈設計