KR20170034938A - 개선된 디바이스 온-웨이퍼 입자 성능을 위한 화학 상용성 코팅 물질 - Google Patents

개선된 디바이스 온-웨이퍼 입자 성능을 위한 화학 상용성 코팅 물질 Download PDF

Info

Publication number
KR20170034938A
KR20170034938A KR1020177007693A KR20177007693A KR20170034938A KR 20170034938 A KR20170034938 A KR 20170034938A KR 1020177007693 A KR1020177007693 A KR 1020177007693A KR 20177007693 A KR20177007693 A KR 20177007693A KR 20170034938 A KR20170034938 A KR 20170034938A
Authority
KR
South Korea
Prior art keywords
coating
plasma
article
mol
ceramic coating
Prior art date
Application number
KR1020177007693A
Other languages
English (en)
Other versions
KR101897594B1 (ko
Inventor
제니퍼 와이. 썬
비라자 프래새드 카눈고
드미트리 루보미르스키
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170034938A publication Critical patent/KR20170034938A/ko
Application granted granted Critical
Publication of KR101897594B1 publication Critical patent/KR101897594B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/4807Ceramic parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B15/00Layered products comprising a layer of metal
    • B32B15/04Layered products comprising a layer of metal comprising metal as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B15/00Layered products comprising a layer of metal
    • B32B15/20Layered products comprising a layer of metal comprising aluminium or copper
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B18/00Layered products essentially comprising ceramics, e.g. refractory products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • C04B35/505Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds based on yttrium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5042Zirconium oxides or zirconates; Hafnium oxides or hafnates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5045Rare-earth oxides
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C26/00Coating not provided for in groups C23C2/00 - C23C24/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12583Component contains compound of adjacent metal
    • Y10T428/1259Oxide
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12597Noncrystalline silica or noncrystalline plural-oxide component [e.g., glass, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12597Noncrystalline silica or noncrystalline plural-oxide component [e.g., glass, etc.]
    • Y10T428/12604Film [e.g., glaze, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12611Oxide-containing component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12611Oxide-containing component
    • Y10T428/12618Plural oxides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12625Free carbon containing component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12993Surface feature [e.g., rough, mirror]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • Y10T428/24372Particulate matter
    • Y10T428/24413Metal or metal compound
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • Y10T428/24975No layer or component greater than 5 mils thick
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/25Web or sheet containing structurally defined element or component and including a second component containing structurally defined particles
    • Y10T428/252Glass or ceramic [i.e., fired or glazed clay, cement, etc.] [porcelain, quartz, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/25Web or sheet containing structurally defined element or component and including a second component containing structurally defined particles
    • Y10T428/256Heavy metal or aluminum or compound thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Structural Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Composite Materials (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 처리 챔버를 위한 물품에 대한 코팅을 제작하기 위하여, 물품은 Al, Al2O3, 또는 SiC 중 적어도 하나의 바디, 및 바디 상의 세라믹 코팅을 포함한다. 세라믹 코팅은 약 50 mol% 내지 약 75 mol% 범위의 Y2O3, 약 10 mol% 내지 약 30 mol% 범위의 ZrO2, 및 약 10 mol% 내지 약 30 mol% 범위의 Al2O3을 포함하는 화합물을 포함하며, 여기서 1 인치 당 노듈의 수는 약 30개 노듈 내지 약 45개 노듈의 범위이며, 공극율은 약 2.5% 내지 약 3.2% 범위이다.

Description

개선된 디바이스 온-웨이퍼 입자 성능을 위한 화학 상용성 코팅 물질 {CHEMISTRY COMPATIBLE COATING MATERIAL FOR ADVANCED DEVICE ON-WAFER PARTICLE PERFORMANCE}
본 발명의 구체예들은 일반적으로 세라믹 코팅된 물품들, 및 유전체 에치 처리 부품(dielectric etch processing component)들에 세라믹 코팅을 적용하는 방법에 관한 것이다.
반도체 산업에서, 디바이스(device)들은 점점 감소되는 크기의 구조물을 생산하는 다수의 제작 공정들에 의해 제작된다. 일부 제작 공정들, 예를 들어 플라즈마 에치(plasma etch) 및 플라즈마 세정 공정(plasma clean process)들은 기판을 에칭시키거나 세정하기 위해 고속의 플라즈마 스트림에 기판을 노출시킨다. 플라즈마는 매우 침식성일 수 있고, 처리 챔버(processing chamber)들 및 플라즈마에 노출되는 다른 표면들을 침식시킬 수 있다. 이러한 침식은 입자들을 발생시킬 수 있는데, 이러한 것들은 종종 처리되고 있는 기판을 오염시켜서, 디바이스 결함(device defect)들의 원인이 된다.
디바이스 기하학적 구조(device geometry)들이 줄어듦에 따라, 결함들에 대한 민감성(susceptibility)은 증가하며, 입자 오염 요건들은 더욱 엄격하게 된다. 이에 따라, 디바이스 기하학적 구조들이 줄어듦에 따라, 입자 오염의 허용 가능한 수준들이 감소될 수 있다. 플라즈마 에치 및/또는 플라즈마 세정 공정들에 의해 도입되는 입자 오염을 최소화하기 위하여, 플라즈마들에 대해 내성인 챔버 물질들이 개발되었다. 상이한 물질들은 플라즈마 저항성, 강성, 굽힘 강도, 열 충격 저항성, 등과 같은 상이한 물질 성질들을 제공한다. 또한, 상이한 물질들은 상이한 물질 비용들을 갖는다. 이에 따라, 일부 물질들은 우수한 플라즈마 저항성을 가지며, 다른 물질들은 낮은 비용들을 가지며, 또 다른 물질들은 우수한 굽힘 강도 및/또는 열 충격 저항성을 갖는다.
본 발명의 구체예들은 Al 또는 Al2O3 또는 SiC 기판을 포함하는 물품, 예를 들어 플라즈마 스크린(plasma screen), 라이너 키트, 샤워 헤드(showerhead), 뚜껑(lid), 정전 척(electrostatic chuck), 또는 반도체 처리 챔버를 위한 환원 플라즈마 화학에 노출되는 다른 챔버 부품들, 및 물품 상의 세라믹 코팅(ceramic coating)에 관한 것이다. 일 구체예에서, 세라믹 코팅은 Y4Al2O9 (YAM)의 화합물 및 Y2- xZrxO3의 고용체를 포함하는 복합 세라믹으로서, 여기서 세라믹 코팅은 환원 화학 (H2, CO, COS, CH4 등)에 대해 저항성을 나타낸다.
물품 상에 세라믹 코팅을 코팅하는 방법은 약 90 A 내지 약 150 A 범위의 플라즈마 전류를 갖는 플라즈마 분사 시스템(plasma spraying system)을 제공하고, 물품으로부터 약 60 mm 내지 약 120 mm의 거리에 플라즈마 분사 시스템의 토치 스탠드오프(torch standoff)를 정위시키는 것을 포함한다. 이러한 방법은 또한, 플라즈마 분사 시스템을 통해 가스를 약 80 L/min 내지 약 130 L/min의 유량으로 흘려 보내고, 물품을 세라믹 코팅으로 플라즈마 분사 코팅하는 것을 포함한다.
본 발명은 유사한 참조 번호들이 유사한 구성요소들을 명시하는 첨부된 도면들의 도(figure)들에서 일 예로서 예시된 것으로서, 한정적인 것으로 예시되지 않는다. 본 명세서에서 하나의 구체예에 대한 상이한 언급들은 반드시 동일한 구체예는 아니며, 이러한 언급들은 적어도 하나를 의미한다는 것으로 주지될 것이다.
도 1은 라이너 키트(liner kit)의 단면도를 예시한 것이다.
도 2는 제작 시스템의 예시적 구조(architecture)를 예시한 것이다.
도 3은 플라즈마 분사 시스템(plasma spray system)의 단면도를 예시한 것이다.
도 4는 일 구체예에 따른 물품에 코팅을 적용하는 방법을 예시한 것이다.
도 5는 코팅을 위한 분말 형상들을 예시한 것이다.
도 6a는 코팅을 위한 분말 크기 분포를 예시한 것이다.
도 6b는 일 구체예에 따른 코팅을 위한 분말 크기 분포를 예시한 것이다.
도 7a는 일 구체예에 따른 코팅의 노듈 총수(nodule count)를 예시한 것이다.
도 7b는 일 구체예에 따른 코팅의 표면 거칠기를 예시한 것이다.
도 7c는 일 구체예에 따른 코팅의 단면 공극율을 예시한 것이다.
도 8은 코팅의 적용을 예시한 것이다.
도 9는 일 구체예에 따른 코팅을 적용하는 방법을 예시한 것이다.
도 10은 코팅 표면의 주사 전자 현미경 (SEM) 사진들을 예시한 것이다.
도 11은 코팅 단면의 SEM 사진들을 예시한 것이다.
도 12a는 시간에 따른 코팅의 입자 성능을 예시한 것이다.
도 12b는 일 구체예에 따른 코팅의 입자 성능을 예시한 것이다.
전도체 에치 공정(conductor etch process)은 Si 웨이퍼와 같은 전도성 기판을 가스 혼합물을 이용하여 플라즈마 보조 에칭(plasma assisted etching)시키는 것을 포함한다. 전도체 에치에서, 온-웨이퍼 수준 입자(on-wafer level particle) 성능은 주로 챔버 중요 부품들, 특히 도 1에 도시된 바와 같이, 챔버 바디(chamber body)(111)를 포함할 수 있는, 전면(120), 배면(122), 및 외경(124)을 갖는 라이너 키트(100), 상부 라이너(101), 슬릿 밸브 도어(slit valve door)(103), 플라즈마 스크린(105) (즉, 웨이퍼 둘레의 그릴(grill)-유사 구조), 하부 라이너(107) 및 캐소드 라이너(cathode liner)(109)와 관련이 있다. 상부 라이너(101), 슬릿 밸브 도어(103) 및 하부 라이너(107)는 챔버 바디(111)에 더욱 가까운 반면, 플라즈마 스크린(105)은 웨이퍼 둘레에 위치되며 (도시되어 있지 않지만, 작동하는 동안에 위치 (130)에 위치됨), 캐소드 라이너(109)는 웨이퍼 아래에 놓인다.
표준 라이너 키트(standard liner kit)는 약 100 내지 270 ㎛의 표면 거칠기를 갖는 8 내지 12 mil의 플라즈마 분사된 Y2O3 (이트리아) 또는 다른 세라믹으로 코팅된 Al 기판으로 구성될 수 있다. 가장 통상적인 반도체 적용들을 위하여, 온-웨이퍼 입자 사양(on-wafer particle specification)은 90 nm 이상의 입자 크기에서 최대 약 30 adder (예를 들어, 웨이퍼 상에 위치된 스트레이 입자(stray particle)들)이다. 표준 Y2O3 라이너 키트는 이러한 온-웨이퍼 입자 사양을 충족한다.
28 nm 디바이스 노드(device node)들에서 특정의 발전된 적용들을 위하여, 온-웨이퍼 입자 사양은 45 nm 이상의 크기에서 1.3 adder 이하로 훨씬 더욱 엄격하다. 또한, 이러한 적용들은 환원 화학 (H2, CH4, CO, COS, 등)을 사용할 수 있는데, 이는 종종 온-웨이퍼 입자 오염을 증가시킨다. 환원 화학 하에서 통상적인 Y2O3 코팅된 라이너 키트들을 사용한 챔버 시험들은 높은 온-웨이퍼 입자들 (예를 들어, 45 nm 이상의 입자 크기에서 약 50 내지 100 또는 그 초과의 adder)을 나타내지만, 유의미한 챔버 시이즈닝(chamber seasoning) (예를 들어, 100 내지 150 무선 주파수 RF 처리 시간)은 생산을 다시 시작할 수 있기 전에 생산 사양을 충족시키기 위해 45 nm 이상의 입자 크기에서 입자 결함 수준을 약 0 내지 10 adder 아래로 감소시킬 수 있다. 그러나, 긴 챔버 시이즈닝 시간은 생산성을 감소시킬 수 있다. 이러한 시험들에서, 에너지 분산형 X-선 분광법으로 통상적인 Y2O3-기반 온-웨이퍼 입자들이 라이너 키트에서 비롯될 수 있다는 것이 확인되었다.
또한, Y2O3 코팅들은 환원 화학 (예를 들어, H2, CH4, CO, COS, 등) 하에서 보다 덜 안정적이고, 의미가 있는 Y-OH를 형성시킨다. Y-OH 전환은 웨이퍼 상에서 발견될 수 있는 떨어진 입자(shed particle)들을 초래하는 부피 변화를 야기시킨다. 그러나, 환원 화학의 부재 하에, Y2O3은 안정적이고 입자들을 떨어뜨리지 않는다.
본 발명의 구체예들은 반도체 산업 적용들에서 챔버 부품들에 대한 온-웨이퍼 입자 성능을 개선시키기 위해, 환원 화학들의 사용과의 상용성(compatibility)을 증가시키기 위한 복합 세라믹 코팅 물질을 포함한다. 예를 들어, 라이너 키트 적용에서, 복합 세라믹 코팅 (예를 들어, 이트리아 기반 복합 세라믹 코팅)은 플라즈마 분사 기술을 이용하여 라이너 키트의 플라즈마 대형 측면(plasma facing side)에 적용될 수 있다. 다른 구체예들에서, 복합 세라믹 코팅은 에어로졸 증착, 슬러리 플라즈마, 또는 다른 열 분사(thermal spraying) 기술들과 같은 다른 적합한 기술들을 통해 적용될 수 있다. 일 예에서, 알루미늄 라이너 키트 상의 코팅 두께는 최대 25 mil일 수 있다. 다른 예에서, Al2O3 또는 다른 금속 옥사이드 기판들은, 코팅의 열 팽창 계수 (CTE)가 기판의 CTE와 더욱 잘 매칭되는 경우에, 보다 두꺼운 코팅을 가질 수 있다.
일 구체예에서, 복합 세라믹 코팅은 화합물 Y4Al2O9 (YAM) 및 고용체 Y2- xZrxO3 (Y2O3-ZrO2 고용체)로 이루어진다. 추가 구체예에서, 복합 세라믹 코팅은 62.93 mol% Y2O3, 23.23 mol% ZrO2 및 13.94 mol% Al2O3을 포함한다. 다른 구체예에서, 복합 세라믹 코팅은 50 내지 75 mol% 범위의 Y2O3, 10 내지 30 mol% 범위의 ZrO2, 및 10 내지 30 mol% 범위의 Al2O3을 포함할 수 있다. 다른 구체예들에서, 복합 세라믹 코팅을 위해 다른 분포(distribution)들이 또한 사용될 수 있다. 일 구체예에서, 복합 세라믹은 ZrO2, Al2O3, HfO2, , Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, 또는 이들의 조합 중 하나 이상과 혼합될 수 있는 이트륨 옥사이드 함유 고용체이다.
다양한 코팅들을 시험하는 동안에, 온-웨이퍼 입자 수준은 CO 및 H2 없이 (비-환원 화학), 그리고 CO 및 H2와 함께 (즉, 환원 화학 하에서) 관찰되었다. 복합 세라믹 코팅은 시험된 다른 코팅들 및 벌크 물질들 (예를 들어, 벌크 Y2O3, 플라즈마 분사 (PS) Y2O3, SiC, 원주형(columnar) Si, 단결정 Si, 및 SiO2) 보다, 특히 환원 화학과 함께, 더욱 양호한 내식성을 나타내었는데, 이는 시험된 다른 코팅들 보다 낮은 침식 속도 (RFhr 당 침식 깊이)를 나타낸다. 예를 들어, 도 12a는 RF 시간에 따른 ≥ 45 nm 입자들에 대한 Y2O3 코팅의 온-웨이퍼 입자 성능을 도시한 것이다. 여기에서, 코팅은 초기 단계 (예를 들어 20 RF 시간 미만)에 높은 수의 YO 입자들을 나타내었으며, 안정한 수의 입자들에 도달하기 위하여 80 내지 100 RF 시간이 요구되었다. 도 12b는 RF 시간에 따른 45 nm 입자에 대한 복합 세라믹 코팅의 온-웨이퍼 성능을 도시한 것인데, 여기서 코팅은 시이즈닝(seasoning) 동안에 높은 수의 YO 입자들을 나타내지 않았으며, YO 입자들의 수는 60 RF 시간에 일관되게 낮았다 (즉, 5 adder 미만).
도 2는 제작 시스템(200)의 예시적인 구조를 도시한 것이다. 제작 시스템(200)은 코팅 제작 시스템일 수 있다(예를 들어, 라이너 키트와 같은 물품에 복합 세라믹 코팅을 적용하기 위한 것임). 일 구체예에서, 제작 시스템(200)은 장치 자동화 층(equipment automation layer)(215)에 연결된 처리 장치(201)를 포함한다. 처리 장치(201)는 비드 블라스터(bead blaster)(202), 하나 이상의 습식 클리너(wet cleaner)(203)들, 플라즈마 분사 건 시스템(204) 및/또는 다른 장치를 포함할 수 있다. 제작 시스템(200)은 장치 자동화 층(215)에 연결된 하나 이상의 컴퓨팅 디바이스(computing device)(220)들을 추가로 포함할 수 있다. 대안적인 구체예들에서, 제작 시스템(200)은 보다 많거나 보다 적은 부품들을 포함할 수 있다. 예를 들어, 제작 시스템(200)은 장치 자동화 층(215) 또는 컴퓨팅 디바이스(220) 없이 수작업으로 작동되는 (예를 들어, 오프-라인(off-line)) 처리 장치(201)를 포함할 수 있다.
비드 블라스터(202)는 물품들 (예를 들어, 라이너 키트)의 표면을 거칠게 만들도록 구성된 기계이다. 비드 블라스터(202)는 비드 블라스팅 캐비넷(bead blasting cabinet), 휴대용 비드 블라스터, 또는 다른 타입의 비드 블라스터일 수 있다. 비드 블라스터(202)는 기판에 비드들 또는 입자들를 쏟아 부음으로써 기판을 거칠게 만들 수 있다. 일 구체예에서, 비드 블라스터(202)는 기판에 세라믹 비드들 또는 입자들을 발사한다. 비드 블라스터(202)에 의해 달성된 거칠기는 비드들을 발사하기 위해 사용되는 힘, 비드 물질들, 비드 크기들, 기판으로부터 비드 블라스터의 거리, 처리 시간, 등을 기반으로 할 수 있다. 일 구체예에서, 비드 블라스터는 세라믹 물품을 거칠게 하기 위해 소정 범위의 비드 크기들을 사용한다.
대안적인 구체예들에서, 비드 블라스터(202)와는 다른 타입의 표면 조면기(surface roughener)들이 사용될 수 있다. 예를 들어, 세라믹 기판들의 표면을 거칠게 만들기 위해 동력화된 마모 패드(motorized abrasive pad)가 사용될 수 있다. 마모 패드가 물품의 표면에 대해 가압되는 동안에 샌더(sander)는 마모 패드를 회전시키거나 진동시킬 수 있다. 마모 패드에 의해 달성된 거칠기는 인가된 압력, 진동 또는 회전 속도 및/또는 마모 패드의 거칠기에 의존적일 수 있다.
습식 클리너(203)들은 습식 세정 공정을 이용하여 물품들 (예를 들어, 라이너 키트)을 세정하는 세정 장치들이다. 습식 클리너(203)들은 액체들이 채워진 습윤 배스(wet bath)들을 포함하는데, 여기에 기판이 침지되어 기판을 세정한다. 습식 클리너(203)들은 세정 효율을 개선시키기 위해 세정하는 동안에 초음파들을 이용하여 습윤 배스들을 교반시킬 수 있다. 이는 본원에서 습윤 배스를 초음파처리하는 것으로 지칭된다. 다른 구체예들에서, 대안적인 타입들의 클리너들, 예를 들어 건식 클리너들이 물품들을 세정하기 위해 사용될 수 있다. 건식 클리너들은 열을 적용함으로써, 가스를 가함으로써, 플라즈마를 가함으로써, 등등에 의해 물품들을 세정할 수 있다.
세라믹 코팅기(ceramic coater)(204)는 기판의 표면에 세라믹 코팅을 적용하도록 구성된 기계이다. 일 구체예에서, 세라믹 코팅기(204)는 기판 (예를 들어, 라이너 키트) 상에 코팅 (예를 들어, 복합 세라믹 코팅)을 플라즈마 분사하는 플라즈마 분사기 (또는 플라즈마 분사 시스템)이다. 대안적인 구체예들에서, 세라믹 코팅기(204)는 다른 열 분사 기술(thermal spraying technique)들을 적용할 수 있으며, 예를 들어 폭발 분사(detonation spraying), 와이어 아크 분사(wire arc spraying), 고속 산소 연료 (HVOF) 분사, 화염 분사, 고온 분사(warm spraying) 및 저온 분사(cold spraying)가 사용될 수 있다. 추가적으로, 세라믹 코팅기(204)는 다른 코팅 공정들을 수행할 수 있으며, 예를 들어 에어로졸 증착, 전기도금, 물리적 증기 증착 (PVD) 및 화학적 증기 증착 (CVD)이 세라믹 코팅을 형성시키기 위해 사용될 수 있다.
장치 자동화 층(215)은 제작 기계(201)들 중 일부 또는 모두를, 컴퓨팅 디바이스(220)들, 다른 제작 기계들, 계측 툴(metrology tool)들 및/또는 다른 디바이스들과 서로 연결시킬 수 있다. 장치 자동화 층(215)은 네트워크(network) (예를 들어, 근거리 네트워크 (LAN)), 라우터(router)들, 게이트웨이(gateway)들, 서버(server)들), 데이타 저장장치들, 등을 포함할 수 있다. 제작 기계(201)들은 SEMI 장치 통신 표준/일반 장치 모델 (SECS/GEM) 인터페이스(interface)를 통해, 이더넷 인터페이스(Ethernet interface)를 통해, 및/또는 다른 인터페이스들을 통해 장치 자동화 층(215)에 연결할 수 있다. 일 구체예에서, 장치 자동화 층(215)은 공정 데이타(process data) (예를 들어, 공정 진행(process run) 동안에 제작 기계(201)들에 의해 수집된 데이타)를 데이타 저장 장치(미도시됨)에 저장하게 할 수 있다. 대안적인 구체예에서, 컴퓨팅 디바이스(220)는 제작 기계(201)들 중 하나 이상에 직접적으로 연결한다.
일 구체예에서, 일부 또는 모든 제작 기계(201)들은 공정 레시피(process recipe)들을 로딩하고, 저장하고, 실행시킬 수 있는 프로그래밍 가능한 제어기를 포함한다. 프로그래밍 가능한 제어기는 제작 기계(201)들의 온도 설정들, 가스 및/또는 진공 설정들, 시간 설정들, 등을 제어할 수 있다. 프로그래밍 가능한 제어기는 주 메모리 (예를 들어, 읽기 전용 메모리(read-only memory; ROM), 플래시 메모리(flash memory), 동적 랜덤 액세스 메모리(dynamic random access memory; DRAM), 정적 랜덤 액세스 메모리(static random access memory; SRAM), 등), 및/또는 2차 메모리 (예를 들어, 데이타 저장 디바이스, 예를 들어 디스크 드라이브)를 포함할 수 있다. 주 메모리 및/또는 2차 메모리는 본원에 기술된 열처리 공정들을 수행하기 위한 명령어(instruction)들을 저장할 수 있다.
프로그래밍 가능한 제어기는 또한 명령어들을 실행하기 위해 주 메모리 및/또는 2차 메모리에 (예를 들어, 버스(bus)를 통해) 연결된 처리 디바이스를 포함할 수 있다. 처리 디바이스는 다목적 처리 디바이스, 예를 들어 마이크로프로세서, 중앙처리장치, 등일 수 있다. 처리 디바이스는 또한 특수 목적 처리 디바이스, 예를 들어 특수 용도 집적 회로(application specific integrated circuit; ASIC), 필드 프로그래밍 가능한 게이트 어레이(field programmable gate array; FPGA), 디지털 신호 프로세서 (DSP), 네트워크 프로세서(network processor), 등일 수 있다. 일 구체예에서, 프로그래밍 가능한 제어기는 프로그래밍 가능한 논리 제어기(programmable logic controller; PLC)이다.
일 구체예에서, 제작 기계(201)들은 제작 기판들이 기판을 거칠게 만들고/거나 기판 및/또는 물품을 세정하고/거나, 물품을 코팅하고/거나 물품을 기계처리 (예를 들어, 그라인딩하거나 연마함)하게 하는 레시피들을 실행시키기 위해 프로그래밍될 수 있다. 일 구체예에서, 제작 기계(201)들은 하기 도면들을 참조로 하여 기술되는 바와 같이, 세라믹 코팅된 물품을 제작하기 위한 다중-작업 공정(multi-operation process)의 작업들을 수행하는 레시피들을 실행시키기 위해 프로그래밍된다. 컴퓨팅 디바이스(220)는 제작 기계(201)들이 본 발명의 구체예들에 따른 세라믹 코팅된 물품들을 제작하도록 제작 기계(201)들에 다운로딩될 수 있는 하나 이상의 세라믹 코팅 레시피(225)들을 저장할 수 있다.
도 3은 유전체 에치 부품, 또는 침식 시스템에서 사용되는 다른 물품 (예를 들어, 라이너 키트) 상에 코팅을 플라즈마 분사시키기 위한 시스템(300)의 단면도를 예시한 것이다. 시스템(300)은 한 타입의 열 분사 시스템이다. 플라즈마 분사 시스템(300)에서, 아크(302)는 두 개의 전극인 애노드(304)와 캐소드(316) 사이에 형성되며, 이를 관통하여 가스(318)가 흐른다. 플라즈마 분사 시스템(300)에서 사용하기에 적합한 가스의 예들은 아르곤/수소, 아르곤/헬륨, 또는 아르곤/산소를 포함하지만, 이로 제한되지 않는다. 가스가 아크(302)에 의해 가열됨에 따라, 가스는 팽창하고 형상화된 노즐(306)을 통해 가속화되어, 고속 플라즈마 스트림을 생성시킨다.
분말(308)은 플라즈마 스프레이(plasma spray) 또는 토치(torch)에 주입되며, 여기에서, 뜨거운 온도는 분말을 용융시키고 물질을 용융된 입자들(314)의 스트림으로서 물품(310) 쪽으로 나아가게 한다. 물품(310)에 충돌 시에, 용융된 분말은 평평해지고, 빠르게 고형화되고, 코팅(312)을 형성하는데, 이는 물품(310)에 접착한다. 코팅(312)의 두께, 밀도, 및 거칠기에 영향을 미치는 파라미터들은 분말의 타입, 분말 크기 분포, 분말 공급 속도, 플라즈마 가스 조성, 가스 유량, 에너지 유입, 토치 오프셋 거리, 및 기판 냉각을 포함한다.
도 4는 일 구체예에 따른, 코팅된 물품을 제작하는 공정(400)을 도시한 순서도이다. 공정(400)의 작업들은 다양한 제작 기계들에 의해 수행될 수 있다. 공정(400)의 작업들은 상술된 임의의 물품을 참조로 하여 기술될 것이며, 이는 반응성 이온 에치 또는 플라즈마 에치 시스템에서 사용될 수 있는 것이다.
블록 (402)에서, 코팅을 플라즈마 분사시키기 위한 분말이 최적화된다. 이는 복합 세라믹 코팅에 대한 분말 형상 및 크기 분포의 최적화를 포함할 수 있다. 일 구체예에서, 코팅을 최적화하는 것은, 분말 타입 (예를 들어, 화학적 조성), 평균 분말 크기 및 분말 공급 속도를 결정하는 것을 포함하지만, 이로 제한되지 않는다. 분말 타입은 전술된 바와 같은 복합 세라믹 코팅을 생산하기 위해 선택될 수 있다. 명시된 조성들, 순도 및 입자 크기들을 갖는 원료 세라믹 분말들이 선택된다. 세라믹 분말은 Y2O3, Y4Al2O9, Y3Al5O12 (YAG), 또는 다른 이트리아 함유 세라믹들로 형성될 수 있다. 추가적으로, 세라믹 분말은 ZrO2, Al2O3, , HfO2, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, 또는 다른 옥사이드들 중 하나 이상과 조합될 수 있다. 이후에, 원료 세라믹 분말들이 혼합된다. 일 구체예에서, Y2O3, Al2O3 및 ZrO2의 원료 세라믹 분말들은 복합 세라믹 코팅을 위해 함께 혼합된다. 이러한 원료 세라믹 분말들은 일 구체예에서 99.9% 이상의 순도를 가질 수 있다. 원료 세라믹 분말들은 예를 들어 볼 밀링(ball milling)을 이용하여 혼합될 수 있다. 세라믹 분말들을 혼합한 후에, 이러한 것은 명시된 하소 시간 및 온도에서 하소될 수 있다.
도 5는 일 구체예에 따른 코팅을 위한 최적화된 분말 입자 형상을 도시한 것이다. 여기에서, 입자들 중 일부는 구체의 마주하는 측면 상에 깊은 인덴션(indention)을 갖는 구체 형상을 갖는다. 다시 말해서, 대부분의 입자들은 도넛(donut) 형상을 갖는다. 도넛 형상을 갖는 입자들을 갖는 분말로부터 형성된 코팅들의 평가는 다른 형상들의 분말 입자들과 비교하여 개선된 모폴로지(morphology) 및 공극율을 나타내었다. 예를 들어, 도넛 형상을 갖는 입자들로 형성된 코팅들은 분말들의 개선된 용융, 감소된 거칠기, 및 감소된 공극율로 인해 보다 적은 노듈(nodule)들 및 보다 많은 스플랫(splat)을 갖는 경향이 있는데, 이들 모두는 개선된 온-웨이퍼 입자 성능에 기여한다.
도 6a는 분말이 코팅으로서 적용되었을 때에 코팅 표면 모폴로지 및 공극율을 기반으로 하여 평가된 분말에 대한 분말 입자 크기 분포 히스토그램(histogram)을 도시한 것이다. 도 6a에서, 입자들 중 50%에 대한 입자 크기 (즉, 입자 직경)(D50)는 약 25 마이크론 이하였다. 도 6b는 일 구체예에 따라, 또한 분말이 코팅으로서 적용되었을 때에 코팅 표면 모폴로지 및 공극율을 기반으로 하여 평가된 분말에 대한 최적화된 분말 입자 크기 분포 히스토그램을 도시한 것이다. 도 6b에서, 입자들 중 50%에 대한 입자 크기(D50)는 약 15 마이크론 이하였다. 도 6a에 도시된 바와 같이, 약 25 마이크론 이하인 입자들 중 50%에 대한 입자 크기를 갖는 분말로부터 형성된 코팅들의 평가는 보다 큰 입자 크기들을 갖는 분말들과 비교하여 개선된 모폴로지 및 공극율을 나타내었는데, 이러한 것들 모두는 개선된 온-웨이퍼 입자 성능을 야기시킨다.
도 4로 돌아가서, 블록 (404)에서, 분말들의 용융을 최대화시키고 표면 노듈들의 수를 감소시키고 스플랫 표면을 증가시키고 거칠기를 감소시키고 공극율을 감소시키기 위해 플라즈마 분사 파라미터들이 최적화된다. 일 구체예에서, 플라즈마 분사 파라미터들을 최적화하는 것은, 플라즈마 건 출력, 및 분사 캐리어 가스(spray carrier gas)의 조성을 결정하는 것을 포함하지만, 이로 제한되지 않는다. 플라즈마 분사 파라미터들을 최적화하는 것은 또한 기판 (예를 들어, 플라즈마 스크린) 위에 코팅 (예를 들어, 복합 세라믹 코팅)을 적용하기 위한 분사 코팅 시퀀스(spray coating sequence) 및 공정 조건들의 최적화를 포함할 수 있다.
예를 들어, 표 A는 코팅 표면 모폴로지 (예를 들어, 노듈들 대 스플랫들)에 대한 코팅 파라미터들을 변경시키는 효과를 평가하고 확인하기 위한 코팅 공정 최적화 (예를 들어, 직교 배열 평가)를 기술한 것이다.
Figure pat00001
여기에서, 평가 결과들의 예들은 도 7a, 7b 및 7c에 도시되어 있다. 도 7a는 각 파라미터들에 대한 각 수준들의 경우의 200배 줌 사진 (예를 들어, 1 인치 샘플의 200배 주사전자 현미경사진(SEM)) 상에서의 노듈들의 수를 도시한 것이다. 일 예에서, 수준 1에 대한 1차 가스 유량 (80 L/ml)은 수준 2에 대한 1차 가스 유량 (90 L/min)의 경우에 노듈들의 수 (약 45) 보다 더욱 큰 노듈들의 수 (약 60)를 야기시킨다. 또한, 수준 2에 대한 1차 가스 유량은 수준 3에 대한 1차 가스 유량 (130 L/min)의 경우에 노듈들의 수 (약 43) 보다 더욱 큰 노듈들의 수를 야기시킨다.
다른 예에서, 수준 1에 대한 토치 스탠드오프 거리 (60 mm)는 수준 2에 대한 토치 스탠드오프 거리 (80 mm)의 경우에 노듈들의 수 (약 58) 보다 더욱 큰 노듈들의 수 (약 39)를 야기시킨다. 또한, 수준 2에 대한 토치 스탠드오프 거리는 수준 3에 대한 토치 스탠드오프 거리 (120 mm)의 경우에 노듈들의 수 (약 61) 보다 더욱 큰 노듈들의 수를 야기시킨다.
도 7b는 각 파라미터들에 대한 각 수준들의 경우에 마이크로-인치의 복합 세라믹 코팅의 평균 표면 거칠기 (Ra)를 도시한 것이다. 일 예에서, 플라즈마 전류 수준 1 (90 A)은 플라즈마 전류 수준 2에 대한 거칠기 (약 255) 보다 더욱 큰 거칠기 (약 260)를 야기시킨다. 또한, 수준 2에 대한 플라즈마 전류 (110 A)는 플라즈마 전류 수준 3 (150 A)에 대한 거칠기 (약 250) 보다 더욱 큰 거칠기를 야기시킨다.
도 7c는 각 파라미터들에 대한 각 수준들의 경우에 백분율로서의 복합 세라믹 코팅의 단면 공극율을 도시한 것이다. 일 예에서, 수준 1에 대한 1차 가스 유량 (80 L/min)은 수준 2에 대한 1차 가스 유량 (90 L/min)의 경우에 공극율 (약 3.4) 보다 더욱 큰 공극율 (약 4.2)을 야기시킨다. 또한, 수준 2에 대한 1차 가스 유량은 수준 3에 대한 1차 가스 유량 (130 L/min)의 경우에 공극율 (약 2.6) 보다 더욱 큰 공극율을 야기시킨다.
일 구체예에서, 파라미터들은 용융을 최대화시키고 노듈들의 수를 감소시키고 (이는 분말의 용융 증가를 명시할 수 있음) 스플랫 표면을 증가시키고 (이는 분말의 용융 증가를 명시할 수 있음) 표면 거칠기를 감소시키고 코팅의 공극율을 감소시키도록 최적화되는데, 이는 입자들이 제거될 가능성이 더 낮기 때문에 환원 화학 하에서 온-웨이퍼 입자 총수를 감소시킬 것이다. 표 A의 분석은, 코팅을 최적화할 수 있는 파라미터 수준들이 1차 가스 유량 (예를 들어, 약 130 L/min)을 증가시키고, 플라즈마 전류 (예를 들어, 약 150 A)를 증가시키고, 토치 스탠드오프 거리 (예를 들어, 약 60 mm)를 감소시키고, 분말의 입자들의 직경 (예를 들어, 입자들 중 50%에 대한 약 25 마이크론 이하의 입자 직경)을 증가시킨다는 것을 나타낸다.
예를 들어, 최적화된 플라즈마 전류는 약 90 A 내지 약 150 A 범위일 수 있다. 다른 최적화된 플라즈마 전류는 약 110 A 내지 약 150 A 범위일 수 있다. 다른 예에서, 플라즈마 분사 시스템의 토치 스탠드오프의 최적화된 정위화(positioning)는 물품 (예를 들어, 라이너 키트 또는 플라즈마 스크린)으로부터 약 60 mm 내지 약 120 mm의 거리일 수 있다. 토치 스탠드오프의 다른 최적화된 정위화는 물품으로부터 약 60 mm 내지 약 90 mm의 거리일 수 있다. 또 다른 예에서, 플라즈마 분사 시스템을 통한 최적화된 유량은 약 80 L/min 내지 약 130 L/min의 유량일 수 있다. 플라즈마 분사 시스템을 통한 다른 최적화된 가스 유량은 약 90 L/min 내지 약 130 L/min의 유량일 수 있다.
상기 예에서, 다른 최적화된 파라미터에 따라 코팅된 물품 상의 코팅은 1 인치 당 약 30개 노듈 내지 약 45개 노듈의 노듈 총수(nodule count), 약 220 마이크로 인치 내지 약 250 마이크로 인치의 거칠기, 및 약 2.5% 내지 약 3.2%의 단면 공극율을 가질 수 있다.
다시 도 4로 돌아가서, 블록 (406)에서, 물품은 선택된 파라미터들에 따라 코팅된다. 열 분사 기술들 및 플라즈마 분사 기술들은 물질들 (예를 들어, 세라믹 분말들)을 용융시키고 선택된 파라미터들을 이용하여 물품 상에 용융된 물질들을 분사할 수 있다. 열적으로 분사되거나 플라즈마 분사된 세라믹 코팅은 약 5 내지 40 mil (예를 들어, 일 구체예에서 25 mil)의 두께를 가질 수 있다. 일 예에서, 두께는 물품이 적어도 대략 5000 무선 주파수 시간 (Radio Frequency Hour; RFHr)의 유효 수명을 갖는 것을 보장하기 위해 복합 세라믹 코팅의 침식 속도에 따라 선택된다. 다시 말해서, 복합 세라믹 코팅의 침식 속도가 약 0.005 mil/hr인 경우에, 약 5000 RF 시간의 유효 수명을 위하여 약 25 mil의 두께를 갖는 세라믹 코팅이 형성될 수 있다.
플라즈마 분사 공정은 다중 분사 과정들(multiple spray passes)로 수행될 수 있다. 각 과정(pass)에 대하여, 플라즈마 분사 노즐의 각도는 분사되는 표면에 대한 상대적 각도를 유지하도록 변경될 수 있다. 예를 들어, 플라즈마 분사 노즐은 분사될 물품의 표면에 대해 대략 45도 내지 대략 90도의 각도를 유지하도록 회전될 수 있다.
일 구체예에서, 플라즈마 분사 시퀀스는 개선된 코팅 (예를 들어, 낮은 공극율, 감소된 표면 노듈, 및 감소된 표면 거칠기)을 달성할 뿐만 아니라 코팅 표면 (거의 물품의 배면 코팅으로부터 비롯됨) 상에 스트레이 입자들의 재증착을 감소시키기 위해 최적화될 수 있다. 도 8은 플라즈마 스크린과 같은 복잡한 부분에 대한 최적화된 분사 시퀀스의 일 예를 예시한 것이다. 첫째로, 블록 (801)에 도시된 바와 같이, 물품 (806) (예를 들어, 플라즈마 스크린, 여기서 부분 단면도는 도 8에 도시됨)의 전면(820)은 물품(806)이 회전하는 동안에 물품(806)을 가로질러 수평으로(807) 분사 시스템(805) (예를 들어, 플라즈마 분사 시스템)을 이동시킴으로써 45도의 각도로 분사 (또는 코팅)되며, 이에 따라, 분사는 다중 방향성(809)이다. 여기서, 물품(806)의 전면(820)은 물품(806)이 반도체 제작을 위한 챔버에 설치될 때에 플라즈마 분사 시스템을 향하는 물품(806)의 측면이다. 둘째로, 블록 (802)에 도시된 바와 같이, 물품(806)의 외경(822)은 물품(805)이 회전하는 동안에 물품(806) 옆에 수직으로(808) 분사 시스템(805)을 이동시킴으로써 분사 (또는 코팅)되며, 이에 따라, 이러한 분사는 단방향성(810)이다. 세째로, 블록 (803)에 도시된 바와 같이, 물품(806)을 뒤집은 후에, 물품(806)의 배면(824)은, 물품(806)이 회전하는 동안에 물품(806)을 가로질러 수평으로(807) 분사 시스템(805)을 이동시킴으로써 45도 각도로 분사 (또는 코팅)되며, 이에 따라, 분사는 다중 방향성(809)이다. 넷째로, 블록 (804)에서, 물품(806)의 외경(822)은, 물품(806)이 회전하는 동안에 물품(806) 옆에 수직으로(808) 분사 시스템(805)을 이동시킴으로써 분사 (또는 코팅)되며, 이에 따라 분사화는 단방향성(810)이다.
일 예에서, 코팅은 최대 약 8 mil 두께일 수 있다. 그러나, 코팅이 각 측면에 대해 단일 코팅 작업으로 두껍게 적용되기 때문에, 적절하게 접착되지 않은 코팅은 물품의 모서리들을 따라 축적될 수 있으며, 이에 따라, 코팅 입자들은 제작 동안에 제거될 수 있고 온-웨이퍼 입자 성능을 떨어뜨릴 수 있다. 또한, (에치 동안에 플라즈마를 향하는) 전면 이후에 배면이 코팅되기 때문에, 배면의 코팅으로부터의 순환하는 미립자는 물품의 전면 상의 코팅에 느슨하게 접착할 수 있으며, 이에 따라 코팅 입자들은 제작 동안에 제거될 수 있고 또한 온-웨이퍼 입자 성능을 떨어뜨릴 수 있다.
도 9는 일 구체예에 따라 물품 (예를 들어, 플라즈마 스크린)을 분사하는 방법(900)을 도시한 것이다. 일 구체예에 따른 분사 시퀀스, 예를 들어 연속 개선 공정 (CIP) #1에서, 도 8의 블록 (803)에 도시된 바와 같이 작업 (902)에서, 물품(806)의 배면(824)은 물품(806)이 회전하는 동안에 물품(806)을 가로질러 물품(806)의 회전축에 대해 수직으로(807) (예를 들어, 물품을 가로질러 수평으로) 분사 시스템(805) (예를 들어, 플라즈마 분사 건)을 이동시킴으로써 45도 각도로 분사 (또는 코팅)되며, 이에 따라 분사는 다중 방향성(809)이다. 일 구체예에서, 분사 시스템은 정지되어 있으며, 물품은 이동한다.
블록 (802)에 도시된 바와 같이, 작업 (904)에서, 물품(806)의 외경(822)은 물품(806)이 회전하는 동안에 물품(806) 옆에 물품(806)의 회전축에 대해 평행한(808) (예를 들어, 물품에 대해 수직으로) 분사 건(805)을 이동시킴으로써 분사 (또는 코팅)되며, 이에 따라, 분사는 단방향성(810)이다. 일 구체예에서, 분사 시스템은 정지되어 있으며, 물품은 이동한다.
블록 (801)에 도시된 바와 같이, 작업 (906)에서, 물품(806)이 뒤집혀지며, 물품(806)의 전면(820)은 물품(806)이 회전하는 동안에 물품(806)을 가로질러 물품(806)의 회전축에 대해 수직으로(807) (예를 들어, 물품을 가로질러 수평으로) 분사 시스템(805)을 이동시킴으로써 45도 각도로 분사 (또는 코팅)되며, 이에 따라 분사는 다중 방향성(809)이다. 일 구체예에서, 분사 시스템은 정지되어 있으며, 물품은 이동한다.
블록 (802)에 도시된 바와 같이, 작업 (908)에서, 물품(806)의 외경은 물품(806)이 회전하는 동안에 물품(806) 옆에 물품의 회전축에 대해 평행하게(808) (예를 들어, 물품을 가로질러 수직으로) 분사 시스템(805)을 이동시킴으로써 다시 분사 (또는 코팅)되며, 이에 따라, 분사는 단방향성(810)이다.
블록 (909)에서, 블록 (902) 내지 (908)의 시퀀스를 반복하는지의 여부를 결정한다. 일 구체예에서, 이러한 시퀀스는 1회 반복된다. 이러한 시퀀스가 반복되는 경우에, 공정은 블록 (902)로 되돌아가며, 분사화는 물품을 뒤집음, 작업 (902), 작업 (904), 물품을 뒤집음, 작업 (906), 및 작업 (908)의 순서로 계속한다. 블록 (902) 내지 (908)의 작업들이 블록 (909)에서 반복되지 않는 경우에, 분사화는 물품을 뒤집음, 작업 (910)에서 물품 배면을 코팅, 물품을 뒤집음, 및 작업 (912)에서 물품 전면을 코팅의 순서로 계속한다.
외경이 전면 및 배면 보다 적은 횟수로 분사되게 때문에, 전면 및 배면 상의 코팅은 외경 상의 코팅 보다 더욱 두꺼우며, 이에 따라 물품의 모서리들에 코팅이 보다 적게 축적된다. 또한, 코팅이 다중 층들로 적용되기 때문에, 또한 물품의 모서리들에 코팅이 축적될 가능성이 적다. 물품의 모서리들에서의 감소된 축적은 입자들이 제거될 수 있는 물품의 모서리들에서 보다 적은 적절하지 않게 부착된 코팅이 존재하기 때문에 입자 성능을 개선시킨다. 또한, (에칭 동안 플라즈마를 향하는) 전면이 마지막에 코팅되기 때문에, 코팅의 표면은 제거되고 입자 성능을 떨어뜨릴 수 있는 적절하지 않게 부착된 다른 표면들의 코팅으로부터 순환하는 입자들을 가질 가능성이 적다.
일 구체예에 따르면, 다른 분사 시퀀스, 예를 들어 CIP #2는 작업 (902), 작업 (904), 작업 (906), 물품(806)을 뒤집음, 및 작업 (908)을 포함한다. 여기에서, 작업들 (902, 904, 906, 및 908)이 반복되지 않는다. 오히려, 분사화는 물품(806)을 뒤집음, 작업 (902), 작업 (904), 물품(806)을 뒤집음, 및 작업(906)의 시퀀스로 계속할 수 있다. 다음으로, 분사화는 물품(806)을 뒤집음, 작업 (902), 물품을 뒤집음(806), 및 작업 (906)의 시퀀스로 계속한다. CIP #2는, 물품의 외경이 CIP #1 보다 CIP #2에서 훨씬 적은 횟수로 코팅되기 때문에, CIP #1과 상이하다.
외경이 일 구체예에서 전면 및 배면 보다 적은 횟수로 분사되기 때문에, 전면 및 배면 상의 코팅은 외경 상의 코팅 보다 더욱 두꺼울 수 있으며, 이에 따라, 물품의 모서리들에 코팅이 보다 덜 축적된다. 또한, 코팅이 다중 층들로 적용되기 때문에, 물품의 모서리들에 코팅이 축적될 가능성이 또한 더 적다. 물품의 모서리들에서 감소된 축적은, 입자들이 제거될 수 있는 물품의 모서리들에서 보다 적은 적절하지 않게 접착된 코팅이 존재하기 때문에 입자 성능을 개선시킨다. 또한, (에칭 동안에 플라즈마를 향하는) 전면이 마지막에 코팅되기 때문에, 코팅의 표면은 제거하고 온-웨이퍼 입자 성능을 떨어뜨릴 수 있는 적절하지 않게 접착된 다른 표면들의 코팅으로부터 순환 입자들을 가질 가능성이 더욱 적다.
다시 도 4를 참조로 하여, 블록 (408)에서, 플라즈마 코팅 특징분석이 수행될 수 있다. 이는 표면 모폴로지, 거칠기, 공극율, 표면 노듈들의 확인, 등을 결정하는 것을 포함할 수 있다. 예를 들어, 도 10은 세 가지 배율, 즉 1000배, 4000배, 및 10000배에서 코팅의 세 가지 예, 즉 제1 프로토타입, CIP #1, 및 CIP #2의 SEM 사진을 도시한 것이다. 이러한 예에서, CIP #2는 보다 낮은 거칠기 및 보다 적은 표면 노듈들을 갖는 더욱 바람직한 표면 모폴로지를 나타낸다. 또한, 도 11은 코팅들의 예의 단면의 SEM 사진을 도시한 것이며, 여기서 1 인치 샘플에 따르는 노듈들의 수는 2000배 배율에서 계수된 것이다. 이러한 예에서, CIP #2는 보다 적은 표면 노듈들을 나타낸다.
상기 설명은 본 발명의 여러 구체예들의 양호한 이해를 제공하기 위하여, 특정의 시스템들, 구성요소들, 방법들, 등의 예들과 같은 여러 특정 세부사항들을 제시한다. 그러나, 본 발명의 적어도 일부 구체예들이 이러한 특정 세부사항들 없이 실행될 수 있다는 것이 당업자에게 명백하게 될 것이다. 다른 경우들에서, 널리-공지된 구성요소들 또는 방법들은 상세하게 기술되지 않거나, 본 발명을 불필요하게 어렵게 하는 것을 방지하기 위해 단순한 블록 다이아그램 포맷(simple block diagram format)으로 제시된다. 이에 따라, 기술된 특정 세부사항들은 단지 예시적인 것이다. 특정 실행예들은 이러한 예시적인 세부사항들에서 벗어날 수 있고, 또한 본 발명의 범위 내에 있는 것으로 고려될 것이다.
본 명세서 전반에 걸쳐 "일 구체예" 또는 "구체예"에 대한 언급은, 이러한 구체예와 관련하여 기술된 특정 특성, 구조, 또는 특징이 적어도 하나의 구체예에 포함된다는 것을 의미한다. 이에 따라, 본 명세서 전반에 걸쳐 다양한 위치에서 구 "일 구체예에서" 또는 "구체예에서"의 출현들은 모두 반드시 동일한 구체예를 지칭하는 것은 아니다. 또한, 용어 "또는"은 배타적인 "또는"(exclusive "or") 보다는 포괄적인 "또는"(inclusive "or")을 의미하는 것으로 의도된다.
본원의 방법들의 작업들이 특정 순서로 도시되고 기술되어 있지만, 각 방법의 작업들의 순서는, 특정 작업들이 반대 순서로 수행될 수 있거나 특정 작업이 적어도 일부 다른 작업들과 동시에 수행될 수 있도록 변경될 수 있다. 다른 구체예에서, 별도의 작업들의 지시들 또는 하위-작업들은 간헐적 및/또는 교차 방식으로 이루어질 수 있다.
상기 설명은 제한적이지 않은, 예시적인 것으로 의도된 것으로 이해된다. 여라 다른 구체예들은 상기 명세서를 읽고 이해할 때에 당업자에게 명백하게 될 것이다. 이에 따라, 본 발명의 범위는 이러한 청구항들이 청구하는 균등물들의 전체 범위와 함께, 첨부된 청구항들을 참조로 하여 결정될 것이다.

Claims (15)

  1. Al, Al2O3, 또는 SiC 중 하나 이상의 바디(body); 및
    바디 상의 세라믹 코팅(ceramic coating)으로서, 약 50 mol% 내지 약 75 mol% 범위의 Y2O3, 약 10 mol% 내지 약 30 mol% 범위의 ZrO2, 및 약 10 mol% 내지 약 30 mol% 범위의 Al2O3을 포함하는 화합물을 포함하는 세라믹 코팅을 포함하는 반도체 처리 챔버(semiconductor processing chamber)를 위한 물품으로서,
    1 인치 당 노듈(nodule)의 수가 약 30개의 노듈 내지 약 45개의 노듈의 범위이며, 공극율(porosity)이 약 2.5% 내지 약 3.2%의 범위인 물품.
  2. 제1항에 있어서, 거칠기가 약 220 마이크로-인치 내지 약 250 마이크로-인치인 물품.
  3. 제1항에 있어서, 세라믹 코팅이 바디의 외경 상에서 보다 바디의 전면 및 배면 상에서 더욱 두꺼운 물품.
  4. 제1항에 있어서, 세라믹 코팅이 약 62.93 mol% Y2O3, 약 23.13 mol% ZrO2, 및 약 13.94 mol% Al2O3을 포함하는 물품.
  5. Al, Al2O3, 또는 SiC 중 하나 이상의 바디; 및
    바디 상의 세라믹 코팅으로서, Y4Al2O9 (YAM)의 화합물 및 Y2- xZrxO3의 고용체를 포함하는 세라믹 코팅을 포함하는 반도체 처리 챔버를 위한 물품으로서,
    세라믹 코팅이
    약 90 A 내지 약 150 A 범위의 플라즈마 전류(plasma current)를 갖는 플라즈마 분사 시스템(plasma spraying system)을 제공하고,
    바디로부터 약 60 mm 내지 약 120 mm의 거리에 플라즈마 분사 시스템의 토치 스탠드오프(torch standoff)를 정위시키고,
    플라즈마 분사 시스템을 통해 가스를 약 80 L/min 내지 약 130 L/min의 유량으로 흐르게 하고,
    물품에 세라믹 코팅을 플라즈마 분사 코팅하는 것을 포함하는 방법에 의해 바디에 적용되는 물품.
  6. 제5항에 있어서, 플라즈마 분사 시스템의 토치 스탠드오프가 바디로부터 약 60 mm 내지 약 120 mm의 거리에 정위되어 있는 물품.
  7. 제5항에 있어서, 가스가 플라즈마 분사 시스템을 통해 90 L/min 내지 약 130 L/min의 유량으로 흐르는 물품.
  8. 제5항에 있어서, 코팅이 물품의 외경 상에서 보다 바디의 전면 및 배면 상에서 더욱 두꺼운 물품.
  9. 제5항에 있어서, 코팅의 노듈 총수(nodule count)가 1 인치 당 약 30개 노듈 내지 약 45개 노듈이며, 코팅의 거칠기가 약 220 마이크로 인치 내지 약 250 마이크로 인치이며, 코팅의 단면 공극율이 약 2.5% 내지 약 3.2%인 물품.
  10. 약 90 A 내지 약 150 A 범위의 플라즈마 전류를 갖는 플라즈마 분사 시스템을 제공하고,
    바디로부터 약 60 mm 내지 약 120 mm의 거리에 플라즈마 분사 시스템의 토치 스탠드오프를 정위시키고,
    플라즈마 분사 시스템을 통해 가스를 약 80 L/min 내지 약 130 L/min의 유량으로 흐르게 하고,
    바디에 세라믹 코팅을 플라즈마 분사 코팅하는 것을 포함하는 방법으로서,
    세라믹 코팅이 Y4Al2O9 (YAM)의 화합물 및 Y2- xZrxO3의 고용체를 포함하는 방법.
  11. 제10항에 있어서, 플라즈마 분사 시스템의 토치 스탠드오프가 바디로부터 약 60 mm 내지 약 120 mm의 거리에 정위되는 방법.
  12. 제10항에 있어서, 가스가 플라즈마 분사 시스템을 통해 약 90 L/min 내지 약 130 L/min의 유량으로 흐르는 방법.
  13. 제10항에 있어서, 이트륨 옥사이드를 포함하는 분말을 플라즈마 분사 시스템에 공급하는 것을 추가로 포함하며, 분말의 약 50%가 약 15 마이크론 미만의 직경을 갖는 방법.
  14. 제10항에 있어서, 플라즈마 분사 코팅하는 것이,
    바디의 배면을 코팅하되, 플라즈마 분사 시스템이 바디의 회전축에 대해 수직으로 이동됨에 따라 코팅이 회전하는 바디에 대해 45도 각도로 적용되며 배면 코팅이 약 2 mil의 두께를 가지며,
    바디의 외경을 코팅하되, 플라즈마 분사 시스템이 바디의 회전축에 대해 평행하게 이동됨에 따라 코팅이 회전하는 바디에 대해 수평으로 적용되며, 외경 코팅이 약 2 mil 두께로 적용되며,
    바디의 전면을 코팅하되, 바디가 뒤집혀지며, 플라즈마 분사 시스템이 바디의 회전축에 대해 수직으로 이동됨에 따라 코팅이 회전하는 바디에 대해 대략 45도로 적용되며, 전면 코팅이 약 2 mil 두께로 적용되는 것에 의해, 하나 이상의 코트(coat)들을 적용하는 것을 포함하는 방법.
  15. 제10항에 있어서, 바디가 환원 화학(reducing chemistry)과 함께 사용하기 위한 반도체 처리 챔버용 플라즈마 스크린(plasma screen)을 포함하며, 플라즈마 스크린이 Al, Al2O3, 또는 SiC 중 하나 이상을 포함하는 방법.
KR1020177007693A 2012-07-27 2013-07-26 개선된 디바이스 온-웨이퍼 입자 성능을 위한 화학 상용성 코팅 물질 KR101897594B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261676818P 2012-07-27 2012-07-27
US61/676,818 2012-07-27
US13/830,608 US9343289B2 (en) 2012-07-27 2013-03-14 Chemistry compatible coating material for advanced device on-wafer particle performance
US13/830,608 2013-03-14
PCT/US2013/052195 WO2014018830A1 (en) 2012-07-27 2013-07-26 Chemistry compatible coating material for advanced device on-wafer particle performance

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020157005336A Division KR101720094B1 (ko) 2012-07-27 2013-07-26 개선된 디바이스 온-웨이퍼 입자 성능을 위한 화학 상용성 코팅 물질

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020177024967A Division KR102029885B1 (ko) 2012-07-27 2013-07-26 개선된 디바이스 온-웨이퍼 입자 성능을 위한 화학 상용성 코팅 물질

Publications (2)

Publication Number Publication Date
KR20170034938A true KR20170034938A (ko) 2017-03-29
KR101897594B1 KR101897594B1 (ko) 2018-09-12

Family

ID=49995164

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020157005336A KR101720094B1 (ko) 2012-07-27 2013-07-26 개선된 디바이스 온-웨이퍼 입자 성능을 위한 화학 상용성 코팅 물질
KR1020177024967A KR102029885B1 (ko) 2012-07-27 2013-07-26 개선된 디바이스 온-웨이퍼 입자 성능을 위한 화학 상용성 코팅 물질
KR1020177007693A KR101897594B1 (ko) 2012-07-27 2013-07-26 개선된 디바이스 온-웨이퍼 입자 성능을 위한 화학 상용성 코팅 물질
KR1020197028751A KR102140194B1 (ko) 2012-07-27 2013-07-26 개선된 디바이스 온-웨이퍼 입자 성능을 위한 화학 상용성 코팅 물질

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020157005336A KR101720094B1 (ko) 2012-07-27 2013-07-26 개선된 디바이스 온-웨이퍼 입자 성능을 위한 화학 상용성 코팅 물질
KR1020177024967A KR102029885B1 (ko) 2012-07-27 2013-07-26 개선된 디바이스 온-웨이퍼 입자 성능을 위한 화학 상용성 코팅 물질

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020197028751A KR102140194B1 (ko) 2012-07-27 2013-07-26 개선된 디바이스 온-웨이퍼 입자 성능을 위한 화학 상용성 코팅 물질

Country Status (6)

Country Link
US (3) US9343289B2 (ko)
JP (3) JP6275713B2 (ko)
KR (4) KR101720094B1 (ko)
CN (2) CN104704606B (ko)
TW (3) TWI624868B (ko)
WO (1) WO2014018830A1 (ko)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
CN111952149A (zh) * 2013-05-23 2020-11-17 应用材料公司 用于半导体处理腔室的经涂布的衬里组件
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) * 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
TWI722986B (zh) * 2014-05-16 2021-04-01 美商應用材料股份有限公司 使用相和應力控制的電漿噴灑塗佈設計
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
JP2016065302A (ja) * 2014-09-17 2016-04-28 東京エレクトロン株式会社 プラズマ処理装置用の部品、及び部品の製造方法
US9209016B1 (en) * 2014-10-14 2015-12-08 Macronix International Co., Ltd. Coating method and coating system
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160254125A1 (en) * 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TWI632059B (zh) * 2016-02-19 2018-08-11 新日鐵住金股份有限公司 陶瓷積層體、陶瓷絕緣基板、以及陶瓷積層體的製造方法
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
KR20180071695A (ko) * 2016-12-20 2018-06-28 주식회사 티씨케이 층간 경계를 덮는 증착층을 포함하는 반도체 제조용 부품 및 그 제조방법
JP6723659B2 (ja) * 2017-01-12 2020-07-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
CN111066121B (zh) * 2017-09-11 2024-03-19 应用材料公司 使用反应性气体前驱物从处理腔室选择性原位清洁高介电常数膜
US11279656B2 (en) * 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11114330B2 (en) 2018-08-24 2021-09-07 Axcelis Technologies, Inc. Substrate support having customizable and replaceable features for enhanced backside contamination performance
CN208835019U (zh) * 2018-11-12 2019-05-07 江苏鲁汶仪器有限公司 一种反应腔内衬
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
CN109825827A (zh) * 2019-02-22 2019-05-31 沈阳富创精密设备有限公司 一种ic装备等离子体刻蚀腔防护涂层的制备方法
CN113454761A (zh) * 2019-03-01 2021-09-28 日本发条株式会社 载物台以及载物台的制造方法
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
CN111424273A (zh) * 2020-03-30 2020-07-17 沈阳富创精密设备有限公司 一种制备高洁净度涂层的方法
CN113185268B (zh) * 2021-04-29 2022-04-22 湖南大学 一种氧化铝陶瓷材料的制备方法及氧化铝陶瓷基片

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002087878A (ja) * 2000-07-11 2002-03-27 Toshiba Ceramics Co Ltd 耐プラズマ性部材およびその製造方法
US7300537B2 (en) * 2002-06-27 2007-11-27 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264565A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US20090036292A1 (en) * 2007-08-02 2009-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7648782B2 (en) * 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
JP2011137194A (ja) * 2009-12-28 2011-07-14 Covalent Materials Corp 溶射用粉末

Family Cites Families (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
JPS6038222B2 (ja) * 1979-11-30 1985-08-30 株式会社 サト−セン 鉄鋼用連続鋳造鋳型
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4642440A (en) 1984-11-13 1987-02-10 Schnackel Jay F Semi-transferred arc in a liquid stabilized plasma generator and method for utilizing the same
US4704299A (en) 1985-11-06 1987-11-03 Battelle Memorial Institute Process for low temperature curing of sol-gel thin films
KR910007382B1 (ko) * 1987-08-07 1991-09-25 가부시기가이샤 히다찌세이사꾸쇼 초전도 재료 및 초전도 박막의 제조방법
CN1036286A (zh) 1988-02-24 1989-10-11 珀金·埃莱姆公司 超导陶瓷的次大气压等离子体喷涂
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US5679167A (en) 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
WO1996011288A1 (en) 1994-10-05 1996-04-18 United Technologies Corporation Multiple nanolayer coating system
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5626923A (en) 1995-09-19 1997-05-06 Mcdonnell Douglas Corporation Method of applying ceramic coating compositions to ceramic or metallic substrate
US5766693A (en) * 1995-10-06 1998-06-16 Ford Global Technologies, Inc. Method of depositing composite metal coatings containing low friction oxides
WO1997039607A1 (fr) 1996-04-12 1997-10-23 Hitachi, Ltd. Dispositif de traitement au plasma
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
JP2000057981A (ja) * 1998-06-02 2000-02-25 Toshiba Corp 熱輻射部材およびこれを用いた回転陽極型x線管、並びにそれらの製造方法
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
WO2001024581A1 (en) 1999-09-29 2001-04-05 Tokyo Electron Limited Multi-zone resistance heater
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
EP1193233A1 (en) 2000-02-07 2002-04-03 Ibiden Co., Ltd. Ceramic substrate for semiconductor production/inspection device
JP2001284328A (ja) * 2000-03-31 2001-10-12 Taiheiyo Cement Corp セラミック部品
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
CA2306941A1 (en) 2000-04-27 2001-10-27 Standard Aero Ltd. Multilayer thermal barrier coatings
DE60136171D1 (de) 2000-05-02 2008-11-27 Univ Johns Hopkins Verfahren zur herstellung einer reaktiven mehrschichtfolie sowie daraus resultierendes produkt
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
JP3672833B2 (ja) * 2000-06-29 2005-07-20 信越化学工業株式会社 溶射粉及び溶射被膜
EP1167565B1 (en) 2000-06-29 2007-03-07 Shin-Etsu Chemical Co., Ltd. Method for thermal spray coating and rare earth oxide powder used therefor
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP2002106360A (ja) * 2000-09-29 2002-04-10 Toshiba Corp ガスタービン用部品および当該部品を備えたガスタービン
JP4644343B2 (ja) * 2000-09-29 2011-03-02 株式会社アルバック 真空処理室用表面構造
WO2002040732A1 (en) 2000-11-15 2002-05-23 G.T. Equipment Technologies Inc. A protective layer for quartz crucibles used for silicon crystallization
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
US6915964B2 (en) 2001-04-24 2005-07-12 Innovative Technology, Inc. System and process for solid-state deposition and consolidation of high velocity powder particles using thermal plastic deformation
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
US7507268B2 (en) 2001-08-02 2009-03-24 3M Innovative Properties Company Al2O3-Y2O3-ZrO2/HfO2 materials, and methods of making and using the same
JP4903322B2 (ja) * 2001-08-20 2012-03-28 株式会社日本セラテック 酸化イットリウム質部材
JP5132859B2 (ja) 2001-08-24 2013-01-30 ステラケミファ株式会社 多成分を有するガラス基板用の微細加工表面処理液
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6592948B1 (en) 2002-01-11 2003-07-15 General Electric Company Method for masking selected regions of a substrate
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
JP4153708B2 (ja) 2002-03-12 2008-09-24 東京エレクトロン株式会社 エッチング方法
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
US20030232139A1 (en) 2002-06-13 2003-12-18 Detura Frank Anthony Shield and method for spraying coating on a surface
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
KR101168422B1 (ko) 2002-11-20 2012-07-25 신에쓰 가가꾸 고교 가부시끼가이샤 내열성 피복 부재의 제조 방법
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
US6753269B1 (en) 2003-05-08 2004-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for low k dielectric deposition
US7510641B2 (en) 2003-07-21 2009-03-31 Los Alamos National Security, Llc High current density electropolishing in the preparation of highly smooth substrate tapes for coated conductors
US7658816B2 (en) 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7645526B2 (en) 2003-09-16 2010-01-12 Shin-Etsu Quartz Products, Ltd. Member for plasma etching device and method for manufacture thereof
US20050056056A1 (en) 2003-09-16 2005-03-17 Wong Marvin Glenn Healing micro cracks in a substrate
KR101084553B1 (ko) * 2003-10-17 2011-11-17 토소가부시키가이샤 진공장치용 부품과 그 제조방법 및 그것을 이용한 장치
JP4604640B2 (ja) * 2003-10-17 2011-01-05 東ソー株式会社 真空装置用部品及びその製造方法並びにそれを用いた装置
JP4189373B2 (ja) 2003-10-31 2008-12-03 株式会社トクヤマ 窒化アルミニウム接合体及びその製造方法
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4443976B2 (ja) 2004-03-30 2010-03-31 忠弘 大見 セラミックスの洗浄方法および高清浄性セラミックス
US20080274348A1 (en) * 2004-03-31 2008-11-06 Junichi Iwasawa Method for Producing Coating Film Using Aerosol, Fine Particles for Use Therein, and Coating Film and Composite Material
US7618769B2 (en) * 2004-06-07 2009-11-17 Applied Materials, Inc. Textured chamber surface
JP2006027012A (ja) 2004-07-14 2006-02-02 Pioneer Electronic Corp 脱泡方法及びこれを用いた脱泡装置
US20060068189A1 (en) 2004-09-27 2006-03-30 Derek Raybould Method of forming stabilized plasma-sprayed thermal barrier coatings
WO2006137898A2 (en) 2004-10-01 2006-12-28 American Superconductor Corp. Thick superconductor films with improved performance
US8058186B2 (en) 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
JP2006213936A (ja) * 2005-02-01 2006-08-17 Osaka Prefecture 金属基材用保護皮膜、その形成方法及び保護皮膜付き金属基材
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
US7976768B2 (en) 2005-05-31 2011-07-12 Corning Incorporated Aluminum titanate ceramic forming batch mixtures and green bodies including pore former combinations and methods of manufacturing and firing same
JP4813115B2 (ja) 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
JP2007126712A (ja) * 2005-11-02 2007-05-24 Fujimi Inc 溶射用粉末及び溶射皮膜の形成方法
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7622195B2 (en) 2006-01-10 2009-11-24 United Technologies Corporation Thermal barrier coating compositions, processes for applying same and articles coated with same
JP2009536984A (ja) 2006-05-12 2009-10-22 フンダシオン イナスメット セラミックコーティングを得る方法および得られたセラミックコーティング
KR100939256B1 (ko) * 2006-06-21 2010-01-29 한국과학기술연구원 반도체 제조 장비용 열용사 코팅물질의 제조방법
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US20080090034A1 (en) 2006-09-18 2008-04-17 Harrison Daniel J Colored glass frit
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US7919722B2 (en) 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US7976949B2 (en) 2007-03-12 2011-07-12 Saint-Gobain Ceramics & Plastics, Inc. High strength ceramic elements and methods for making and using the same
TWI483291B (zh) * 2007-04-27 2015-05-01 Applied Materials Inc 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
JP5047741B2 (ja) * 2007-09-13 2012-10-10 コバレントマテリアル株式会社 耐プラズマ性セラミックス溶射膜
JP2009068067A (ja) * 2007-09-13 2009-04-02 Covalent Materials Corp 耐プラズマ性セラミックス溶射膜
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
CN101239771A (zh) * 2008-03-14 2008-08-13 清华大学 一种水源热泵与污泥厌氧消化集成方法及系统
CA2658210A1 (en) 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
US8546284B2 (en) * 2008-05-07 2013-10-01 Council Of Scientific & Industrial Research Process for the production of plasma sprayable yttria stabilized zirconia (YSZ) and plasma sprayable YSZ powder produced thereby
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20090297718A1 (en) 2008-05-29 2009-12-03 General Electric Company Methods of fabricating environmental barrier coatings for silicon based substrates
JP5537001B2 (ja) 2008-08-20 2014-07-02 株式会社アルバック 表面処理セラミックス部材、その製造方法および真空処理装置
US7929269B2 (en) 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
JP5545792B2 (ja) 2008-10-31 2014-07-09 株式会社日本セラテック 耐食性部材
KR20110088549A (ko) 2008-11-04 2011-08-03 프랙스에어 테크놀로지, 인코포레이티드 반도체 응용을 위한 열 분무 코팅
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR101750841B1 (ko) * 2009-02-05 2017-06-26 오엘리콘 멧코 아게, 볼렌 기재 표면의 코팅 또는 처리를 위한 플라즈마 코팅 시스템 및 그 방법
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US8444737B2 (en) 2009-02-27 2013-05-21 Corning Incorporated Ceramic structures and methods of making ceramic structures
JP5001323B2 (ja) 2009-03-27 2012-08-15 トーカロ株式会社 白色酸化イットリウム溶射皮膜表面の改質方法および酸化イットリウム溶射皮膜被覆部材
US8137743B2 (en) 2009-05-08 2012-03-20 Fuchita Nanotechnology Ltd. Method for forming zirconia film
KR101123719B1 (ko) 2009-06-05 2012-03-15 한국세라믹기술원 내플라즈마성 전자빔증착 세라믹 피막 부재
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
KR101221925B1 (ko) 2010-04-22 2013-01-14 한국세라믹기술원 플라즈마 저항성 세라믹 피막 및 그 제조 방법
CN102296263B (zh) * 2010-06-25 2013-04-24 中国科学院微电子研究所 等离子体刻蚀工艺腔室内表面的改性处理方法
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
WO2012005977A1 (en) 2010-06-29 2012-01-12 Los Alamos National Security, Llc Solution deposition planarization method
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US20120100299A1 (en) 2010-10-25 2012-04-26 United Technologies Corporation Thermal spray coating process for compressor shafts
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
WO2012166256A1 (en) 2011-06-02 2012-12-06 Applied Materials, Inc. Electrostatic chuck aln dielectric repair
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
JP5496992B2 (ja) 2011-12-13 2014-05-21 中国電力株式会社 プラズマ溶射装置及びその制御方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002087878A (ja) * 2000-07-11 2002-03-27 Toshiba Ceramics Co Ltd 耐プラズマ性部材およびその製造方法
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US7300537B2 (en) * 2002-06-27 2007-11-27 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US7648782B2 (en) * 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
US20080264565A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US20090036292A1 (en) * 2007-08-02 2009-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP2011137194A (ja) * 2009-12-28 2011-07-14 Covalent Materials Corp 溶射用粉末

Also Published As

Publication number Publication date
TW201410639A (zh) 2014-03-16
KR101720094B1 (ko) 2017-03-27
CN104704606B (zh) 2017-09-01
JP2015530737A (ja) 2015-10-15
CN107516645A (zh) 2017-12-26
CN107516645B (zh) 2020-06-23
US10020170B2 (en) 2018-07-10
CN104704606A (zh) 2015-06-10
KR101897594B1 (ko) 2018-09-12
JP7035005B2 (ja) 2022-03-14
TW201732917A (zh) 2017-09-16
US20160211121A1 (en) 2016-07-21
US9343289B2 (en) 2016-05-17
KR20150046073A (ko) 2015-04-29
TWI624868B (zh) 2018-05-21
TW201828353A (zh) 2018-08-01
JP2020073725A (ja) 2020-05-14
KR102140194B1 (ko) 2020-07-31
JP2018076600A (ja) 2018-05-17
JP6640250B2 (ja) 2020-02-05
KR20190114040A (ko) 2019-10-08
KR102029885B1 (ko) 2019-10-08
TWI661480B (zh) 2019-06-01
US20140030486A1 (en) 2014-01-30
WO2014018830A1 (en) 2014-01-30
KR20170104668A (ko) 2017-09-15
US11587771B2 (en) 2023-02-21
TWI592385B (zh) 2017-07-21
JP6275713B2 (ja) 2018-02-07
US20180269039A1 (en) 2018-09-20

Similar Documents

Publication Publication Date Title
KR101720094B1 (ko) 개선된 디바이스 온-웨이퍼 입자 성능을 위한 화학 상용성 코팅 물질
US11578398B2 (en) Plasma spray coating design using phase and stress control
KR102213756B1 (ko) 반도체 적용을 위한 희토류 옥사이드 기반 내침식성 코팅
TWI722986B (zh) 使用相和應力控制的電漿噴灑塗佈設計

Legal Events

Date Code Title Description
A107 Divisional application of patent
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant