JP6275713B2 - 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料 - Google Patents

高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料 Download PDF

Info

Publication number
JP6275713B2
JP6275713B2 JP2015524461A JP2015524461A JP6275713B2 JP 6275713 B2 JP6275713 B2 JP 6275713B2 JP 2015524461 A JP2015524461 A JP 2015524461A JP 2015524461 A JP2015524461 A JP 2015524461A JP 6275713 B2 JP6275713 B2 JP 6275713B2
Authority
JP
Japan
Prior art keywords
coating
article
plasma
ceramic coating
plasma spray
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015524461A
Other languages
English (en)
Other versions
JP2015530737A (ja
Inventor
ジェニファー ワイ サン
ジェニファー ワイ サン
ビラジャ プラサド カヌンゴ
ビラジャ プラサド カヌンゴ
ディミトリー ルボミルスキー
ディミトリー ルボミルスキー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2015530737A publication Critical patent/JP2015530737A/ja
Application granted granted Critical
Publication of JP6275713B2 publication Critical patent/JP6275713B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/4807Ceramic parts
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B15/00Layered products comprising a layer of metal
    • B32B15/04Layered products comprising a layer of metal comprising metal as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B15/00Layered products comprising a layer of metal
    • B32B15/20Layered products comprising a layer of metal comprising aluminium or copper
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B18/00Layered products essentially comprising ceramics, e.g. refractory products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • C04B35/505Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds based on yttrium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5042Zirconium oxides or zirconates; Hafnium oxides or hafnates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5045Rare-earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C26/00Coating not provided for in groups C23C2/00 - C23C24/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12583Component contains compound of adjacent metal
    • Y10T428/1259Oxide
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12597Noncrystalline silica or noncrystalline plural-oxide component [e.g., glass, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12597Noncrystalline silica or noncrystalline plural-oxide component [e.g., glass, etc.]
    • Y10T428/12604Film [e.g., glaze, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12611Oxide-containing component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12611Oxide-containing component
    • Y10T428/12618Plural oxides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • Y10T428/12625Free carbon containing component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12993Surface feature [e.g., rough, mirror]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • Y10T428/24372Particulate matter
    • Y10T428/24413Metal or metal compound
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • Y10T428/24975No layer or component greater than 5 mils thick
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/25Web or sheet containing structurally defined element or component and including a second component containing structurally defined particles
    • Y10T428/252Glass or ceramic [i.e., fired or glazed clay, cement, etc.] [porcelain, quartz, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/25Web or sheet containing structurally defined element or component and including a second component containing structurally defined particles
    • Y10T428/256Heavy metal or aluminum or compound thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent

Description

本開示の実施形態は、概して、セラミックスコーティングされた物品に関し、及び誘電体のエッチング処理コンポーネントへセラミックスコーティングを施すための方法に関する。
背景
半導体産業では、ますます減少するサイズの構造を作る多くの製造プロセスによって、デバイスは製造される。いくつかの製造プロセス(例えば、プラズマエッチングプロセスやプラズマ洗浄プロセス)は、基板をエッチング又は洗浄するためにプラズマの高速流に基板を曝露させる。プラズマは非常に腐食性があり、プラズマに曝露される処理チャンバ及び他の表面を腐食する可能性がある。この腐食は、デバイスの欠陥に寄与する、処理されている基板をしばしば汚染する粒子を生成する場合がある。
デバイスの幾何学形状が縮小するにつれて、欠陥への感受性は増加し、粒子汚染物質の要件はより厳しくなる。したがって、デバイスの幾何学形状が縮小するにつれて、粒子汚染の許容レベルは低下する可能性がある。プラズマエッチングプロセス及び/又はプラズマ洗浄プロセスによって導入される粒子汚染を最小限にするために、プラズマに耐性のあるチャンバ材料が開発されている。異なる材料は、異なる材料特性(例えば、耐プラズマ性、剛性、曲げ強度、耐熱衝撃性など)を提供する。また、異なる材料は、異なる材料コストを有する。したがって、いくつかの材料は、優れた耐プラズマ性を有し、他の材料は、より低いコストを有し、更に他の材料は、優れた曲げ強度及び/又は耐熱衝撃性を有する。
本発明は、添付図面の図の中で、限定としてではなく、例として示され、同様の参照符号は同様の要素を示す。この開示における「一」又は「1つの」実施形態への異なる参照は、必ずしも同じ実施形態への参照ではなく、そのような参照は、少なくとも1つを意味することに留意すべきである。
ライナキットの断面図を示す。 製造システムの典型的なアーキテクチャを示す。 プラズマ溶射システムの断面図を示す。 一実施形態に係る、物品にコーティングを施す方法を示す。 コーティング用粉末の形状を示す。 コーティング用粉末の粒度分布を示す。 一実施形態に係るコーティング用粉末の粒度分布を示す。 一実施形態に係るコーティングのノジュール(小塊)数を示す。 一実施形態に係るコーティングの表面粗さを示す。 一実施形態に係るコーティングの断面空孔率を示す。 コーティングの塗布を示す。 一実施形態に係るコーティングを施す方法を示す。 コーティング面の走査型電子顕微鏡(SEM)図を示す。 コーティングの断面のSEM図を示す。 経時的なコーティングの粒子性能を示す。 一実施形態に係るコーティングの粒子性能を示す。
詳細な説明
本発明の実施形態は、Al又はAl又はSiCの基板と、物品上のセラミックスコーティングを含む、半導体処理チャンバ用還元プラズマ化学に曝露される物品(例えば、プラズマスクリーン、ライナキット、シャワーヘッド、蓋、静電チャック、又は他のチャンバコンポーネント)に向けられている。一実施形態では、セラミックスコーティングは、YAl(YAM)の化合物と、Y2−XZrの固溶体を含む複合セラミックスであり、セラミックスコーティングは、還元性化学薬品(H、CO、COS、CH等)に対して耐性がある。
物品上にセラミックスコーティングをコーティングする方法は、約90A〜約150Aの間の範囲内のプラズマ電流を有するプラズマ溶射システムを提供する工程と、約60mm〜約120mmの間の物品からの距離にプラズマ溶射システムのトーチスタンドオフを位置決めする工程を含む。方法はまた、約80L/分〜約130L/分の間の速度でプラズマ溶射システムを介してガスを流す工程と、セラミックスコーティングで物品をプラズマ溶射コーティングする工程を含む。
導体エッチングプロセスは、ガス混合物によって導電性基板(例えば、Siウェハ)のプラズマ援用エッチングを含む。導体エッチングでは、ウェハ上(オンウェハ)レベルの粒子性能は、チャンバの重要なコンポーネント(特に、前面120、裏面122、及び外径124を有するライナキット100)と主に相関し、それは、図1に示されるように、チャンバ本体111、上部ライナ101、スリットバルブドア103、プラズマスクリーン105(つまり、ウェハ周囲の焼き網(グリル)のような構造)、下部ライナ107、及び陰極ライナ109を含むことができる。上部ライナ101、スリットバルブドア103、及び下部ライナ107は、チャンバ本体111により近く、一方、プラズマスクリーン105は、ウェハ(図示せず、しかしながら動作中、位置130に配置される)の周囲に配置され、陰極ライナ109は、ウェハの下方に位置する。
標準的なライナキットは、約100〜270マイクロインチの表面粗さを有する8〜12ミルプラズマ溶射されたY(イットリア)又は他のセラミックスでコーティングされたAl基板で構成することができる。最も典型的な半導体用途のために、ウェハ上の粒子仕様は、90nm以上の粒径で最大で約30の付加物(例えば、ウェハ上にある浮遊粒子)である。標準的なYライナキットは、このウェハ上の粒子仕様を満たしている。
28nmのデバイスノードでの特定の高度なアプリケーションでは、ウェハ上の粒子仕様は、45nm以上のサイズで1.3付加物以下とはるかにより厳しい。更に、これらのアプリケーションは、多くの場合、ウェハ上の粒子汚染を増大させる還元化学薬品(H、CH、CO、COSなど)を使用する可能性がある。従来のYコーティングされたライナキットを還元化学条件下で用いるチャンバテストは、高いウェハ上の粒子(例えば、45nm以上の粒子サイズで約50〜100以上の付加物)を示すが、かなりのチャンバの慣らし運転(例えば、100〜150の高周波のRF処理時間)は、粒子欠陥レベルを45nm以上の粒子サイズで約0〜10付加物まで減らし、これによって生産を再開する前に、生産仕様に適合させることができる。しかしながら、長いチャンバの慣らし運転時間は、生産性を低下させる可能性がある。テストでは、エネルギー分散型X線分光法は、従来のYベースのウェハ上の粒子がライナキットから生じる可能性があることを確認している。
更に、Yコーティングは、還元化学(例えば、H、CH、CO、COS、等)条件下での安定性が低く、重要なY−OHを形成する。Y−OH転換は、ウェハ上に見出すことができる脱落粒子を生じる体積変化をもたらす。しかしながら、還元化学の非存在下では、Yは安定であり、粒子を脱落させない。
本発明の実施形態は、半導体産業用途でのチャンバコンポーネントに対するウェハ上の粒子性能を向上させるために、還元化学の使用との適合性を高めるための複合セラミックスコーティング材料を含む。例えば、ライナキットの用途では、複合セラミックスコーティング(例えば、イットリア系複合セラミックスコーティング)は、プラズマ溶射技術を用いて、ライナキットのプラズマに対向する面に施すことができる。他の実施形態では、複合セラミックスコーティングは、エアロゾルデポジション法、スラリープラズマ、又は他の適切な技術(例えば、他の熱溶射技術)を介して施すことができる。一例では、アルミニウムライナキット上のコーティング厚さは、最大25ミルとすることができる。別の一例では、コーティングの熱膨張係数(CTE)がより良好に基板のCTEに一致するAl又は他の金属酸化物基板は、より厚いコーティングを有することができる。
一実施形態では、複合セラミックスコーティングは、化合物YAl(YAM)と固溶体Y2−xZr(Y−ZrOの固溶体)で構成される。更なる一実施形態では、複合セラミックスコーティングは、62.93モル%のY、23.23モル%のZrO、及び13.94モル%のAlを含む。別の一実施形態では、複合セラミックスコーティングは、50〜75モル%の範囲内のY、10〜30モル%の範囲内のZrO、及び10〜30モル%の範囲内のAlを含むことができる。他の実施形態では、他の配分もまた、複合セラミックスコーティングに対して使用することができる。一実施形態では、複合セラミックスは、ZrO、Al、HfO、Er、Nd、Nb、CeO、Sm、Ybのうちの1以上又はそれらの組み合わせと混合することができる酸化イットリウム含有固溶体である。
様々なコーティングのテスト中に、ウェハ上の粒子レベルが、CO及びHなしで(非還元化学条件下で)、及びCO及びHありで(すなわち、還元化学条件下で)観察された。複合セラミックスコーティングは、特に、還元化学条件下で、テストされた他のコーティング及びバルク材料(例えば、バルクY、プラズマ溶射(PS)Y、SiC、柱状Si、単結晶Si、及びSiO)よりも良好な耐食性を示し、テストされた他のコーティングよりも低い浸食速度(単位RF時間当たりの浸食深さ)を示した。例えば、図12Aは、RF時間にわたって45nm以上の粒子に対するYコーティングのウェハ上の粒子性能を示す。ここで、コーティングは、初期段階(例えば、20RF時間未満)では、YO粒子の高い数値を示し、安定した粒子数に到達するのに80〜100RF時間を要した。図12Bは、RF時間にわたって45nmの粒子に対する複合セラミックスコーティングのウェハ上の粒子性能を示し、ここでコーティングは、慣らし運転(シーズニング)中にYO粒子の高い数値を示さず、YO粒子数は、60RF時間で常に低かった(すなわち、5付加物未満であった)。
図2は、製造システム200の例示的なアーキテクチャを示す。製造システム200は、(例えば、物品(例えば、ライナキット)に複合セラミックスコーティングを施すための)コーティング製造システムであってもよい。一実施形態では、製造システム200は、機器自動化レイヤー215に接続された処理機器201を含む。処理機器201は、ビーズブラスター202、1以上の湿式洗浄装置203、プラズマ溶射銃システム204及び/又は他の機器を含むことができる。製造システム200は、機器自動化レイヤー215に接続された1以上のコンピューティングデバイス220を更に含むことができる。代替の実施形態では、製造システム200は、より多くの又はより少ない構成要素を含むことができる。例えば、製造システム200は、機器自動化レイヤー215又はコンピューティングデバイス220なしで、手動操作(例えば、オフライン)の処理機器201を含んでもよい。
ビーズブラスター202は、物品(例えば、ライナキット)の表面を粗面化するように構成された機械である。ビーズブラスター202は、ビーズブラストキャビネット、ハンドヘルドビーズブラスター、又は他のタイプのビーズブラスターであってもよい。ビーズブラスター202は、ビーズ又は粒子を基板に衝突させることによって、基板を粗面化することができる。一実施形態では、ビーズブラスター202は、基板にセラミックスビーズ又は粒子を発射する。ビーズブラスター202によって達成された粗さは、ビーズを発射するために使用される力、ビーズ材料、ビーズサイズ、基板からのビーズブラスターの距離、処理時間などに基づく可能性がある。一実施形態では、ビーズブラスターは、セラミックス物品を粗面化するために、ある範囲のビーズサイズを使用する。
代替の実施形態では、ビーズブラスター202ではなく他のタイプの表面粗面化装置が使用されてもよい。例えば、電動砥粒(研磨)パッドを用いて、セラミックス基板の表面を粗面化してもよい。サンダーは、砥粒パッドを物品の表面に押し付けながら砥粒パッドを回転または振動させることができる。砥粒パッドにより達成される粗さは、印加された圧力、振動又は回転速度、及び/又は砥粒パッドの粗さに依存する可能性がある。
湿式洗浄装置203は、湿式洗浄プロセスを使用して物品(例えば、ライナキット)を洗浄する洗浄装置である。湿式洗浄装置203は、基板を洗浄するために基板を浸漬させる液体で満たされた湿式浴を含む。湿式洗浄装置203は、洗浄効果を向上させるために、洗浄中に超音波を用いて湿式浴を撹拌することができる。本明細書では、これを湿式浴の超音波処理と呼ぶ。他の実施形態では、代替のタイプの洗浄装置(例えば、乾式洗浄装置)を用いて物品を洗浄してもよい。乾式洗浄装置は、熱を印加する、気体を印加する、又はプラズマを印加するなどによって物品を洗浄することができる。
セラミックスコータ204は、基板の表面にセラミックスコーティングを施すように構成された機械である。一実施形態では、セラミックスコータ204は、基板(例えば、ライナキット)上にコーティング(例えば、複合セラミックスコーティング)をプラズマ溶射するプラズマ溶射装置(又はプラズマ溶射システム)である。代替の実施形態では、セラミックスコータ204は、他の熱溶射技術を適用することができる(例えば、デトネーション溶射、ワイヤアーク溶射、高速酸素燃料(HVOF)溶射、フレーム溶射、ウォームスプレー及びコールドスプレーを使用することができる)。更に、セラミックスコータ204は、他のコーティングプロセスを実行してもよい(例えば、セラミックスコーティングを形成するために、エアロゾルデポジション法、電気めっき、物理蒸着(PVD)や化学蒸着(CVD)を使用することができる)。
機器自動化レイヤー215は、製造機械201の一部又は全部をコンピューティングデバイス220と、他の製造機械と、計測ツール及び/又は他のデバイスと相互接続することができる。機器自動化レイヤー215は、ネットワーク(例えば、位置エリアネットワーク(LAN))、ルータ、ゲートウェイ、サーバ、データストアなどを含むことができる。製造機械201は、SEMI Equipment Communications Standard/Generic Equipment Model(SECS/GEM)インタフェースを介して、イーサネット(登録商標)インタフェースを介して、及び/又は他のインタフェースを介して、機器自動化レイヤー215に接続することができる。一実施形態では、機器自動化レイヤー215は、プロセスデータ(例えば、プロセス実行中に製造機械201によって収集されたデータ)をデータストア(図示せず)に保存可能にする。代替の一実施形態では、コンピューティングデバイス220は、1以上の製造機械201に直接接続する。
一実施形態では、一部又は全部の製造機械201は、プロセスレシピをロード、ストア、及び実行することができるプログラマブルコントローラを含む。プログラマブルコントローラは、製造機械201の温度設定、ガス及び/又は真空の設定、時間の設定等を制御することができる。プログラマブルコントローラは、メインメモリ(例えば、リードオンリーメモリ(ROM)、フラッシュメモリ、ダイナミックランダムアクセスメモリ(DRAM)、スタティックランダムアクセスメモリ(SRAM)など)、及び/又は二次メモリ(例えば、データ記憶装置(例えば、ディスクドライブ))を含むことができる。メインメモリ及び/又は二次メモリは、本明細書に記載の熱処理プロセスを実行するための命令を記憶することができる。
プログラマブルコントローラはまた、メインメモリ及び/又は二次メモリに(例えば、バスを介して)結合された処理デバイスを含み、これによって命令を実行することができる。処理デバイスは、汎用処理デバイス(例えば、マイクロプロセッサ、中央処理装置等)であってもよい。処理デバイスはまた、専用処理デバイス(例えば、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号プロセッサ(DSP)、ネットワークプロセッサ等)であってもよい。一実施形態では、プログラマブルコントローラは、プログラマブルロジックコントローラ(PLC)である。
一実施形態では、製造機械201は、製造機械に基板を粗面化させる、基板及び/又は物品を洗浄させる、物品をコーティングさせる、及び/又は物品を加工(例えば、研削又は研磨)させるレシピを実行するようにプログラミングされている。一実施形態では、製造機械201は、以下の図を参照して説明されるように、セラミックスコーティングされた物品を製造するための複数工程のプロセスの操作を実行するレシピを実行するようにプログラミングされている。コンピューティングデバイス220は、製造機械201にダウンロードすることができる1以上のセラミックスコーティングのレシピ225を格納し、これによって製造機械201に本開示の実施形態に係るセラミックスコーティングされた物品を製造させることができる。
図3は、誘電体エッチングコンポーネント、又は腐食性のシステム内で使用される他の物品(例えば、ライナキット)上にコーティングをプラズマ溶射するためのシステム300の断面図を示す。システム300は、熱溶射システムのタイプである。プラズマ溶射システム300では、アーク302が、2つの電極、陽極304と陰極316の間に形成され、これらを通してガス318が流れる。プラズマ溶射システム300内で使用するのに適したガスの例としては、アルゴン/水素、アルゴン/ヘリウム、又はアルゴン/酸素を含むが、これらに限定されない。ガスは、アーク302によって加熱されるので、ガスは膨張し、成形ノズル306を通って加速され、高速プラズマ流を生成する。
粉末308は、激しい温度が粉末を融解し、物品310へと向かう溶融粒子314の流れとして材料を推進させるプラズマスプレー又はトーチ内に注入される。物品310に衝突するとすぐに、溶融した粉末は平らになり、急速に固化し、物品310に固着するコーティング312を形成する。コーティング312の厚さ、密度、及び粗さに影響を与えるパラメータは、粉末の種類、粉末の粒度分布、粉末供給速度、プラズマガス組成、ガス流量、エネルギー入力、トーチオフセット距離、及び基板冷却を含む。
図4は、一実施形態に係る、コーティングされた物品を製造するためのプロセス400を示すフローチャートである。プロセス400の操作は、様々な製造機械によって実行することができる。プロセス400の操作は、反応性イオンエッチング又はプラズマエッチングシステム内で使用することができる上記のような任意の物品を参照して説明される。
ブロック402では、コーティングをプラズマ溶射するための粉末が、最適化される。これは、複合セラミックスコーティング用の粉末の形状及び粒度分布の最適化を含んでもよい。一実施形態では、コーティングの最適化は、粉末の種類(例えば、化学組成)、平均粉末サイズ、及び粉末供給速度を決定することを含むが、これらに限定されない。粉末の種類は、前述のように複合セラミックスコーティングを生成するように選択することができる。特定の組成、純度、及び粒子サイズを有する原料セラミックス粉末が、選択される。セラミックス粉末は、Y、YAl、YAl12(YAG)、又は他のイットリア含有セラミックスで形成することができる。また、セラミックス粉末は、ZrO、Al、HfO、Er、Nd、Nb、CeO、Sm、Ybの、又は他の酸化物のうちの1以上と組み合わせることができる。原料セラミックス粉末は、その後混合される。一実施形態では、Y、Al、及びZrOの原料セラミックス粉末が、複合セラミックスコーティング用に共に混合される。これらの原料セラミックス粉末は、一実施形態では、99.9%以上の純度を有することができる。原料セラミックス粉末は、例えば、ボールミルを用いて混合することができる。セラミックス粉末は、混合された後、特定の焼成時間及び温度で焼成することができる。
図5は、一実施形態に係るーティング用に最適化された粉末粒子形状を示す。ここで、粒子の一部は、球の反対側に深いくぼみを有する球状形状を有する。換言すれば、粒子の大部分は、ドーナツ形状を有する。ドーナツ形状を有する粒子を有する粉末から形成されたコーティングの評価は、他の形状の粉末粒子と比べて、改善された形態及び空孔率を示した。例えば、ドーナツ形状を有する粒子から形成されたコーティングは、粉末の改善された融解、減少した粗さ、及び減少した空孔率のため、より少ないノジュール及びより多いスプラットを有する傾向があり、これらのすべては、改善されたウェハ上の粒子性能に寄与する。
図6Aは、粉末がコーティングとして塗布されたときの、コーティングの表面形態及び空孔率に基づいて評価された粉末に対する粉末粒度分布ヒストグラムを示す。図6Aでは、粒子の50%に対する粒子サイズ(すなわち、粒径)(D50)は、約25ミクロン以下であった。図6Bは、一実施形態に係る、粉末がコーティングとして塗布されたときの、コーティングの表面形態及び空孔率に基づいて再度評価された粉末に対する最適化された粉末粒度分布ヒストグラムを示す。図6Bでは、粒子の50%に対する粒子サイズ(D50)は、約15ミクロン以下であった。図6Aに示されるように、粒子の50%に対する粒子サイズが約25ミクロン以下である粉末から形成されたコーティングの評価は、より大きな粒子サイズを有する粉末と比べて、改善された形態及び空孔率を示し、これらの両方は、改善されたウェハ上の粒子性能をもたらした。
図4に戻ると、ブロック404で、プラズマ溶射パラメータは最適化され、これによって粉末の融解を最大化し、表面ノジュールの数を減らし、スプラット面を増加させ、粗さを低減させ、空孔率を減少させる。一実施形態では、プラズマ溶射パラメータの最適化は、プラズマ銃電力、及び溶射キャリアガスの組成の決定を含むが、これらに限定されない。プラズマ溶射パラメータの最適化はまた、基板(例えば、プラズマスクリーン)の上にコーティング(例えば、複合セラミックスコーティング)を施すための溶射コーティングシーケンス及びプロセス条件の最適化を含むことができる。
例えば、表Aは、コーティング表面形態(例えば、ノジュール対スプラット)におけるコーティングパラメータを変更することの効果を評価し識別するためのコーティングプロセスの最適化(例えば、直交評価)を示す。
ここで、評価結果の例が、図7A、図7B、及び図7Cに示される。図7Aは、各々のパラメータの各々のレベルに対する200倍拡大写真(例えば、1インチのサンプルの200倍の走査型電子顕微鏡写真(SEM))上のノジュール数を示している。一例では、レベル1の一次ガス流量(80L/分)は、レベル2の一次ガス流量(90L/分)に対するノジュール数(約45)よりも多くのノジュール数(約60)をもたらす。更に、レベル2の一次ガス流量は、レベル3の一次ガス流量(130L/分)に対するノジュール数(約43)よりも多くのノジュール数をもたらす。
別の一例では、レベル1のトーチスタンドオフ距離(60mm)は、レベル2のトーチスタンドオフ距離(80mm)に対するノジュール数(約58)よりも多くのノジュール数(約39)をもたらす。更に、レベル2のトーチスタンドオフ距離は、レベル3のトーチスタンドオフ距離(120mm)に対するノジュール数(約61)よりも多くのノジュール数をもたらす。
図7Bは、各々のパラメータの各々のレベルに対する複合セラミックスコーティングの平均表面粗さ(Ra)をマイクロインチで示す。一例では、プラズマ電流レベル1(90A)は、プラズマ電流レベル2に対する粗さ(約255)よりも大きな粗さ(約260)をもたらす。更に、レベル2のプラズマ電流(110A)は、プラズマ電流レベル3(150A)に対する粗さ(約250)よりも大きな粗さをもたらす。
図7Cは、各々のパラメータの各々のレベルに対する複合セラミックスコーティングの断面空孔率を百分率として示す。一例では、レベル1の一次ガス流量(80L/分)は、レベル2の一次ガス流量(90L/分)に対する空孔率(約3.4)よりも大きな空孔率(約4.2)をもたらす。更に、レベル2の一次ガス流量は、レベル3の一次ガス流量(130L/分)に対する空孔率(約2.6)よりも大きな空孔率をもたらす。
一実施形態では、パラメータは、融解を最大化させる、ノジュール数を低減させる(これは粉末の融解の増加を示すことができる)、スプラット面を増加させる(これは粉末の融解の増加を示すことができる)、表面粗さを低減させる、及びコーティングの空孔率を低減させるように最適化され、これらは、粒子が剥がれる可能性がより低いので、還元化学条件下でウェハ上の粒子総数を低減するだろう。表Aの分析は、コーティングを最適化することができるパラメータのレベルが、一次ガス流量の増加(例えば、約130L/分)、プラズマ電流の増加(例えば、約150A)、トーチスタンドオフ距離の減少(例えば、約60mm)、及び粉末粒子径の増加(例えば、粒子の50%に対して約25ミクロン以下の粒子径)であることを示す。
例えば、最適化されたプラズマ電流は、約90A〜約150Aの間の範囲内とすることができる。更に最適化されたプラズマ電流は、約110A〜約150Aの間の範囲内とすることができる。別の一例では、プラズマ溶射システムのトーチスタンドオフの最適化された位置決めは、約60mm〜約120mmの間の物品(例えば、ライナキット又はプラズマスクリーン)からの距離とすることができる。トーチスタンドオフの更なる最適化された位置決めは、約60mm〜約90mmの間の物品からの距離とすることができる。更に別の一例では、プラズマ溶射システムを通る最適化されたガス流は、約80L/分〜約130L/分の間の速度とすることができる。プラズマ溶射システムを通る更に最適化されたガス流は、約90L/分〜約130L/分の間の速度とすることができる。
上記の例では、更に最適化されたパラメータに従ってコーティングされた物品上のコーティングは、1インチ当たり約30ノジュール〜約45ノジュールのノジュール数、約220マイクロインチ〜約250マイクロインチの粗さ、及び約2.5%〜約3.2%の断面空孔率を有することができる。
図4に再び戻ると、ブロック406では、物品は、選択されたパラメータに従ってコーティングされる。熱溶射技術及びプラズマ溶射技術は、材料(例えば、セラミックス粉末)を融解し、選択されたパラメータを使用して物品上に融解した材料を溶射することができる。熱溶射又はプラズマ溶射されたセラミックスコーティングは、約5〜40ミル(例えば、一実施形態では25ミル)の厚さを有することができる。厚さは、一例では、物品が、少なくとも約5000高周波時間(RF時間)の有用寿命を有することを保証するために、複合セラミックスコーティングの浸食速度に応じて選択される。換言すれば、複合セラミックスコーティングの浸食速度が、約0.005ミル/時間であるならば、約5000RF時間の有用寿命のために、約25ミルの厚さを有するセラミックスコーティングを形成することができる。
プラズマ溶射プロセスは、複数の溶射パスで実行されてもよい。各パスに対して、プラズマ溶射ノズルの角度を変更して、これによって溶射される面との相対角度を維持してもよい。例えば、プラズマ溶射ノズルは、溶射される物品の表面と約45度〜約90度の角度を維持するように回転させることができる。
一実施形態では、プラズマ溶射シーケンスは、改善されたコーティング(例えば、より少ない空孔率、減少した表面ノジュール、及び減少した表面粗さ)を達成し、並びにコーティング表面上への(主に物品の裏面コーティング由来の)浮遊粒子の再堆積を低減するように最適化することができる。図8は、複雑な部品(例えば、プラズマスクリーン)のための最適化された溶射シーケンスの一例を示す。第一に、ブロック801に示されるように、物品806(例えば、部分断面図が図8に示されるプラズマスクリーン)の前面820が、物品806を回転させながら、物品806を横切って水平方向807に溶射システム805(例えば、プラズマ溶射システム)を移動することによって、45度の角度で溶射(又はコーティング)され、これによって溶射は多方向809となる。ここで、物品806の前面820は、物品806が半導体製造用のチャンバ内に設置されたときに、プラズマ溶射システムと対向する物品806の面である。第二に、ブロック802に示されるように、物品806の外径822が、物品805を回転させながら、物品806のそばで垂直方向808に溶射システム805を移動することによって、溶射(又はコーティング)され、これによって溶射は単一方向810となる。第三に、ブロック803に示されるように、物品806が反転された後、物品806の裏面824が、物品806を回転させながら、物品806を横切って水平方向807に溶射システム805を移動することによって、45度の角度で溶射(又はコーティング)され、これによって溶射は多方向809となる。第四に、ブロック804で、物品806の外径822が、物品806を回転させながら、物品806のそばで垂直方向808に溶射システム805を移動することによって、溶射(又はコーティング)され、これによって溶射は単一方向810となる。
一例では、コーティングは、最大厚さ約8ミルまで可能である。しかしながら、コーティングは、各々の面に対して単一のコーティング操作で厚く塗布されるので、適切に固着されないコーティングが、物品の縁部に沿って堆積する可能性があり、これによってコーティング粒子が、製造中に剥がれてウェハ上の粒子性能を低下させる可能性がある。更に、裏面は、(エッチング中にプラズマに面する)前面の後でコーティングされるので、裏面のコーティング由来の循環する粒子は、物品の前面上のコーティングに緩く付着し、これによってコーティング粒子が製造時に剥がれて、ウェハ上の粒子性能もまた低下させる可能性がある。
図9は、一実施形態に係る物品(例えば、プラズマスクリーン)を溶射する方法900を示す。一実施形態に係る溶射シーケンス(例えば、継続的な改善プロセス(CIP)#1)において、図8のブロック803に示されるように操作902では、物品806の裏面824が、物品806を回転させながら、物品806を横切って物品806の回転軸に垂直807(例えば、水平方向)に溶射システム805(例えば、プラズマ溶射銃)を移動することによって、45度の角度で溶射(又はコーティング)され、これによって溶射は多方向809となる。一実施形態では、溶射システムは、静止しており、物品が移動する。
ブロック802に示されるように操作904では、物品806の外径822が、物品806を回転させながら、物品806のそばで物品の回転軸に平行808(例えば、垂直方向)に溶射銃805を移動することによって、溶射(又はコーティング)され、これによって溶射は単一方向810となる。一実施形態では、溶射システムは、静止しており、物品が移動する。
ブロック801に示されるように操作906では、物品806は反転され、物品806の前面820が、物品806を回転させながら、物品806を横切って物品806の回転軸に垂直807(例えば、水平方向)に溶射システム805を移動することによって、45度の角度で溶射(又はコーティング)され、これによって溶射は多方向809となる。一実施形態では、溶射システムは、静止しており、物品が移動する。
ブロック802に示されるように操作908では、物品806の外径が再び、物品806を回転させながら、物品806のそばで物品の回転軸に平行808(例えば、垂直方向)に溶射システム805を移動することによって、溶射(又はコーティング)され、これによって溶射は単一方向810となる。
ブロック909では、ブロック902〜908のシーケンスを繰り返すか否かを判断する。一実施形態では、シーケンスは、1回繰り返される。シーケンスが繰り返される場合は、プロセスはブロック902に戻り、溶射は、物品の反転、操作902、操作904、物品の反転、操作906、及び操作908のシーケンス内で継続する。ブロック902〜908の操作がブロック909で繰り返されない場合は、溶射は、物品の反転、操作910での物品の裏面のコーティング、物品の反転、及び操作912での物品の前面のコーティングのシーケンス内で継続する。
外径は、前面及び裏面よりも少ない回数溶射されるので、前面及び裏面上のコーティングは、外形上のコーティングよりも厚く、これによって物品の縁部では、コーティング堆積がより少ない。更に、コーティングは複数の層で塗布されるので、物品の縁部でのコーティングの堆積は更にされにくい。粒子が剥がれる可能性のある物品の縁部での不適切に付着したコーティングがより少ないので、物品の縁部における堆積の減少は、粒子性能を向上させる。更に、(エッチング時にプラズマに面する)前面は、最後にコーティングされるので、コーティング面は、剥がれて粒子性能を低下させる可能性のある不適切に付着した他の面のコーティング由来の循環粒子を有する可能性が低い。
一実施形態に係る別の一溶射シーケンス(例えば、CIP#2)は、操作902、操作904、操作906、物品806の反転、及び操作908を含む。ここで、操作902、904、906、及び908は、繰り返されない。むしろ、溶射は、物品806の反転、操作902、操作904、物品806の反転、及び操作906のシーケンス内で継続することができる。次に、溶射は、物品806の反転、操作902、物品806の反転、及び操作906のシーケンス内で継続する。物品の外径は、CIP#2において、CIP#1よりも更に少ない回数コーティングされるので、CIP#2は、CIP#1とは異なる。
外径は、一実施形態では、表面と裏面よりも少ない回数溶射されるので、一実施形態では、前面及び裏面上のコーティングは、外径上のコーティングよりも厚くすることができ、これによって物品の縁部でのコーティングの堆積は少ない。更に、コーティングは複数の層で塗布されるので、物品の縁部でのコーティングの堆積はまたされにくい。物品の縁部での堆積の減少は、粒子が剥がれる可能性のある物品の縁部での不適切に付着したコーティングがより少ないので、粒子性能を向上させる。更に、(エッチング時にプラズマに面する)前面は、最後にコーティングされるので、コーティング面は、剥がれてウェハ上の粒子性能を低下させる可能性のある不適切に付着した他の面のコーティング由来の循環粒子を有する可能性が低い。
図4を再び参照すると、ブロック408では、プラズマコーティングの特性評価を実行することができる。これは、表面形態、粗さ、空孔率を決定すること、表面ノジュールを識別すること等を含むことができる。例えば、図10は、3つの倍率1000倍、4000倍、及び10000倍でのコーティングの3例、第1プロトタイプ、CIP#1、及びCIP#2のSEM図を示す。この例では、CIP#2は、より低い粗さ及びより少ない表面ノジュールを有するより好適な表面形態を示す。また、図11は、1インチのサンプルに沿ってノジュール数が2000倍の倍率でカウントされたコーティング例の断面のSEM図を示す。この例では、CIP#2は、より少ない表面ノジュールを示す。
前述の説明は、本開示のいくつかの実施形態の良好な理解を提供するために、具体的なシステム、構成要素、方法等の例などの多数の具体的な詳細を説明している。しかしながら、本開示の少なくともいくつかの実施形態は、これらの具体的な詳細なしに実施することができることが当業者には明らかであろう。他の例において、周知の構成要素又は方法は、本発明を不必要に不明瞭にしないために、詳細には説明しないか、単純なブロック図形式で提示されている。したがって、説明された具体的な詳細は、単なる例示である。特定の実装では、これらの例示的な詳細とは異なる場合があるが、依然として本開示の範囲内にあることが理解される。
本明細書全体を通して「1つの実施形態」又は「一実施形態」への参照は、その実施形態に関連して記載された特定の構成、構造、又は特性が少なくとも1つの実施形態に含まれることを意味している。したがって、本明細書を通じて様々な場所における「1つの実施形態では」又は「一実施形態では」という語句の出現は、必ずしも全て同じ実施形態を指すものではない。また、用語「又は」は、排他的な「又は」ではなく包含的な「又は」を意味することを意図している。
本明細書内の本方法の操作が、特定の順序で図示され説明されているが、特定の操作を逆の順序で行うように、又は特定の操作を少なくとも部分的に他の操作と同時に実行するように、各方法の操作の順序を変更することができる。別の一実施形態では、異なる操作の命令又は副操作は、断続的及び/又は交互の方法であることができる。
なお、上記の説明は例示であり、限定的ではないことを意図していることが理解されるべきである。上記の説明を読み理解することにより、多くの他の実施形態が当業者にとって明らかとなるであろう。したがって、本発明の範囲は、添付の特許請求の範囲を、そのような特許請求の範囲が権利を与える均等物の全範囲と共に参照して決定されるべきである。

Claims (12)

  1. Al、Al、又はSiCのうちの少なくとも1つの本体と、
    本体上のセラミックスコーティングであって、セラミックスコーティングは、約50モル%〜約75モル%の範囲内のYと、約10モル%〜約30モル%の範囲内のZrOと、約10モル%〜約30モル%の範囲内のAlとを含む化合物を含み、1インチ当たりのノジュールの数が約30ノジュール〜約45ノジュールの範囲内であり、空孔率が約2.5%〜約3.2%の範囲内である半導体処理チャンバ用物品。
  2. セラミックスコーティングの粗さが約220マイクロインチ〜約250マイクロインチである請求項1記載の物品。
  3. セラミックスコーティングは、本体の外径上よりも本体の表面及び裏面上でより厚い請求項1記載の物品。
  4. セラミックスコーティングは、約62.93モル%のYと、約23.13モル%のZrOと、約13.94%のAlを含む請求項1記載の物品。
  5. 約90A〜約150Aの間の範囲内のプラズマ電流を有するプラズマ溶射システムを提供する工程と、
    約60mm〜約120mmの間の本体からの距離にプラズマ溶射システムのトーチスタンドオフを位置決めする工程と、
    約80L/分〜約130L/分の間の速度でプラズマ溶射システムを介してガスを流す工程と、
    セラミックスコーティングによって本体をプラズマ溶射コーティングする工程を含み、セラミックスコーティングは、YAl(YAM)の化合物と、Y2−XZrの固溶体を含む方法。
  6. プラズマ溶射システムのトーチスタンドオフは、約60mm〜約120mmの間の本体からの距離に位置決めされる請求項記載の方法。
  7. ガスは、約90L/分〜約130L/分の間の速度でプラズマ溶射システムを通って流れる請求項記載の方法。
  8. 酸化イットリウムを含む粉末をプラズマ溶射システム内に供給する工程を含み、粉末の約50%は、約15ミクロン未満の直径を有する請求項記載の方法。
  9. プラズマ溶射コーティングする工程は、
    本体の裏面にコーティングする工程であって、プラズマ溶射システムを本体の回転軸に垂直に移動しながら、回転体に45度の角度でコーティングを施し、裏面コーティングは約2ミルの厚さを有する工程と、
    本体の外径をコーティングする工程であって、プラズマ溶射システムを本体の回転軸と平行に移動しながら、回転体に水平にコーティングを施し、外径コーティングは約2ミルの厚さで施される工程と、
    本体の前面にコーティングする工程であって、本体はひっくり返され、プラズマ溶射システムを本体の回転軸に垂直に移動しながら、回転体に約45度でコーティングを施し、前面コーティングは約2ミルの厚さで施される工程とによって1以上のコーティングを施す工程を含む請求項記載の方法。
  10. 本体は、還元化学で使用するための半導体処理チャンバ用のプラズマスクリーンを含み、プラズマスクリーンは、Al、Al、又はSiCのうちの少なくとも1つを含む請求項記載の方法。
  11. セラミックスコーティングは、本体の外径上よりも本体の表面及び裏面上でより厚い請求項5記載の方法。
  12. セラミックスコーティングのノジュール数は、1インチ当たり約30ノジュール〜約45ノジュールであり、セラミックスコーティングの粗さは、約220マイクロインチ〜約250マイクロインチであり、セラミックスコーティングの断面空孔率は、約2.5%〜約3.2%である請求項5記載の方法。
JP2015524461A 2012-07-27 2013-07-26 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料 Active JP6275713B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261676818P 2012-07-27 2012-07-27
US61/676,818 2012-07-27
US13/830,608 US9343289B2 (en) 2012-07-27 2013-03-14 Chemistry compatible coating material for advanced device on-wafer particle performance
US13/830,608 2013-03-14
PCT/US2013/052195 WO2014018830A1 (en) 2012-07-27 2013-07-26 Chemistry compatible coating material for advanced device on-wafer particle performance

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2018001685A Division JP6640250B2 (ja) 2012-07-27 2018-01-10 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料

Publications (2)

Publication Number Publication Date
JP2015530737A JP2015530737A (ja) 2015-10-15
JP6275713B2 true JP6275713B2 (ja) 2018-02-07

Family

ID=49995164

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2015524461A Active JP6275713B2 (ja) 2012-07-27 2013-07-26 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料
JP2018001685A Active JP6640250B2 (ja) 2012-07-27 2018-01-10 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料
JP2019234013A Active JP7035005B2 (ja) 2012-07-27 2019-12-25 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2018001685A Active JP6640250B2 (ja) 2012-07-27 2018-01-10 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料
JP2019234013A Active JP7035005B2 (ja) 2012-07-27 2019-12-25 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料

Country Status (6)

Country Link
US (3) US9343289B2 (ja)
JP (3) JP6275713B2 (ja)
KR (4) KR101720094B1 (ja)
CN (2) CN107516645B (ja)
TW (3) TWI661480B (ja)
WO (1) WO2014018830A1 (ja)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) * 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
WO2014189622A1 (en) * 2013-05-23 2014-11-27 Applied Materials, Inc. A coated liner assembly for a semiconductor processing chamber
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
TWI722986B (zh) * 2014-05-16 2021-04-01 美商應用材料股份有限公司 使用相和應力控制的電漿噴灑塗佈設計
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
JP2016065302A (ja) * 2014-09-17 2016-04-28 東京エレクトロン株式会社 プラズマ処理装置用の部品、及び部品の製造方法
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9209016B1 (en) * 2014-10-14 2015-12-08 Macronix International Co., Ltd. Coating method and coating system
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160254125A1 (en) * 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017142090A1 (ja) 2016-02-19 2017-08-24 新日鐵住金株式会社 セラミックス積層体、セラミックス絶縁基板、及びセラミックス積層体の製造方法
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
KR20180071695A (ko) * 2016-12-20 2018-06-28 주식회사 티씨케이 층간 경계를 덮는 증착층을 포함하는 반도체 제조용 부품 및 그 제조방법
JP6723659B2 (ja) * 2017-01-12 2020-07-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
KR20200039827A (ko) * 2017-09-11 2020-04-16 어플라이드 머티어리얼스, 인코포레이티드 반응성 가스 전구체를 사용한 프로세싱 챔버로부터의 하이-k 막들의 선택적 인-시튜 세정
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11114330B2 (en) 2018-08-24 2021-09-07 Axcelis Technologies, Inc. Substrate support having customizable and replaceable features for enhanced backside contamination performance
CN208835019U (zh) * 2018-11-12 2019-05-07 江苏鲁汶仪器有限公司 一种反应腔内衬
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
CN109825827A (zh) * 2019-02-22 2019-05-31 沈阳富创精密设备有限公司 一种ic装备等离子体刻蚀腔防护涂层的制备方法
WO2020179539A1 (ja) * 2019-03-01 2020-09-10 日本発條株式会社 ステージ、およびステージの作製方法
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
CN111424273A (zh) * 2020-03-30 2020-07-17 沈阳富创精密设备有限公司 一种制备高洁净度涂层的方法
CN113185268B (zh) * 2021-04-29 2022-04-22 湖南大学 一种氧化铝陶瓷材料的制备方法及氧化铝陶瓷基片

Family Cites Families (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
JPS6038222B2 (ja) * 1979-11-30 1985-08-30 株式会社 サト−セン 鉄鋼用連続鋳造鋳型
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4642440A (en) 1984-11-13 1987-02-10 Schnackel Jay F Semi-transferred arc in a liquid stabilized plasma generator and method for utilizing the same
US4704299A (en) 1985-11-06 1987-11-03 Battelle Memorial Institute Process for low temperature curing of sol-gel thin films
KR910007382B1 (ko) * 1987-08-07 1991-09-25 가부시기가이샤 히다찌세이사꾸쇼 초전도 재료 및 초전도 박막의 제조방법
CN1036286A (zh) 1988-02-24 1989-10-11 珀金·埃莱姆公司 超导陶瓷的次大气压等离子体喷涂
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US5679167A (en) 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
WO1996011288A1 (en) 1994-10-05 1996-04-18 United Technologies Corporation Multiple nanolayer coating system
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5626923A (en) 1995-09-19 1997-05-06 Mcdonnell Douglas Corporation Method of applying ceramic coating compositions to ceramic or metallic substrate
US5766693A (en) * 1995-10-06 1998-06-16 Ford Global Technologies, Inc. Method of depositing composite metal coatings containing low friction oxides
WO1997039607A1 (fr) 1996-04-12 1997-10-23 Hitachi, Ltd. Dispositif de traitement au plasma
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
JP2000057981A (ja) * 1998-06-02 2000-02-25 Toshiba Corp 熱輻射部材およびこれを用いた回転陽極型x線管、並びにそれらの製造方法
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
DE60045384D1 (de) 1999-09-29 2011-01-27 Tokyo Electron Ltd Mehrzonenwiderstandsheizung
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
EP1193233A1 (en) 2000-02-07 2002-04-03 Ibiden Co., Ltd. Ceramic substrate for semiconductor production/inspection device
JP2001284328A (ja) * 2000-03-31 2001-10-12 Taiheiyo Cement Corp セラミック部品
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
CA2306941A1 (en) 2000-04-27 2001-10-27 Standard Aero Ltd. Multilayer thermal barrier coatings
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
MXPA02010719A (es) 2000-05-02 2004-07-30 Univ Johns Hopkins Metodo de elaboracion de hoja reactiva de capas multiples, y producto resultante.
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
DE60127035T2 (de) 2000-06-29 2007-11-08 Shin-Etsu Chemical Co., Ltd. Thermisches Sprühbeschichtungsverfahren und Pulver aus Oxyden der seltenen Erden dafür
JP3672833B2 (ja) 2000-06-29 2005-07-20 信越化学工業株式会社 溶射粉及び溶射被膜
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP4688307B2 (ja) 2000-07-11 2011-05-25 コバレントマテリアル株式会社 半導体製造装置用耐プラズマ性部材
JP2002106360A (ja) 2000-09-29 2002-04-10 Toshiba Corp ガスタービン用部品および当該部品を備えたガスタービン
JP4644343B2 (ja) * 2000-09-29 2011-03-02 株式会社アルバック 真空処理室用表面構造
WO2002040732A1 (en) 2000-11-15 2002-05-23 G.T. Equipment Technologies Inc. A protective layer for quartz crucibles used for silicon crystallization
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
US6915964B2 (en) 2001-04-24 2005-07-12 Innovative Technology, Inc. System and process for solid-state deposition and consolidation of high velocity powder particles using thermal plastic deformation
TWI246873B (en) 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
WO2003104161A2 (en) 2001-08-02 2003-12-18 3M Innovative Properties Company Alumina-yttria-zirconium oxide/hafnium oxide materials, and methods of making and using the same
JP4903322B2 (ja) * 2001-08-20 2012-03-28 株式会社日本セラテック 酸化イットリウム質部材
JP5132859B2 (ja) 2001-08-24 2013-01-30 ステラケミファ株式会社 多成分を有するガラス基板用の微細加工表面処理液
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6592948B1 (en) 2002-01-11 2003-07-15 General Electric Company Method for masking selected regions of a substrate
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
JP4153708B2 (ja) 2002-03-12 2008-09-24 東京エレクトロン株式会社 エッチング方法
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
US20030232139A1 (en) 2002-06-13 2003-12-18 Detura Frank Anthony Shield and method for spraying coating on a surface
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US7507481B2 (en) 2002-11-20 2009-03-24 Shin-Etsu Chemical Co., Ltd. Heat resistant coated member, making method, and treatment using the same
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
US6753269B1 (en) 2003-05-08 2004-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for low k dielectric deposition
US7510641B2 (en) 2003-07-21 2009-03-31 Los Alamos National Security, Llc High current density electropolishing in the preparation of highly smooth substrate tapes for coated conductors
US7658816B2 (en) 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
WO2005029564A1 (ja) 2003-09-16 2005-03-31 Shin-Etsu Quartz Products Co., Ltd. プラズマエッチング装置用部材及びその製造方法
US20050056056A1 (en) 2003-09-16 2005-03-17 Wong Marvin Glenn Healing micro cracks in a substrate
JP4604640B2 (ja) * 2003-10-17 2011-01-05 東ソー株式会社 真空装置用部品及びその製造方法並びにそれを用いた装置
EP1524682B1 (en) * 2003-10-17 2011-10-05 Tosoh Corporation Component for vacuum apparatus, production method thereof and apparatus using the same
EP1690845A4 (en) 2003-10-31 2009-04-01 Tokuyama Corp ASSEMBLED ARTICLE BASED ON ALUMINUM NITRIDE AND PROCESS FOR PRODUCING THE SAME
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4443976B2 (ja) 2004-03-30 2010-03-31 忠弘 大見 セラミックスの洗浄方法および高清浄性セラミックス
CN1938452A (zh) * 2004-03-31 2007-03-28 东陶机器株式会社 使用气溶胶制造被膜的方法、用于该方法的微粒、以及被膜和复合材料
US7618769B2 (en) * 2004-06-07 2009-11-17 Applied Materials, Inc. Textured chamber surface
JP2006027012A (ja) 2004-07-14 2006-02-02 Pioneer Electronic Corp 脱泡方法及びこれを用いた脱泡装置
US20060068189A1 (en) 2004-09-27 2006-03-30 Derek Raybould Method of forming stabilized plasma-sprayed thermal barrier coatings
AU2005333196B2 (en) 2004-10-01 2009-10-01 American Superconductor Corp. Thick superconductor films with improved performance
US8058186B2 (en) 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
JP2006213936A (ja) * 2005-02-01 2006-08-17 Osaka Prefecture 金属基材用保護皮膜、その形成方法及び保護皮膜付き金属基材
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
EP1890983B1 (en) 2005-05-31 2012-12-12 Corning Incorporated Aluminum titanate ceramic forming batch mixtures and green bodies including pore former combinations and methods of manufacturing and firing same
JP4813115B2 (ja) 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
JP2007126712A (ja) * 2005-11-02 2007-05-24 Fujimi Inc 溶射用粉末及び溶射皮膜の形成方法
US20070128862A1 (en) 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7622195B2 (en) 2006-01-10 2009-11-24 United Technologies Corporation Thermal barrier coating compositions, processes for applying same and articles coated with same
US7648782B2 (en) 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
ES2373144T3 (es) 2006-05-12 2012-01-31 Fundacion Inasmet Procedimiento de obtención de recubrimientos cerámicos y recubrimientos cerámicos obtenidos.
KR100939256B1 (ko) * 2006-06-21 2010-01-29 한국과학기술연구원 반도체 제조 장비용 열용사 코팅물질의 제조방법
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US20080090034A1 (en) 2006-09-18 2008-04-17 Harrison Daniel J Colored glass frit
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US7919722B2 (en) 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
MX2009009745A (es) 2007-03-12 2009-09-23 Saint Gobain Ceramics Elementos de ceramica de alta resistencia y metodos para hacer y usar los mismos.
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
CN103102157A (zh) * 2007-04-27 2013-05-15 应用材料公司 降低暴露于含卤素等离子体表面的腐蚀速率的装置和方法
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP5047741B2 (ja) * 2007-09-13 2012-10-10 コバレントマテリアル株式会社 耐プラズマ性セラミックス溶射膜
JP2009068067A (ja) * 2007-09-13 2009-04-02 Covalent Materials Corp 耐プラズマ性セラミックス溶射膜
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
CN101239771A (zh) * 2008-03-14 2008-08-13 清华大学 一种水源热泵与污泥厌氧消化集成方法及系统
CA2658210A1 (en) 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
US8546284B2 (en) * 2008-05-07 2013-10-01 Council Of Scientific & Industrial Research Process for the production of plasma sprayable yttria stabilized zirconia (YSZ) and plasma sprayable YSZ powder produced thereby
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20090297718A1 (en) 2008-05-29 2009-12-03 General Electric Company Methods of fabricating environmental barrier coatings for silicon based substrates
JP5537001B2 (ja) 2008-08-20 2014-07-02 株式会社アルバック 表面処理セラミックス部材、その製造方法および真空処理装置
US7929269B2 (en) 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
JP5545792B2 (ja) 2008-10-31 2014-07-09 株式会社日本セラテック 耐食性部材
US20100272982A1 (en) * 2008-11-04 2010-10-28 Graeme Dickinson Thermal spray coatings for semiconductor applications
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR101750841B1 (ko) * 2009-02-05 2017-06-26 오엘리콘 멧코 아게, 볼렌 기재 표면의 코팅 또는 처리를 위한 플라즈마 코팅 시스템 및 그 방법
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US8444737B2 (en) 2009-02-27 2013-05-21 Corning Incorporated Ceramic structures and methods of making ceramic structures
JP5001323B2 (ja) 2009-03-27 2012-08-15 トーカロ株式会社 白色酸化イットリウム溶射皮膜表面の改質方法および酸化イットリウム溶射皮膜被覆部材
WO2010128572A1 (ja) 2009-05-08 2010-11-11 有限会社渕田ナノ技研 ジルコニア膜の成膜方法
KR101123719B1 (ko) 2009-06-05 2012-03-15 한국세라믹기술원 내플라즈마성 전자빔증착 세라믹 피막 부재
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5527884B2 (ja) * 2009-12-28 2014-06-25 コバレントマテリアル株式会社 溶射用粉末
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
KR101221925B1 (ko) 2010-04-22 2013-01-14 한국세라믹기술원 플라즈마 저항성 세라믹 피막 및 그 제조 방법
CN102296263B (zh) 2010-06-25 2013-04-24 中国科学院微电子研究所 等离子体刻蚀工艺腔室内表面的改性处理方法
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
WO2012005977A1 (en) 2010-06-29 2012-01-12 Los Alamos National Security, Llc Solution deposition planarization method
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US20120100299A1 (en) 2010-10-25 2012-04-26 United Technologies Corporation Thermal spray coating process for compressor shafts
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
WO2012166256A1 (en) 2011-06-02 2012-12-06 Applied Materials, Inc. Electrostatic chuck aln dielectric repair
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
JP5496992B2 (ja) 2011-12-13 2014-05-21 中国電力株式会社 プラズマ溶射装置及びその制御方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology

Also Published As

Publication number Publication date
JP2018076600A (ja) 2018-05-17
CN107516645A (zh) 2017-12-26
CN104704606A (zh) 2015-06-10
WO2014018830A1 (en) 2014-01-30
JP2020073725A (ja) 2020-05-14
TW201828353A (zh) 2018-08-01
KR102140194B1 (ko) 2020-07-31
US11587771B2 (en) 2023-02-21
TWI661480B (zh) 2019-06-01
KR20170104668A (ko) 2017-09-15
US10020170B2 (en) 2018-07-10
TWI592385B (zh) 2017-07-21
KR102029885B1 (ko) 2019-10-08
KR20150046073A (ko) 2015-04-29
KR20170034938A (ko) 2017-03-29
TW201410639A (zh) 2014-03-16
TW201732917A (zh) 2017-09-16
CN104704606B (zh) 2017-09-01
TWI624868B (zh) 2018-05-21
JP7035005B2 (ja) 2022-03-14
JP2015530737A (ja) 2015-10-15
US20160211121A1 (en) 2016-07-21
KR101897594B1 (ko) 2018-09-12
KR20190114040A (ko) 2019-10-08
CN107516645B (zh) 2020-06-23
US20180269039A1 (en) 2018-09-20
JP6640250B2 (ja) 2020-02-05
US9343289B2 (en) 2016-05-17
KR101720094B1 (ko) 2017-03-27
US20140030486A1 (en) 2014-01-30

Similar Documents

Publication Publication Date Title
JP7035005B2 (ja) 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料
US11578398B2 (en) Plasma spray coating design using phase and stress control
JP2015522710A (ja) 重要チャンバコンポーネント用プラズマ溶射プロセスの強化
TWI722986B (zh) 使用相和應力控制的電漿噴灑塗佈設計

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160721

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170424

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170502

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170707

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171219

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180110

R150 Certificate of patent or registration of utility model

Ref document number: 6275713

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250