TWI590451B - 鰭式場效電晶體結構及其形成方法 - Google Patents
鰭式場效電晶體結構及其形成方法 Download PDFInfo
- Publication number
- TWI590451B TWI590451B TW104129158A TW104129158A TWI590451B TW I590451 B TWI590451 B TW I590451B TW 104129158 A TW104129158 A TW 104129158A TW 104129158 A TW104129158 A TW 104129158A TW I590451 B TWI590451 B TW I590451B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- gate
- layers
- superlattice
- source
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims description 71
- 238000005530 etching Methods 0.000 claims description 100
- 239000000463 material Substances 0.000 claims description 75
- 239000000758 substrate Substances 0.000 claims description 71
- 239000004065 semiconductor Substances 0.000 claims description 59
- 230000005669 field effect Effects 0.000 claims description 24
- 239000013078 crystal Substances 0.000 claims description 19
- 239000000126 substance Substances 0.000 claims description 4
- 239000010410 layer Substances 0.000 description 399
- 230000008569 process Effects 0.000 description 46
- 239000011810 insulating material Substances 0.000 description 21
- 238000005229 chemical vapour deposition Methods 0.000 description 20
- 239000012535 impurity Substances 0.000 description 20
- 229920002120 photoresistant polymer Polymers 0.000 description 16
- 239000000203 mixture Substances 0.000 description 12
- 239000007789 gas Substances 0.000 description 10
- 238000002513 implantation Methods 0.000 description 10
- 230000000295 complement effect Effects 0.000 description 9
- 238000010586 diagram Methods 0.000 description 9
- 239000010408 film Substances 0.000 description 9
- 238000004519 manufacturing process Methods 0.000 description 9
- 125000006850 spacer group Chemical group 0.000 description 8
- 238000000231 atomic layer deposition Methods 0.000 description 7
- 239000002178 crystalline material Substances 0.000 description 7
- 230000005684 electric field Effects 0.000 description 7
- 238000005516 engineering process Methods 0.000 description 7
- 238000000137 annealing Methods 0.000 description 6
- 230000003993 interaction Effects 0.000 description 6
- 229910052751 metal Inorganic materials 0.000 description 6
- 239000002184 metal Substances 0.000 description 6
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 6
- 229910052799 carbon Inorganic materials 0.000 description 5
- 229910052732 germanium Inorganic materials 0.000 description 5
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 5
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 4
- -1 GaInP Chemical compound 0.000 description 4
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 4
- 229910052796 boron Inorganic materials 0.000 description 4
- 238000004943 liquid phase epitaxy Methods 0.000 description 4
- 238000000206 photolithography Methods 0.000 description 4
- 238000001020 plasma etching Methods 0.000 description 4
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 4
- 238000000927 vapour-phase epitaxy Methods 0.000 description 4
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 3
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 3
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 3
- 229910000673 Indium arsenide Inorganic materials 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N ammonia Natural products N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 3
- 239000005388 borosilicate glass Substances 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 3
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 3
- 238000001451 molecular beam epitaxy Methods 0.000 description 3
- 239000005365 phosphate glass Substances 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 238000004088 simulation Methods 0.000 description 3
- 229910052715 tantalum Inorganic materials 0.000 description 3
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- MKTJTLRLXTUJCM-UHFFFAOYSA-N azanium;hydrogen peroxide;hydroxide Chemical compound [NH4+].[OH-].OO MKTJTLRLXTUJCM-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 230000015556 catabolic process Effects 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- XEMZLVDIUVCKGL-UHFFFAOYSA-N hydrogen peroxide;sulfuric acid Chemical compound OO.OS(O)(=O)=O XEMZLVDIUVCKGL-UHFFFAOYSA-N 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- TUSDEZXZIZRFGC-UHFFFAOYSA-N 1-O-galloyl-3,6-(R)-HHDP-beta-D-glucose Natural products OC1C(O2)COC(=O)C3=CC(O)=C(O)C(O)=C3C3=C(O)C(O)=C(O)C=C3C(=O)OC1C(O)C2OC(=O)C1=CC(O)=C(O)C(O)=C1 TUSDEZXZIZRFGC-UHFFFAOYSA-N 0.000 description 1
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 239000001263 FEMA 3042 Substances 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- 229910005898 GeSn Inorganic materials 0.000 description 1
- LRBQNJMCXXYXIU-PPKXGCFTSA-N Penta-digallate-beta-D-glucose Natural products OC1=C(O)C(O)=CC(C(=O)OC=2C(=C(O)C=C(C=2)C(=O)OC[C@@H]2[C@H]([C@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)[C@@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)[C@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)O2)OC(=O)C=2C=C(OC(=O)C=3C=C(O)C(O)=C(O)C=3)C(O)=C(O)C=2)O)=C1 LRBQNJMCXXYXIU-PPKXGCFTSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 229910010038 TiAl Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012774 insulation material Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- 229910052745 lead Inorganic materials 0.000 description 1
- 229910052749 magnesium Inorganic materials 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 239000012071 phase Substances 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 239000005360 phosphosilicate glass Substances 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- LRBQNJMCXXYXIU-NRMVVENXSA-N tannic acid Chemical compound OC1=C(O)C(O)=CC(C(=O)OC=2C(=C(O)C=C(C=2)C(=O)OC[C@@H]2[C@H]([C@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)[C@@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)[C@@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)O2)OC(=O)C=2C=C(OC(=O)C=3C=C(O)C(O)=C(O)C=3)C(O)=C(O)C=2)O)=C1 LRBQNJMCXXYXIU-NRMVVENXSA-N 0.000 description 1
- 229940033123 tannic acid Drugs 0.000 description 1
- 235000015523 tannic acid Nutrition 0.000 description 1
- 229920002258 tannic acid Polymers 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- XSOKHXFFCGXDJZ-UHFFFAOYSA-N telluride(2-) Chemical compound [Te-2] XSOKHXFFCGXDJZ-UHFFFAOYSA-N 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/15—Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices
- H01L29/151—Compositional structures
- H01L29/152—Compositional structures with quantum effects only in vertical direction, i.e. layered structures with quantum effects solely resulting from vertical potential variation
- H01L29/155—Comprising only semiconductor materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/30604—Chemical etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823807—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823878—Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/0886—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/08—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/0843—Source or drain regions of field-effect devices
- H01L29/0847—Source or drain regions of field-effect devices of field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/10—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/1025—Channel region of field-effect devices
- H01L29/1029—Channel region of field-effect devices of field-effect transistors
- H01L29/1033—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/10—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/1025—Channel region of field-effect devices
- H01L29/1029—Channel region of field-effect devices of field-effect transistors
- H01L29/1033—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
- H01L29/1054—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6656—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66568—Lateral single gate silicon transistors
- H01L29/66636—Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7849—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/7851—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Ceramic Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Nanotechnology (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
Description
本揭露是有關於半導體裝置,且特別是有關於鰭式場效電晶體結構及其形成方法。
半導體元件使用於各式各樣的電子元件中,例如電腦、手機和其他元件。半導體元件包括形成在半導體晶圓上的積體電路,這些積體電路是透過在半導體晶圓上沈積多種材料薄膜,然後再圖案化材料薄膜而形成的。積體電路包括場效電晶體(FET),例如金屬氧化物半導體(metal oxide semiconductor,MOS)電晶體。
半導體產業的目標之一就是要持續縮小場效電晶體的尺寸並提昇其速度。為了達到這些目標,正在研究開發鰭式場效電晶體(finFET)或多閘極電晶體。然而,伴隨此種新型元件結構和持續縮小finFET的嘗試,新的挑戰亦被發現。
本揭露之一實施例是一種結構。此結構包括通
道區、第一源極/汲極區、第二源極/汲極區、介電層和閘極。通道區包括基材上的第一半導體層。第一半導體層中的每一者和與其相鄰的第一半導體層的分開,而第一半導體層中的每一者具有第一側壁和第二側壁。第一側壁沿著垂直延伸至基材的第一平面對齊,第二側壁沿著垂直延伸至基材的第二平面對齊。第一源極/汲極區和第二源極/汲極區設於通道區的相對兩側。第一半導體層中的每一者自第一源極/汲極區延伸至第二源極/汲極區。介電層和第一半導體層的第一側壁和第二側壁接觸,且介電層延伸進入第一平面和第二平面之間的區域。閘極位於介電層上。
本揭露之一另一實施例是一種結構。此結構包括n型元件和p型元件。n型元件包括第一通道區、第一源極/汲極區、第二源極/汲極區、第一閘極介電質和第一閘極。第一通道區包括第一超晶格,其包括交替設置的第一層和第二層。第一層是第一半導體材料而第二層是第二半導體材料。第一源極/汲極區和第二源極/汲極區設於第一通道區的相對兩側。第一層和第二層中的每一者從第一源極/汲極區延伸至第二源極/汲極區。第一閘極介電質位於第一超晶格上。第一閘極位於第一閘極介電質上。p型元件包括第二通道區、第三源極/汲極區、第四源極/汲極區、第二閘極介電質和第二閘極。第二通道區包括第二超晶格,其包括交替設置的第三層和第四層。第三層是第一半導體材料,而第四層是第二半導體材料。第一半導體材料和第二半導體材料在第二超晶格中的順序和在第一超晶
格中的順序相同。第三源極/汲極區和第四源極/汲極區設於第二通道區的相對兩側。第三層和第四層中的每一者從第三源極/汲極區延伸至第四源極/汲極區。第二閘極介電質位於第二超晶格上。第二閘極位於第二閘極介電質上。
本揭露之一另一實施例是一種方法。所述方法包括形成包括超晶格的鰭片,超晶格包括交替設置的第一層和第二層;在形成鰭片之後,選擇性蝕刻第一層;在選擇性蝕刻第一層之後,在第二層上形成閘極介電質;以及在閘極介電質上形成閘極。
20‧‧‧基材
22‧‧‧緩衝層
24、24a、24b、24c、24d、24e、24f‧‧‧超晶格
26、26a、26b、26c‧‧‧第一層
28、28d、28e、28f‧‧‧第二層
30‧‧‧絕緣材料
100、102、104、106、108、110、120、122、124、126、128、130、132、140、142、144、146、148、150、152、154、156、158、160、162、170‧‧‧步驟
32‧‧‧虛設閘極介電質
34‧‧‧虛設閘極
36‧‧‧閘極間隙壁
38‧‧‧磊晶源極/汲極區
40‧‧‧ILD0
42‧‧‧閘極介電質
44‧‧‧閘極
46‧‧‧ILD1
48‧‧‧接觸
根據以下詳細說明並閱讀附圖最能理解本揭露的態樣。應該注意的是,如同業界的標準作法,許多特徵不是按照比例繪示的。事實上,為了進行清楚討論,許多特徵的尺寸可能經過任意縮放。
圖1A、1B、2A、2B、3A、3B、4A和4B是根據一些實施例製造鰭式場效電晶體(finFET)之鰭片的中間階段的各種三維(3D)示意圖和剖面示意圖,所述鰭片包括超晶格。
圖5是根據一些實施例的製造圖1A-B至圖4A-B之鰭片的製造流程圖。
圖6A、6B、7A、7B、8A、8B、9A和9B是根據一些實施例製造包括超晶格的鰭片的中間階段的各種3D示意圖和剖面示意圖。
圖10是根據一些實施例的製造圖6A-B至圖9A-B之鰭片的製造流程圖。
圖11A、11B、11C、12A、12B、12C、13A、13B、13C、14A、14B、14C、15A、15B、15C、16A、16B、16C、17A、17B、17C、18A、18B和18C是根據一些實施例製造包括超晶格通道區的finFET的中間階段的各種3D示意圖和剖面示意圖。
圖19是根據一些實施例的製造圖11A-C至圖18A-C之鰭式場效電晶體的製造流程圖。
圖20是根據一些實施例的鰭式場效電晶體的另一製造流程圖。
圖21A、21B和21C是根據一些實施例之圖20的第一示範蝕刻步驟之結果的各種3D示意圖和剖面示意圖。
圖22A、22B和22C是根據一些實施例以圖20的流程和圖21A-C的蝕刻步驟形成的結構的各種3D示意圖和剖面示意圖。
圖23A、23B和23C是根據一些實施例之圖20的第二示範蝕刻步驟之結果的各種3D示意圖和剖面示意圖。
圖24A、24B和24C是根據一些實施例以圖20的流程和圖23A-C的蝕刻步驟形成的結構的各種3D示意圖和剖面示意圖。
圖25A、25B和25C是根據一些實施例之圖20的第三示範蝕刻步驟之結果的各種3D示意圖和剖面示意圖。
圖26A、26B和26C是根據一些實施例以圖20的流程和圖25A-C的蝕刻步驟形成的結構的各種3D示意圖和剖面示意圖。
圖27A、27B和27C是根據一些實施例之圖20的第四示範蝕刻步驟之結果的各種3D示意圖和剖面示意圖。
圖28A、28B和28C是根據一些實施例以圖20的流程和圖27A-C的蝕刻步驟形成的結構的各種3D示意圖和剖面示意圖。
圖29A、29B和29C是根據一些實施例之圖20的第五示範蝕刻步驟之結果的各種3D示意圖和剖面示意圖。
圖30A、30B和30C是根據一些實施例以圖20的流程和圖29A-C的蝕刻步驟形成的結構的各種3D示意圖和剖面示意圖。
圖31A、31B和31C是根據一些實施例之圖20的第六示範蝕刻步驟之結果的各種3D示意圖和剖面示意圖。
圖32A、32B和32C是根據一些實施例以圖20的流程和圖31A-C的蝕刻步驟形成的結構的各種3D示意圖和剖面示意圖。
圖33A、33B和33C是根據一些實施例之圖20的第七示範蝕刻步驟之結果的各種3D示意圖和剖面示意圖。
圖34A、34B和34C是根據一些實施例以圖20的流程和圖33A-C的蝕刻步驟形成的結構的各種3D示意圖和剖面示意圖。
圖35A、35B和35C是根據一些實施例之圖20的第八示範蝕刻步驟之結果的各種3D示意圖和剖面示意圖。
圖36A、36B和36C是根據一些實施例以圖20的流程和圖35A-C的蝕刻步驟形成的結構的各種3D示意圖和剖面示意圖。
圖37是根據一些實施例之互補式元件結構的剖面示意圖。
以下揭露內容提供了許多不同的實施例或實例,使本揭露的各種特徵得以實現。下文描述了構件和安排方式的各種實例是為了簡化本揭露的內容。這些內容當然僅是例示而已,其意不在構成限制。例如,在下文中敘述第一特徵形成在第二特徵上或上方,可能包括形成直接接觸的第一和第二特徵的實施例,也可能包括其他特徵形成於第一和第二特徵之間的實施例,此時第一和第二特徵就可能沒有直接接觸。此外,本揭露可在許多實例中重複元件符號及/或字母。此類重複之目的在求簡化和明確,並不表示在文中討論的各種實施例及/或組態之間有何關係。
此外,在本文中,為了易於描述圖式中某個元件或特徵和其他元件或特徵的關係,可能會使用空間相對術語,例如「在…下方」、「在…下」、「低於」、「在…上方」、「高於」和類似用語。這些空間相對術語意欲涵蓋元件使用或操作時的所有不同方向,不只限於圖
式所繪的方向而已。被描述的裝置可以其他方式定向(旋轉90度或定於另一方向),而本文使用的空間相對描述語則可相應地進行解讀。
根據許多實施例,提供鰭式場效電晶體(finFET)和形成鰭式場效電晶體的方法。將說明形成鰭式場效電晶體的中間階段。本文討論的一些實施例是在使用閘極後製(gate-last)製程形成鰭式場效電晶體的脈絡下進行討論的。有些實施例也考慮到用於閘極先製(gate-first)製程的態樣。也將討論實施例的一些變化。本揭露所屬技術領域中具有通常知識者將可輕易理解,其他可作出的修改被認為是落於其他實施例的範疇之內。雖然討論實施例的方法時有特定順序,許多其他實施例的方法可在任意合理的順序下進行,且可包括和本文相比更少或更多的步驟。
有些實施例考慮在製程中同時製造n型元件(如n型finFET)和p型元件(如p型finFET)兩者。因此,有些實施例考慮形成互補式元件。以下圖式只繪示一種元件,但本揭露所屬技術領域中具有通常知識者將輕易理解,製程期間可以形成複數個元件,其中有些元件可以具有不同元件類型。下文將討論形成互補式元件的一些態樣,雖然這些態樣並不一定在圖式中繪示出來。
圖1A、2A、3A和4A是根據一些實施例之製造鰭式場效電晶體之鰭片的中間階段的各種3D示意圖,所述鰭片包括可具有應變層的超晶格,而圖1B、
2B、3B和4B分別是圖1A、2A、3A和4A的3D示意圖的剖面示意圖。圖5是鰭片的製造流程圖。
在圖1A和1B以及圖5的步驟100中,提供基材20。基材20可以是半導體基材(如塊體半導體基材)、覆半導體於絕緣體(SOI)基材、多層或梯度(gradient)基材或類似基材。基材20可包括半導體材料,如元素型半導體(包括Si和Ge);化合物或合金半導體(包括SiC、SiGe、GaAs、GaP、GaAsP、AlInAs、AlGaAs、GaInAs、InAs、GaInP、InP、InSb、及/或GaInAsP);或前述者的組合。基材20可經過摻雜或未經摻雜。在具體實例中,基材20是塊體矽基材,其可為晶圓。
在圖2A和2B的3D示意圖和剖面示意圖以及圖5的步驟102中,緩衝層22形成在基材20上。在一些實施例中,緩衝層22是以磊晶成長的方式形成在基材20上。磊晶成長可以使用金屬有機化學氣相沈積(MOCVD)、分子束磊晶(MBE)、液相磊晶(LPE)、氣相磊晶(VPE)、超高真空化學氣相沈積(Ultra-High-Vacuum Chemical Vapor Deposition,UHVCVD)、類似製程或前述者的組合。緩衝層22可以為第四族材料(如Si、Ge、SiGe、SiGeSn或類似材料)、三五族化合物材料(如GaAs、GaP、GaAsP、AlInAs、AlGaAs、GaInAs、InAs、GaInP、InP、InSb、GaInAsP或類似材料),或與第四族材料和三五
族化合物材料類似的材料。緩衝層22可以是結晶材料,且可以具有和基材20(緩衝層22在其上方成長)的自然晶格常數不匹配(mismatched)的自然晶格常數。緩衝層22可為鬆弛材料(relaxed material),其例如可為塑性鬆弛(plastic relaxation)的結果。緩衝層22可具有均一的組成,或可具有如梯度組成等有變異的組成。梯度組成可以是實質上線性的梯度或階梯式梯度。緩衝層22可具有大於臨界厚度的厚度,使得緩衝層22相距基材20較遠的表面包括具有鬆弛的自然晶格常數的晶體結構。如下文所將討論,在緩衝層22相距基材20較遠的表面的晶格常數可以適於在超晶格24中引發應力。在一些實施例中,如果基材20是結晶材料,且其晶格常數適於在超晶格24中引發應力,則可以省略緩衝層22。前述具體實例中,緩衝層22相距基材20較遠的表面是Si0.75Ge0.25,是鬆弛的,且具有從約50nm到約500nm的厚度,如約100nm。
雖然沒有特地繪示出來,可以在緩衝層22及/或基材20中形成適當的井區。舉例而言,p井區可以形成在基材20的第一區中,之後將會在此區中形成n型元件,如n型finFET;而n井區可以形成在基材20的第二區中,之後將會在此區中形成p型元件,如p型finFET。
舉例而言,要在第一區中形成p井區,可以在基材20的第二區中的緩衝層22上形成光阻。光阻可以經
圖案化以暴露出基材20的第一區。可以透過使用旋塗技術來形成光阻,並使用適當光學微影技術來將其圖案化。一旦光阻被圖案化,可在第一區中進行p型雜質之植入,而光阻可作為罩幕,以實質上阻止p型雜質植入第二區。p型雜質可以是硼、BF2或類似材料,其於第一區之植入濃度等於或小於1018cm-3,如介於約1017cm-3和約1018cm-3之間。在植入之後,例如可透過適當的灰化製程移除光阻。
另外,要在第二區中形成n井區,可以在基材20的第一區中的緩衝層22上形成光阻。光阻可以經過圖案化以暴露出基材20的第二區。可以透過使用旋塗技術來形成光阻,並使用適當光學微影技術來將其圖案化。一旦光阻被圖案化,可在第二區中進行n型雜質之植入,而光阻可作為罩幕,以實質上阻止n型雜質植入第一區。n型雜質可以是磷、砷或類似材料,其於第二區之植入濃度等於或小於1018cm-3,如介於約1017cm-3和約1018cm-3之間。在植入之後,例如可透過適當的灰化製程移除光阻。在植入之後,可進行退火以活化植入的p型和n型雜質。植入可在第一區中形成p井區,在第二區中形成n井區。
在其他實施例中,可以在緩衝層22的磊晶成長期間原位(in situ)形成p井區和n井區。待形成不同井區之不同區域中的緩衝層22可由不同的成長步驟進行磊晶成長,以在不同區域中達到不同的摻雜型態。
另外,在圖2A和2B以及圖5的步驟104中,在緩衝層22上形成超晶格24。超晶格24包括交替設置的第一層26和第二層28。在一些實施例中,第一層26和第二層28中的每一者磊晶成長在其下方層上。磊晶成長可使用MOCVD、MBE、LPE、VPE、UHVCVD、類似製程或前述者的組合。第一層26和第二層28中的每一者可以是第四族材料(如Si、Ge、SiGe、SiGeSn或類似材料)、三五族化合物材料(如GaAs、GaP、GaAsP、AlInAs、AlGaAs、GaInAs、InAs、GaInP、InP、InSb、GaInAsP或類似材料),或和第四族材料和三五族化合物材料類似的材料。超晶格24可包括任意數目的第一層26和任意數目的第二層28。如圖所示,超晶格24具有四個第一層26和四個第二層28。
第一層26和第二層28中的每一者可以是結晶材料。在一些實施例中,第一層26或第二層28其中一族的自然晶格常數大於緩衝層22相距基材20較遠的表面(如果沒有緩衝層22則為基材20)的晶格常數,而第一層26或第二層28中另外一族的自然晶格常數小於緩衝層22相距基材20較遠的表面(如果沒有緩衝層22則為基材20)的晶格常數。因此,在這些實施例中,第一層26是以第一應變形式產生應變,而第二層28是以第二應變形式產生應變,第二應變形式和第一應變形式相反。另外,在這些實施例中,第一層26和第二層28中的每一者可具有小於臨界厚度的厚度,如介於從約3nm到20nm
的範圍之中。
在一些實施例中,第一層26的結晶材料的自然晶格常數大於緩衝層22相距基材20較遠的表面(如果沒有緩衝層22則為基材20)的晶格常數,而第二層28的結晶材料的自然晶格常數小於緩衝層22相距基材20較遠的表面(如果沒有緩衝層22則為基材20)的晶格常數。因此在這些實施例中,第一層26產生壓縮應變,而第二層28產生拉伸應變。
在其他實施例中,第一層26的結晶材料的自然晶格常數小於緩衝層22相距基材20較遠的表面(如果沒有緩衝層22則為基材20)的晶格常數,而第二層28的結晶材料的自然晶格常數大於緩衝層22相距基材20較遠的表面(如果沒有緩衝層22則為基材20)的晶格常數。因此,第一層26產生拉伸應變,而第二層28產生壓縮應變。
在前述具體實例中,各第一層26是Si0.50Ge0.50層,其具有大於緩衝層22的Si0.75Ge0.25的自然晶格常數,且具有小於臨界厚度的厚度,如介於從約3nm到20nm的範圍之中。因此,在具體實例中,第一層26產生壓縮應變。另外在前述具體實例中,各第二層28是Si層,其具有小於緩衝層22的Si0.75Ge0.25的自然晶格常數,且具有小於臨界厚度的厚度,如介於從約3nm到20nm的範圍之中。因此,在具體實例中,第二層28產生拉伸應變。
在其他實施例中,第一層26或第二層28之族群中的一者或兩者,其各自結晶材料的自然晶格常數實質上等於緩衝層22相距基材20較遠的表面(如果沒有緩衝層22則為基材20)的晶格常數。因此,第一層26或第二層28之族群中的一者或兩者可以是鬆弛的。在一些實施例中,各第一層26是鬆弛的,而第二層28產生壓縮或拉伸應變。在其他實施例中,各第二層28是鬆弛的,而第一層26產生壓縮或拉伸應變。在其他實施例中,第一層26和第二層28中的每一者都是鬆弛的。
在一些實施例中,第一層26的材料異於第二層28的材料。材料的差異可產生前述的不同應變,及/或可允許在第一層26和第二層28之間產生蝕刻選擇性,下文將更清楚地說明此點。
在圖3A、3B和圖5的步驟106中,透過在超晶格24中於鰭片的相對側形成溝渠,形成包括超晶格24的鰭片。在一些實施例中,可以透過蝕刻出穿過超晶格24並進入緩衝層22但是沒有完全穿過緩衝層22的溝渠來形成鰭片。在一些實施例中,溝渠可延伸穿過超晶格24和緩衝層22但沒有進入基材20。在一些實施例中,溝渠可延伸穿過超晶格24和緩衝層22並進入基材20。蝕刻可為任一適當蝕刻製程,如反應性離子蝕刻(RIE)、中子束蝕刻(NBE)、類似製程或前述者的組合。蝕刻可以具有異向性。
在圖4A、4B和圖5的步驟108中,以絕緣
材料30填充溝渠。絕緣材料30可以是氧化物(如氧化矽)、氮化物、類似材料或前述者的組合,且其形成可透過高密度電漿化學氣相沈積(HDP-CVD)、流動式化學氣相沈積(FCVD,一種基於CVD的材料沈積法,在遠端電漿系統中進行,且經過後續的固化[curing]製程使材料轉變為另一材料,如氧化物)、類似製程或前述者的組合。也可以使用透過任一適當製程形成的其他絕緣材料。在所繪示的實施例中,絕緣材料30是由FCVD製程形成的氧化矽。一旦絕緣材料30形成之後,可進行退火製程。另外,可使用如化學機械研磨(CMP)的平面化製程移除任何多餘的絕緣材料,並使絕緣材料30的頂表面和鰭片的頂表面形成為共平面。
在圖5的步驟110中(將以圖11A、11B和11C繪示),使絕緣材料30凹陷以使鰭片(例如,超晶格24的一或多層第一層26和第二層28)自相鄰的絕緣區30(可被稱為淺溝渠絕緣區)之間突出。可使用適當蝕刻製程使絕緣區30產生凹陷,如對絕緣材料30的材料具有選擇性者。舉例而言,可使用CERTAS®蝕刻或應用材料之SICONI設備或稀釋氫氟酸(dHF)進行化學氧化物移除。
圖6A、7A、8A和9A是根據其他實施例之製造用於鰭式場效電晶體之鰭片的中間階段的各種3D示意圖,所述鰭片包括可具有應變層的超晶格,而圖6B、7B、8B和9B是圖6A、7A、8A和9A之3D示意圖的
對應剖面示意圖。圖10是製造鰭片的流程圖。
在圖6A和6B和圖10的步驟120中,如前文針對圖1A和1B和圖5的步驟100所討論者,提供基材20。在圖7A和7B和圖10的步驟122,在基材20上形成緩衝層22。如前文針對圖2A和2B和圖5的步驟102所討論者,緩衝層22可由該等製程形成,且可包括該些材料。在此實施例中,緩衝層22具有下部和額外的上部,下部將保留為鰭片的部份,上部則將作為犧牲鰭片,後文將對此進行描述。緩衝層22的下部可具有針對圖2A和2B之緩衝層22所描述的特徵。緩衝層22的上部可具有任意的材料組成,例如和之後形成的下部相距基材20較遠的表面具有相同的組成。雖然沒有特地繪示出來,可以透過例如植入或在成長期間原位(in situ)進行的方式,在緩衝層22及/或基材20中形成適當井區,如前文之討論。
在圖8A、8B和圖10的步驟124中,在緩衝層22中形成溝渠以從緩衝層22形成犧牲鰭片。在一些實施例中,可以透過蝕刻出進入但沒有完全穿過緩衝層22的溝渠形成犧牲鰭片。在一些實施例中,溝渠可以延伸穿過緩衝層22但不進入基材20。在一些實施例中,溝渠可以延伸穿過緩衝層22並進入基材20。蝕刻可以是任一適當蝕刻製程,如RIE、NBE、類似製程或前述者的組合。蝕刻可以具有異向性。
另外,在圖8A、8B和圖10的步驟126中,
以絕緣材料30填充溝渠。絕緣材料30可以是氧化物(如氧化矽)、氮化物、類似材料或前述者的組合,且其形成可透過HDP-CVD、FCVD、類似製程或前述者的組合。也可以使用透過任一適當製程形成的其他絕緣材料。在所繪示的實施例中,絕緣材料30是由FCVD製程形成的氧化矽。一旦絕緣材料30形成之後,可進行退火製程。另外,可使用如CMP的平面化製程移除任何多餘的絕緣材料,並使絕緣材料30的頂表面和犧牲鰭片的頂表面形成為共平面。
另外,在圖8A、8B和圖10的步驟128中,使犧牲鰭片凹陷以在絕緣材料30之間形成凹陷。可使用適當蝕刻製程產生凹陷,如對犧牲鰭片有選擇性者。蝕刻可以是濕式或乾式製程,且可為等向性的。使犧牲鰭片凹陷的結果是,緩衝層22的下部保持在絕緣區30之間,如圖所示。如前文之討論,此凹陷過程形成緩衝層22相距基材20較遠的表面。
在圖9A、9B和圖10的步驟130中,在絕緣材料30之間的凹陷和緩衝層22上形成超晶格24。超晶格24包括交替設置的第一層26和第二層28。第一層26和第二層28中的每一者可以透過前文針對圖2A和2B描述之方法來形成,且可包括前文針對圖2A和2B描述之材料。超晶格24可包括任意數量的第一層26和任意數量的第二層28。如圖所示,超晶格24具有四個第一層26和四個第二層28。如針對圖2A和2B所討論的,第一層26
和第二層28可具有自然晶格常數,且可產生應變或呈鬆弛狀態。透過在絕緣材料30之間的凹陷中形成超晶格24,形成了包括超晶格24的鰭片。如CMP的平面化製程可使絕緣材料30的頂表面和鰭片的頂表面形成為共平面。
在圖10的步驟132中,如圖11A、11B和11C所示,使絕緣材料30凹陷以使鰭片(例如,超晶格24的一或多層第一層26和第二層28)自相鄰的絕緣區30(可稱為淺溝渠絕緣區)之間突出。可使用適當蝕刻製程使絕緣區30產生凹陷,如對絕緣材料30的材料具有選擇性者。舉例而言,可使用CERTAS®蝕刻或應用材料之SICONI設備或稀釋氫氟酸(dHF)進行化學氧化物移除。
本揭露所屬技術領域中具通常知識者將能輕易理解,前述製程只是鰭片如何形成的示例而已。在其他實施例中,可以在基材20的頂表面上形成介電層;蝕刻穿過介電層的溝渠;在溝渠中磊晶成長緩衝層22和超晶格24;讓介電層凹陷,使得至少部份的超晶格24及/或緩衝層22從介電層突出,藉此形成鰭片。
圖11A、12A、13A、14A、15A、16A、17A和18A是根據一些實施例製造鰭式場效電晶體的中間階段的各種3D示意圖,所述鰭式場效電晶體包括超晶格通道區。圖11B、11C、12B、12C、13B、13C、14B、14C、15B、15C、16B、16C、17B、17C、18B和18C
是製造finFET之中間階段的各種剖面示意圖。圖19是製造finFET的流程圖。
在圖11A、11B和11C以及圖19的步驟140中,形成包括超晶格24的鰭片。鰭片可使用前述製程形成,舉例而言,如圖5和10及其對應的結構圖式所示的製程。圖11A是包括超晶格24的鰭片的3D示意圖。圖11A繪示剖面B-B和C-C。剖面B-B繪示於以下圖式中圖號結束於「B」的圖式,而剖面C-C繪示於以下圖式中圖號結束於「C」的圖式。在所繪示的實施例中,超晶格24整體從相鄰的絕緣區30之間突出。在其他實施例中,緩衝層22也可自相鄰的絕緣區30之間突出。另外,在所繪示的實施例中,絕緣區30延伸至基材20,而在其他實施例中,絕緣區30並未完全延伸至基材20,使得有一緩衝層22的連續部份設於絕緣區30和基材20的頂表面之間。在其他實施例中,絕緣區30延伸進入基材20。
在圖12A、12B和12C以及圖19的步驟142中,在鰭片上形成虛設介電層31。虛設介電層31可以例如是氧化矽、氮化矽、其組合或類似材料,且可依據適當技術沈積或熱成長,如CVD、熱氧化法或類似方法。另外在圖12A、12B和12C以及圖19的步驟144,在虛設介電層31上形成虛設閘極層33。例如可透過使用CVD或類似方法將虛設閘極層33沈積在虛設介電層31上,並以例如CMP的方法進行平面化。虛設閘極層33例如可包括多晶矽,但其他具有高蝕刻選擇性的材料也可以使用。
雖然沒有繪示出來,但可在虛設閘極層33上形成罩幕層。例如可透過使用CVD或類似方法在虛設閘極層33上沈積罩幕層。罩幕層例如可包括氮化矽、氮氧化矽、矽氮化碳(silicon carbon nitride)或類似材料。
在圖13A、13B和13C中,若使用罩幕層,則可以使用適當光學微影和蝕刻技術圖案化罩幕層以形成罩幕。另外,在圖19的步驟146,例如可透過使用適當蝕刻技術轉移罩幕的圖案,以圖案化虛設閘極層33和虛設介電層31,藉此分別自虛設閘極層33和虛設介電層31形成虛設閘極34和虛設閘極介電質32。蝕刻可包括適當的異向性蝕刻,如RIE、NBE或類似製程。虛設閘極34覆蓋鰭片的通道區。虛設閘極34也可具有和鰭片的長度方向實質上垂直的長度方向。
雖然沒有特地繪示出來,可進行針對輕摻雜源極/汲極(LDD)區的植入或電漿摻雜(PLAD)。類似於前文討論的植入,如光阻的罩幕可形成在第二區(例如適於p型元件)上,而暴露第一區(例如適於n型元件),而n型雜質可由電漿植入或引入第一區中暴露的鰭片。接著可移除罩幕。接著,如光阻的罩幕可形成在第一區上,而暴露第二區,而p型雜質可由電漿植入或引入第二區中暴露的鰭片。接著可移除罩幕。n型雜質可以是前文討論的任一n型雜質,而p型雜質可以是前文討論的任一p型雜質。輕摻雜源極/汲極區之雜質濃度可介於約1015cm-3至約1016cm-3之間。可使用退火以活化植入的雜質。
另外,在圖13A、13B和13C以及在圖19的步驟148中,可沿著虛設閘極34和虛設閘極介電質32的側壁形成閘極間隙壁36。可透過共形沈積某一材料(如透過CVD或類似製程)並接著對該材料進行異向性蝕刻來形成閘極間隙壁36。閘極間隙壁36的材料可以是氮化矽、矽氮化碳、其組合或類似材料。
在圖14A、14B和14C中,如圖19的步驟150所述,在鰭片的源極/汲極區中形成凹陷,然後如圖19的步驟152所述,在凹陷中形成磊晶源極/汲極區38。凹陷(且因此)和磊晶源極/汲極區38形成在鰭片的源極/汲極區,使得虛設閘極34設於凹陷之間。可使用任意適當的對鰭片(從絕緣區30之間突出的超晶格24的膜層)有選擇性的蝕刻來形成凹陷,該蝕刻可以具異向性。接著在凹陷中磊晶成長磊晶源極/汲極區38。可透過使用MOCVD、MBE、LPE、VPE、類似製程或前述者的組合完成磊晶成長。磊晶源極/汲極區38可包括任一適當材料,如適合元件類型的材料(如n型或p型)。舉例而言,n型元件的磊晶源極/汲極區38可包括矽、SiC、SiCP、SiGeP、SiP、SiGeSnP或類似材料,而p型元件的磊晶源極/汲極區38可包括SiGe、SiGeB、Ge、GeB、GeSn、GeSnB、硼摻雜的三五族化合物材料或類似材料。磊晶源極/汲極區38可具有凸起(raised)自鰭片的各外表面的表面,且可具有切面(facet)。
雖然沒有特地繪示出來,但在互補式元件中,
p型元件的磊晶源極/汲極區38和n型元件的磊晶源極/汲極區38可使用不同材料。舉例而言,基材20的第一區(例如,要形成n型元件之處)可以被第一硬罩幕覆蓋,基材20的第二區(例如,要形成p型元件之處)暴露出來,且在步驟150和152經處理而形成磊晶源極/汲極區38。接著可移除第一硬罩幕而形成第二硬罩幕。第二區可以被第二硬罩幕覆蓋,第一區暴露出來,且在步驟150和152經處理而形成磊晶源極/汲極區38。接著可移除第二硬罩幕。
可利用類似於前文討論之形成輕摻雜源極/汲極區的製程,將摻質植入鰭片的磊晶源極/汲極區38,接著進行退火。源極/汲極區之雜質濃度可介於約1019cm-3和約1021cm-3之間。第一區中之n型元件的源極/汲極區所需的n型雜質可以是前文討論的任一n型雜質,而第二區中之p型元件的源極/汲極區所需的p型雜質可以是前文討論的任一p型雜質。在其他實施例中,磊晶源極/汲極區38可在成長期間經原位摻雜。
在圖15A、15B和15C以及圖19的步驟154中,在鰭片上形成底部層間介電質(ILD0)40。ILD0 40可包括第一層(如蝕刻停止層[ESL]),共形地形成在磊晶源極/汲極區38、閘極間隙壁36、虛設閘極34(或罩幕,若罩幕存在)和絕緣區30上。在一些實施例中,ESL可包括氮化矽、矽氮化碳或類似材料,且可使用原子層沈積(ALD)、化學氣相沈積(CVD)、類似製
程或前述者的組合形成。ILD0 40可另外包括設於第一層上的第二層。ILD0 40的第二層可包括磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、摻硼磷矽酸鹽玻璃(Boron-Doped Phospho-Silicate Glass,BPSG),無摻雜矽酸鹽玻璃(undoped Silicate Glass,USG)或類似材料,且可以適當方法沈積,如CVD、電漿輔助化學氣相沈積(PECVD)、FCVD、類似製程或前述者的組合。
另外,在圖15A、15B和15C和圖19的步驟154中,進行如CMP的平面化製程以使ILD0 40的頂表面和虛設閘極34的頂表面對齊。如果罩幕存在,CMP也可從虛設閘極34上移除罩幕。因此,虛設閘極34的頂表面從ILD0 40中暴露出來。
在圖16A、16B和16C和圖19的步驟156中,以蝕刻步驟移除虛設閘極34和虛設閘極介電質32,形成穿過ILD0 40而至鰭片(例如超晶格24),且由閘極間隙壁36定義的開口。開口暴露出鰭片(超晶格24)的通道區。通道區設於相鄰的一對磊晶源極/汲極區38之間。蝕刻步驟可對虛設閘極34和虛設閘極介電質32的材料具選擇性,且蝕刻可為乾式或濕式蝕刻。蝕刻期間,在虛設閘極34受蝕刻時,虛設閘極介電質32可作為蝕刻停止層。虛設閘極介電質32可在移除虛設閘極34之後受蝕刻。
在圖17A、17B和17C以及圖19的步驟
158中,閘極介電質42和閘極44形成在穿過ILD0 40的開口中。閘極介電質42可包括形成在開口中和鰭片上的界面介電質。界面介電質例如可以是由熱氧化法、ALD、CVD或類似製程形成的氧化物或類似材料。閘極介電質42可另外包括高介電常數(high-k)介電層,其共形地形成在ILD0 40的頂表面上、在開口中沿著閘極間隙壁36的側壁,以及在界面介電質上。高介電常數介電層可具有約大於7.0的k值,且可包括Hf、Al、Zr、La、Mg、Ba、Ti、Pb或其組合的金屬氧化物或矽酸鹽。高介電常數介電層的形成方法可包括ALD、CVD、分子束沈積(Molecular-Beam Deposition,MBD)、類似製程或前述者的組合。其他實施例也考慮到將其他材料用於閘極介電質42,如不具高介電常數之材料。
閘極44形成在閘極介電質42上。閘極44可以是多層結構。舉例而言,閘極44可包括共形地形成在閘極介電質42上的頂蓋層、共形地形成在頂蓋層上的一或多層功函數調整層,以及形成在功函數調整層上且填入開口的含金屬材料(如金屬)。在一實例中,頂蓋層可包括閘極介電質42上的第一子層以及第一子層上的第二子層,第一子層是TiN或類似材料,且使用ALD、CVD或類似製程形成,第二子層是TaN或類似材料,且使用ALD、CVD或類似製程形成。功函數調整層可為TiAl、TiN或類似材料,且使用ALD、CVD或類似製程形成。含金屬材料可為鎢(W)、鋁(Al)、鈷(Co)、銣
(Ru)、其組合或類似材料,且是使用CVD、物理化學氣相沈積(PVD)、類似製程或前述者的組合來沈積。
接著,可進行CMP的平面化製程以移除閘極44和閘極介電質42的多餘部份(多餘部份是指在ILD0 40的頂表面上的部份)。
在圖18A、18B和18C和圖19的步驟160中,上方ILD(ILD1)46沈積在ILD0 40和閘極44上,且在圖19的步驟162,形成接觸48,其穿過ILD1 46和ILD0 40延伸至磊晶源極/汲極區38。ILD1 46由介電材料形成,如PSG、BSG、BPSG、USG或類似材料,且可由任意適當方法沈積,如CVD和PECVD。為接觸48形成穿過ILD1 46和ILD0 40的開口。可使用適當光學微影和蝕刻技術形成開口。在開口中形成襯層(如擴散阻障層、黏著層或類似結構)和導電材料。襯層可包括鈦、氮化鈦、鉭、氮化鉭或類似材料。導電材料可以是銅、銅合金、銀、金、鎢、鋁、鎳或類似材料。可進行如CMP的平面化製程以從ILD1 46的表面移除多餘材料。剩餘的襯層和導電材料在開口中形成接觸48。可進行退火製程以在磊晶源極/汲極區38和接觸48之間的界面分別形成矽化物。
雖然沒有明確繪示出來,本揭露所屬技術領域中具有通常知識者將輕易理解,可在圖18A、18B和18C的結構上進行其他製程步驟。舉例而言,可在ILD1 46上形成各種金屬間介電質(Inter-Metal Dielectrics,
IMD)和其對應的金屬化層。
圖20是根據一些實施例製造鰭式場效電晶體的流程圖。圖20是圖19的流程圖的修正,在步驟156和158之間還包括了步驟170。在蝕刻步驟170前,交替設置的第一層26和第二層28的各側的側壁是垂直對齊的,如圖16C所示。在步驟170,通過穿過ILD0 40且由閘極間隙壁36之側壁定義的開口對超晶格24的通道區進行蝕刻。蝕刻之結果可能為第一層26經蝕刻改性、第二層28經蝕刻改性、第一層26被移除、第二層28被移除,或前述者的組合。
圖21A-C、23A-C、25A-C和27A-C繪示蝕刻第一層26的蝕刻步驟170之不同示範性結果,而圖22A-C、24A-C、26A-C和28A-C繪示的是在針對前一張圖所描述的各蝕刻步驟170之後再經另外處理的結構。圖29A-C、31A-C、33A-C和35A-C繪示蝕刻第二層28的蝕刻步驟170之不同示範性結果,而圖30A-C、32A-C、34A-C和36A-C繪示的是在針對前一張圖所描述的各蝕刻步驟170之後再經另外處理的結構。
雖然沒有特地繪示出來,但可針對互補式元件中的p型元件和n型元件使用不同蝕刻製程。舉例而言,基材20的第一區(例如,要形成n型元件之處)可以被第一光阻覆蓋,而基材20的第二區(例如,要形成p型元件之處)暴露出來且根據步驟170進行蝕刻。接著可移除罩幕,並可形成另一罩幕,如光阻。第二區可以被覆蓋
起來,而第一區暴露出來且根據步驟170進行蝕刻。接著可移除罩幕。
在圖21A、21B和21C中,實質上僅有超晶格24的第一層26在步驟170期間被蝕刻。作為蝕刻步驟170的結果,經蝕刻之第一層26的側壁自各端從第二層28的側壁向內往鰭片偏移。蝕刻產生第一改性超晶格24a。在一些實施例中,如在圖21A、21B和21C所示的蝕刻結果可以來自第一層26和第二層28的材料、側壁的方向以及蝕刻使用的蝕刻劑的共同作用。舉例而言,繼續之前的具體實例,其中第一層26中的每一者為Si0.50Ge0.50層,而第二層28中的每一者為Si層,緩衝層22、第一層26和第二層28磊晶成長在塊體Si基材的(110)表面上,而第一層26和第二層28的側壁是(111)晶面。另外,蝕刻劑可為濕蝕刻劑,如稀釋的氫氧化銨-過氧化氫混合物(APM)、硫酸-過氧化氫混合物(SPM)或類似蝕刻劑,蝕刻劑對第一層26的Si0.50Ge0.50的(111)晶面具選擇性。這些條件可以使得經蝕刻的第一層26a之側壁的Si0.50Ge0.50(111)晶面相對於第二層28的側壁的Si(111)晶面向內偏移。
圖22A、22B和22C繪示的是經過在圖21A、21B和21C所描述的步驟170的蝕刻,且續經處理(如前文討論的步驟158到162)之後的結構。如圖所示,在圖22C,閘極介電質42與第一改性超晶格24a中經蝕刻的側壁是共形的。另外,因為經蝕刻的第一層26a
經過蝕刻,閘極44可至少部份延伸於第二層28的表面之間,或至少部份延伸至更靠近第二層28的表面。
在圖23A、23B和23C中,實質上僅有超晶格24的第一層26在步驟170期間被蝕刻。作為蝕刻步驟170的結果,經蝕刻的第一層26b的側壁產生異向性凹口(notch),例如在其各端沿著晶面從第二層28的側壁向內往鰭片凹陷。蝕刻產生第二改性超晶格24b。在一些實施例中,如在圖23A、23B和23C所示的蝕刻結果可以來自第一層26和第二層28的材料、側壁的方向以及蝕刻使用的蝕刻劑的共同作用。舉例而言,繼續之前的具體實例,其中第一層26中的每一者為Si0.50Ge0.50層,而第二層28中的每一者為Si層,緩衝層22、第一層26和第二層28磊晶成長在塊體Si基材的(001)表面上,而第一層26和第二層28的側壁是(110)晶面。另外,蝕刻劑可為濕蝕刻劑,如稀釋的氫氧化銨-過氧化氫混合物(APM)、硫酸-過氧化氫混合物(SPM)或類似蝕刻劑,蝕刻劑對第一層26的Si0.50Ge0.50的(111)晶面具選擇性。這些條件可以在經蝕刻的第一層26b之Si0.50Ge0.50中形成內凹的(111)晶面,其自第二層28之側壁的Si(110)晶面往內凹陷。
圖24A、24B和24C繪示的是經過在圖23A、23B和23C所描述的步驟170的蝕刻,且續經處理(如前文討論的步驟158到162)之後的結構。如圖所示,在圖24C,閘極介電質42與第二改性超晶格24b中
經蝕刻的側壁是共形的。另外,因為經蝕刻的第一層26b經過蝕刻,閘極44可至少部份延伸於第二層28的表面之間,或至少部份延伸至更靠近第二層28的表面。
在圖25A、25B和25C中,實質上僅有超晶格24的第一層26在步驟170期間被蝕刻。作為蝕刻步驟170的結果,經蝕刻的第一層26c的側壁產生等向性凹口(notch),例如在其各端從第二層28的側壁往鰭片向內凹陷。蝕刻產生第三改性超晶格24c。在一些實施例中,如在圖25A、25B和25C所示的蝕刻結果可以來自第一層26和第二層28的材料以及蝕刻使用的蝕刻劑的共同作用。舉例而言,繼續之前的具體實例,其中第一層26中的每一者為Si0.50Ge0.50層,而第二層28中的每一者為Si層,蝕刻可使用乾式等向性蝕刻,如使用HCl氣體、Cl2氣體及/或NF3氣體或類似氣體的混合物。如本揭露所屬技術領域中具有通常知識者所能輕易理解的,藉由控制蝕刻製程的溫度和壓力,可以調整HCl氣體和Cl2氣體的選擇性。這些條件可以在經蝕刻的第一層26c之Si0.50Ge0.50中形成等向內凹的表面,其自第二層28之Si的側壁表面往內凹陷。
圖26A、26B和26C繪示的是經過在圖25A、25B和25C所描述的步驟170的蝕刻,且續經處理(如前文討論的步驟158到162)之後的結構。如圖所示,在圖26C,閘極介電質42與第三改性超晶格24c中經蝕刻的側壁是共形的。另外,因為經蝕刻的第一層26c
經過蝕刻,閘極44可至少部份延伸於第二層28的表面之間,或至少部份延伸至更靠近第二層28的表面。
在圖27A、27B和27C中,實質上僅有超晶格24的第一層26在步驟170期間被蝕刻。作為蝕刻步驟170的結果,第一層26被移除了。可以使用以上提供的任一蝕刻範例或對第一層26具有選擇性的其他蝕刻,持續較長的時間,直到第一層26被移除為止。
圖28A、28B和28C繪示的是經過在圖27A、27B和27C所描述的步驟170的蝕刻,且續經處理(如前文討論的步驟158到162)之後的結構。如圖所示,在圖28C,閘極介電質42與第二層28是共形的。如圖所示,取決於相鄰的第二層28之間的距離,閘極介電質42可合併於相鄰的第二層28之間。在其他實施例中,閘極介電質42於相鄰的第二層28之間可能不會合併。另外,因為第一層26被移除了,閘極44可至少部份延伸於第二層28的表面之間。根據這些實施例的元件可以是環繞式閘極(gate all-around,GAA)元件。
在圖22C、24C、26C和28C中的各者中,閘極介電質42和閘極44的最終構造可以讓閘極44產生的電場變得更強,影響第二層28中之通道區中的電流。即便在如小於14nm的小型技術節點(technology nodes),這個增強的電場也可以加強短通道之控制。針對不同的蝕刻步驟170,在圖21A-C、23A-C、25A-C和27A-C討論的具體實例以及在圖22A-C、24A-C、
26A-C和28A-C所得的各個結構可適用於n型元件,如n型finFET。
在圖29A、29B和29C中,實質上僅有超晶格24的第二層28在步驟170期間被蝕刻。作為蝕刻步驟170的結果,經蝕刻之第一層28d的側壁自各端從第一層26的側壁向內往鰭片偏移。蝕刻產生第四改性超晶格24d。在一些實施例中,如在圖29A、29B和29C所示的蝕刻結果可以來自第一層26和第二層28的材料、側壁的方向以及蝕刻使用的蝕刻劑的共同作用。舉例而言,繼續之前的具體實例,其中第一層26中的每一者為Si0.50Ge0.50層,而第二層28中的每一者為Si層,緩衝層22、第一層26和第二層28磊晶成長在塊體Si基材的(110)表面上,而第一層26和第二層28的側壁是(111)晶面。另外,蝕刻劑可為濕蝕刻劑,例如是四甲基氫氧化銨(TMAH)、氨水(NH4OH)或類似蝕刻劑,其對第二層28的Si的(111)晶面具選擇性。這些條件可以使得經蝕刻的第二層28d之側壁的Si(111)晶面相對於第一層26的側壁的Si0.50Ge0.50(111)晶面向內偏移。
圖30A、30B和30C繪示的是經過在圖29A、29B和29C所描述的步驟170的蝕刻,且續經處理(如前文討論的步驟158到162)之後的結構。如圖所示,在圖30C,閘極介電質42與第四改性超晶格24d中經蝕刻的側壁是共形的。另外,因為經蝕刻的第二層28d
經過蝕刻,閘極44可至少部份延伸於第一層26的表面之間,或至少部份延伸至更靠近第一層26的表面。
在圖31A、31B和31C中,實質上僅有超晶格24的第二層28在步驟170期間被蝕刻。作為蝕刻步驟170的結果,經蝕刻的第二層28e的側壁產生異向性凹口(notch),例如在其各端沿著晶面從第一層26的側壁向內往鰭片凹陷。蝕刻產生第五改性超晶格24e。在一些實施例中,如在圖31A、31B和31C所示的蝕刻結果可以來自第一層26和第二層28的材料、側壁的方向以及蝕刻使用的蝕刻劑的共同作用。舉例而言,繼續之前的具體實例,其中第一層26中的每一者為Si0.50Ge0.50層,而第二層28中的每一者為Si層,緩衝層22、第一層26和第二層28磊晶成長在塊體Si基材的(001)表面上,而第一層26和第二層28的側壁是(110)晶面。另外,蝕刻劑可為濕蝕刻劑,如TMAH、氨水(NH4OH)或類似蝕刻劑,其對第二層28的Si的(111)晶面具選擇性。這些條件可以在經蝕刻的第二層28e之Si中形成內凹的(111)晶面,其自第一層26之側壁的Si0.50Ge0.50(110)晶面往內凹陷。
圖32A、32B和32C繪示的是經過在圖31A、31B和31C所描述的步驟170的蝕刻,且續經處理(如前文討論的步驟158到162)之後的結構。如圖所示,在圖32C,閘極介電質42與第五改性超晶格24e中經蝕刻的側壁是共形的。另外,因為經蝕刻的第二層28e
經過蝕刻,閘極44可至少部份延伸於第一層26的表面之間,或至少部份延伸至更靠近第一層26的表面。
在圖33A、33B和33C中,實質上僅有超晶格24的第二層28在步驟170期間被蝕刻。作為蝕刻步驟170的結果,經蝕刻的二層28f的側壁產生等向性凹口(notch),在其各端從第一層26的側壁往鰭片向內往鰭片凹陷。蝕刻產生第六改性超晶格24f。在一些實施例中,如在圖33A、33B和33C所示的蝕刻結果可以來自第一層26和第二層28的材料以及蝕刻使用的蝕刻劑的共同作用。舉例而言,繼續之前的具體實例,其中第一層26中的每一者為Si0.50Ge0.50層,而第二層28中的每一者為Si層,蝕刻可使用乾式等向性蝕刻,如使用HCl氣體、Cl2氣體及/或NF3氣體或類似氣體的混合物。如本揭露所屬技術領域中具有通常知識者所能輕易理解的,藉由控制蝕刻製程的溫度和壓力,可以調整HCl氣體和Cl2氣體的選擇性。這些條件可以在經蝕刻的第二層28f之Si中形成等向內凹的表面,其自第一層26之Si0.50Ge0.50的側壁表面往內凹陷。
圖34A、34B和34C繪示的是經過在圖33A、33B和33C所描述的步驟170的蝕刻,且續經處理(如前文討論的步驟158到162)之後的結構。如圖所示,在圖34C,閘極介電質42與第六改性超晶格24f中經蝕刻的側壁是共形的。另外,因為經蝕刻的第二層28f經過蝕刻,閘極44可至少部份延伸於第一層26的表面之
間,或至少部份延伸至更靠近第一層26的表面。
在圖35A、35B和35C中,實質上僅有超晶格24的第二層28在步驟170期間被蝕刻。作為蝕刻步驟170的結果,第二層28被移除了。可以使用以上提供的任一蝕刻範例或對第二層28具有選擇性的其他蝕刻,持續較長的時間,直到第二層28被移除為止。
圖36A、36B和36C繪示的是經過在圖35A、35B和35C所描述的步驟170的蝕刻,且續經處理(如前文討論的步驟158到162)之後的結構。如圖所示,在圖36C,閘極介電質42與第一層26是共形的。如圖所示,取決於相鄰的第一層26之間的距離,閘極介電質42可合併於相鄰的第一層26之間。在其他實施例中,閘極介電質42於相鄰的第一層26之間可能不會合併。另外,因為第二層28被移除了,閘極44可至少部份延伸於第一層26的表面之間。根據這些實施例的元件可以是GAA元件。
在圖30C、32C、34C和36C中的各者中,閘極介電質42和閘極44的最終構造可以讓閘極44產生的電場變得更強,影響第一層26中之通道區中的電流。即便在如小於14nm的小型技術節點,這個增強的電場也可以加強短通道之控制。針對不同的蝕刻步驟170,在圖29A-C、31A-C、33A-C和35A-C討論的具體實例以及在圖30A-C、32A-C、34A-C和36A-C所得的各個結構可適用於p型元件,如p型finFET。
有些實施例構想一種結構(如鰭片),其包括受應力的(stressed)超晶格,其中受應力的超晶格具有應變型態不同(如拉伸與壓縮)的交替設置的材料層。舉例而言,受力的超晶格可包括交替設置的壓縮應變層和拉伸應變層。超晶格可具有任意數量的這些應變層。因為壓縮和拉伸應變層是交替設置的,每層中的應力在結構(如鰭片)之上部不會像單一應變層結構下降得那麼快。舉例而言,在單一應變層鰭片的上部,有些應力可能因彈性鬆弛而損失,在小型技術節點特別如此。但在一些實施例中,相鄰層中相互抵抗的應力可以阻止任一層中發生顯著的彈性鬆弛。尤有甚者,藉由增加這些交替層的數量,可使每一層中的應力下降較少。發明人進行了模擬,其中鬆弛緩衝層、壓縮應變層和拉伸應變層的材料保持不變,而變化鰭片高度,以觀察不同鰭片結構上部的應力。模擬涵蓋了單一應變層鰭片、具有交替設置的壓縮應變層和拉伸應變層的四層超晶格鰭片以及具有交替設置的壓縮應變層和拉伸應變層的八層超晶格鰭片。隨著每一鰭片結構的鰭片之高度增加,具單一應變層的鰭片在鰭片上部的應力下降最顯著,而具八層超晶格的鰭片在鰭片的上部的應力下降量最低。這可以降低布局依賴效應(layout dependence effect),此效應源自於主動區鰭片的布局的縮放。在鰭片的布局縮小至小型技術節點時,單一應變層中的彈性鬆弛可成比例(proportionally)增加。如前文討論的具有交替應變層的受力超晶格可緩和此效應。
藉由自然晶格常數的不匹配和磊晶成長使膜層應變,藉此,有些實施例的通道區可具有適當壓力,其受元件之間距縮放的影響較少。舉例而言,隨著元件縮小到較小技術節點,由習知之位於源極和汲極區的內埋式應力層(stressor)在通道區中誘發的應力會降低。因此,習知結構的通道應力可能受元件的尺寸影響。基於通道區中材料的自然晶格常數的不匹配來誘發應力,有些實施例可排除此缺點,且因此,元件之通道區中的應力可以比較不受元件的間距縮放的影響。
有些實施例構想具有不規則處(irregularities,例如角落)的一種通道區(如在鰭片中者),可增強通道區中的電場,使載子濃度可以提昇。如前所述,針對圖22C、24C、26C、28C、30C、32C、34C和36C討論的實施例可使閘極44產生較強的電場,影響第一層26或第二層28中通道區的電流。在這些實施例中,增強的電場可提高靠近未蝕刻層角落處的載子濃度。這可以改善元件的閘極控制並抑制短通道效應。在前文討論的膜層中的某一族群被蝕刻的一些實施例之模擬中,觀察到小型技術節點(如通道尺寸等於或小於14nm的元件)之導通電流(on-current,Ion)的電流密度相較於傳統鰭片元件有所增加。另外,在一些實施例的其他模擬中,小型技術節點(如通道尺寸等於或小於14nm的元件)的次臨界斜率(subthreshold slope)相較於傳統鰭片元件有所降低。
在這些通道區具有不規則處的實施例中,第一層26和第二層28不一定需要應變。其中一族群可以是鬆弛的而另一者可以產生拉伸應變;其中一族群可以是鬆弛的而另一者可以產生壓縮應變;其中一族群可以產生拉伸應變而另一者可以產生壓縮應變;或兩者都是鬆弛的。傳統上已經知道應力可以提高載子遷移率。在一些前文討論的具有交替設置的壓縮應變層和拉伸應變層的實施例中,蝕刻膜層中的某一族群(例如第一層26或第二層28中的一者)可能導致另一族群的膜層中的應力下降。然而,未蝕刻的膜層族群可維持其應變,以達到較高的載子遷移率。另外,經蝕刻的膜層族群被蝕刻到什麼程度可能影響未蝕刻的膜層族群的應力下降程度。舉例而言,提高經蝕刻的膜層族群被蝕刻的量可能導致未蝕刻的膜層族群中應力下降變多。
另外,一些實施例可以輕易整合到製程和元件中。相同的超晶格結構在製造p型元件和n型元件期間都可以使用。因此,相同的超晶格結構可以輕易整合到互補式元件中。舉例而言,圖37根據一些實施例繪示包括n型元件區200和p型元件區300的互補式元件結構的剖面示意圖。有些實施例構想具有前述結構之任意組合的互補式元件。舉例而言,在具體實例的脈絡(具有Si0.50Ge0.50第一層26和Si第二層28)下,且就同時具有n型元件和p型元件的互補式元件而言,圖18A-C、22A-C、24A-C、26A-C和28A-C的結構中的任一者都
可以在n型元件區200中用作n型元件(如n型finFET),圖18A-C、30A-C、32A-C、34A-C和36A-C的結構中的任一者都可以在p型元件區300中用作p型元件(如p型finFET)。雖然第一層26和第二層28被繪示為同時存在n型元件區200和p型元件區300中,可以從區域200和300的一者中移除或略去第一層26,如圖28A-C所示;及/或可以從區域200和300中的另一者(例如在適當的情況下)移除或略去第二層28,如圖36A-C所示。
本揭露之一實施例是一種結構。所述結構包括通道區、第一源極/汲極區、第二源極/汲極區、介電層和閘極。通道區包括基材上的第一半導體層。第一半導體層中的每一者和第一半導體層的相鄰者分隔開來,而第一半導體層中的每一者具有第一側壁和第二側壁。第一側壁沿著垂直延伸至基材的第一平面對齊,第二側壁沿著垂直延伸至基材的第二平面對齊。第一源極/汲極區和第二源極/汲極區設於通道區的相對兩側。第一半導體層中的每一者自第一源極/汲極區延伸至第二源極/汲極區。介電層和第一半導體層的第一側壁和第二側壁接觸,且介電層延伸進入第一平面和第二平面之間的區域。閘極位於介電層上。
本揭露之另一實施例是一種結構。所述結構包括n型元件和p型元件。n型元件包括第一通道區、第一源極/汲極區、第二源極/汲極區、第一閘極介電質和第一閘極。第一通道區包括第一超晶格,其包括交替設置的第
一層和第二層。第一層是第一半導體材料而第二層是第二半導體材料。第一源極/汲極區和第二源極/汲極區設於第一通道區的相對兩側。第一層和第二層中的每一者從第一源極/汲極區延伸至第二源極/汲極區。第一閘極介電質位於第一超晶格上。第一閘極位於第一閘極介電質上。p型元件包括第二通道區、第三源極/汲極區、第四源極/汲極區、第二閘極介電質和第二閘極。第二通道區包括第二超晶格,其包括交替設置的第三層和第四層。第三層是第一半導體材料,而第四層是第二半導體材料。第一半導體材料和第二半導體材料在第二超晶格中的順序和在第一超晶格中的順序相同。第三源極/汲極區和第四源極/汲極區設於第二通道區的相對兩側。第三層和第四層中的每一者從第三源極/汲極區延伸至第四源極/汲極區。第二閘極介電質位於第二超晶格上。第二閘極位於第二閘極介電質上。
本揭露之另一實施例是一種方法。所述方法包括形成包括超晶格的鰭片,超晶格包括交替設置的第一層和第二層;在形成鰭片之後,選擇性蝕刻第一層;在選擇性蝕刻第一層之後,在第二層上形成閘極介電質;以及在閘極介電質上形成閘極。
前文概述了幾種實施例的特徵,使本揭露所屬技術領域中具有通常知識者更易於理解本揭露的態樣。本揭露所屬技術領域中具有通常知識者應理解,以本揭露作為基礎,他們可以輕易地設計或修改其他製程和結構,以實現和這些本文介紹的實施例相同的目的及/或達到相同的
優點。本揭露所屬技術領域中具有通常知識者也應理解,此類均等架構並不超出本揭露的意旨和範圍,他們可以在本揭露的意旨和範圍內做出各式各樣的改變、取代和變化。
20‧‧‧基材
22‧‧‧緩衝層
26‧‧‧第一層
28‧‧‧第二層
38‧‧‧磊晶源極/汲極區
40‧‧‧ILD0
44‧‧‧閘極
46‧‧‧ILD1
48‧‧‧接觸
Claims (9)
- 一種鰭式場效電晶體結構,包括:一通道區,包括一基材上方的複數個第一半導體層及複數個第二半導體層,該些第一半導體層中的每一者與和其相鄰的第一半導體層分開,該些第一半導體層和該些第二半導體層相互交替且垂直堆疊在一起,該些第一半導體層中的每一者具有一第一側壁和一第二側壁,該些第一側壁沿著垂直延伸至該基板的一第一平面對齊,該些第二側壁沿著垂直延伸至該基板延伸的一第二平面對齊,其中該些第二半導體層中的每一者具有一內凹(notched)側邊,該些內凹側邊於該第一平面和該第二平面之間延伸;一第一源極/汲極區和一第二源極/汲極區,設於該通道區的相對兩側,該些第一半導體層中的每一者從該第一源極/汲極區延伸至該第二源極/汲極區;一介電層,與該些第一半導體層的該些第一側壁和該些第二側壁及該些內凹側邊相接觸並共形,該介電層延伸進入介於該第一平面和該第二平面之間的一區域中;以及一閘極,在該介電層上,並與該介電層相接觸。
- 如申請專利範圍第1項所述之鰭式場效電晶體結構,其中該些第一半導體層中的每一者具有一頂表面和一底表面,該介電層與該些第一半導體層的該些頂表面和該些底表面相接觸。
- 如申請專利範圍第1項所述之鰭式場效 電晶體結構,其中該些第二半導體層中的每一者具有一第三側壁和一第四側壁,該些第三側壁和該些第四側壁設於該第一平面和該第二平面之間。
- 如申請專利範圍第1項所述之鰭式場效電晶體結構,其中該些內凹側邊為一晶面或不為晶面。
- 如申請專利範圍第1項所述之鰭式場效電晶體結構,其中該些第一半導體層中的每一者產生壓縮應變,而該些第二半導體層中的每一者產生拉伸應變,或者該些第一半導體層中的每一者產生拉伸應變,而該些第二半導體層中的每一者產生壓縮應變。
- 一種鰭式場效電晶體結構,包括:一n型元件,包括:一第一通道區,包括一第一超晶格,該第一超晶格包括交替設置的複數個第一層和複數個第二層,該些第一層是一第一半導體材料,該些第二層是一第二半導體材料,其中該些第一層中的每一者具有一內凹側邊,一第一源極/汲極區和一第二源極/汲極區,設於該第一通道區的相對兩側,該些第一層和該些第二層中的每一者從該第一源極/汲極區延伸至該第二源極/汲極區, 一第一閘極介電質,在該第一超晶格上,該第一閘極介電質係與該第一通道區共形,以及一第一閘極,在該第一閘極介電質上,並與該第一閘極介電質相接觸;以及一p型元件,包括:一第二通道區,包括一第二超晶格,該第二超晶格包括交替設置的複數個第三層和複數個第四層,該些第三層是該第一半導體材料,該些第四層是該第二半導體材料,其中該些第四層中的每一者具有一內凹側邊,且該第一半導體材料和該第二半導體材料在該第二超晶格中的順序和在該第一超晶格中的順序相同,一第三源極/汲極區和一第四源極/汲極區,設於該第二通道區的相對兩側,該些第三層和該些第四層中的每一者從該第三源極/汲極區延伸至該第四源極/汲極區,一第二閘極介電質,在該第二超晶格上,該第二閘極介電質係與該第二通道區共形,以及一第二閘極,在該第二閘極介電質上,並與該第二閘極介電質相接觸。
- 一種鰭式場效電晶體結構的形成方法,包括:形成一鰭片,該鰭片包括一超晶格,該超晶格包括交替設置的複數個第一層和複數個第二層; 在形成該鰭片之後,選擇性蝕刻部分之該些第一層,其中被選擇性蝕刻之該些第一層具有一內凹側邊;在選擇性蝕刻該些第一層之後,沿著該些第一層及該些第二層之側壁上共形地形成一閘極介電質;以及形成一閘極在該閘極介電質上,且該閘極與該閘極介電質相接觸。
- 如申請專利範圍第7項所述之鰭式場效電晶體結構的形成方法,其中該選擇性蝕刻為異向性蝕刻或等向性蝕刻。
- 如申請專利範圍第7項所述之鰭式場效電晶體結構的形成方法,更包括:形成一虛設閘極堆疊在該超晶格上;形成一介電質環繞該虛設閘極堆疊;以及移除該虛設閘極堆疊,該選擇性蝕刻步驟是在移除該虛設閘極堆疊之後進行的。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/739,928 US9647071B2 (en) | 2015-06-15 | 2015-06-15 | FINFET structures and methods of forming the same |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201644051A TW201644051A (zh) | 2016-12-16 |
TWI590451B true TWI590451B (zh) | 2017-07-01 |
Family
ID=57394811
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW104129158A TWI590451B (zh) | 2015-06-15 | 2015-09-03 | 鰭式場效電晶體結構及其形成方法 |
Country Status (5)
Country | Link |
---|---|
US (3) | US9647071B2 (zh) |
KR (1) | KR101745785B1 (zh) |
CN (1) | CN106252386B (zh) |
DE (1) | DE102015110636B4 (zh) |
TW (1) | TWI590451B (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI832133B (zh) * | 2021-01-13 | 2024-02-11 | 台灣積體電路製造股份有限公司 | 半導體元件及方法 |
Families Citing this family (321)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9917195B2 (en) * | 2015-07-29 | 2018-03-13 | International Business Machines Corporation | High doped III-V source/drain junctions for field effect transistors |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9698266B1 (en) * | 2016-03-09 | 2017-07-04 | International Business Machines Corporation | Semiconductor device strain relaxation buffer layer |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
KR102272315B1 (ko) * | 2016-04-25 | 2021-07-01 | 어플라이드 머티어리얼스, 인코포레이티드 | 수평 게이트 올어라운드 디바이스 나노와이어 에어 갭 스페이서 형성 |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) * | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
WO2018090001A1 (en) * | 2016-11-14 | 2018-05-17 | Tokyo Electron Limited | Method of forming gate spacer for nanowire fet device |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
USD876504S1 (en) | 2017-04-03 | 2020-02-25 | Asm Ip Holding B.V. | Exhaust flow control ring for semiconductor deposition apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
CN108735804B (zh) * | 2017-04-25 | 2021-05-14 | 中芯国际集成电路制造(上海)有限公司 | 晶体管及其制作方法 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10325845B2 (en) * | 2017-06-21 | 2019-06-18 | Qualcomm Incorporated | Layout technique for middle-end-of-line |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
KR102277610B1 (ko) * | 2017-06-29 | 2021-07-14 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10685884B2 (en) | 2017-07-31 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including a Fin-FET and method of manufacturing the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10553495B2 (en) * | 2017-10-19 | 2020-02-04 | International Business Machines Corporation | Nanosheet transistors with different gate dielectrics and workfunction metals |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11362200B2 (en) * | 2018-06-08 | 2022-06-14 | Purdue Research Foundation | Enhanced cascade field effect transistor |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
TWI698912B (zh) * | 2018-09-03 | 2020-07-11 | 環球晶圓股份有限公司 | 磊晶基板及其製造方法 |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
US11189728B2 (en) * | 2019-09-05 | 2021-11-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11488858B2 (en) * | 2019-10-29 | 2022-11-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for forming stacked layers and devices formed thereof |
KR102442812B1 (ko) * | 2019-10-30 | 2022-09-13 | 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 | 반도체 디바이스 및 방법 |
US11348840B2 (en) | 2019-10-30 | 2022-05-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
US11417764B2 (en) | 2020-01-29 | 2022-08-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interface profile control in epitaxial structures for semiconductor devices |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
DE102020115279B4 (de) | 2020-02-19 | 2024-08-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Verfahren zum ausbilden einer halbleitervorrichtung |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
DE102020132620A1 (de) * | 2020-05-15 | 2021-11-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Halbleitervorrichtung und Verfahren |
US11769821B2 (en) | 2020-05-15 | 2023-09-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having a corner spacer |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
US11855163B2 (en) | 2020-06-23 | 2023-12-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US11527653B2 (en) | 2020-07-22 | 2022-12-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacture |
US11764221B2 (en) | 2020-07-30 | 2023-09-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacture |
US11501812B2 (en) | 2020-07-31 | 2022-11-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices including ferroelectric memory and methods of forming the same |
US11527621B2 (en) | 2020-08-05 | 2022-12-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate electrode deposition and structure formed thereby |
US11522062B2 (en) | 2020-08-14 | 2022-12-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing an etch stop layer and an inter-layer dielectric on a source/drain region |
US11640983B2 (en) | 2020-08-14 | 2023-05-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11646377B2 (en) | 2020-08-21 | 2023-05-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacture |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US11469229B2 (en) | 2021-01-15 | 2022-10-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11798943B2 (en) | 2021-02-18 | 2023-10-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor source/drain contacts and methods of forming the same |
US20220285491A1 (en) * | 2021-03-02 | 2022-09-08 | Qualcomm Incorporated | Transistor source/drain epitaxy blocker |
US11652049B2 (en) | 2021-03-10 | 2023-05-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming thereof |
US11742290B2 (en) | 2021-03-10 | 2023-08-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structure and method of forming thereof |
US11695042B2 (en) | 2021-04-08 | 2023-07-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor contacts and methods of forming the same |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
US12080553B2 (en) | 2021-05-13 | 2024-09-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacture |
US20220376111A1 (en) | 2021-05-20 | 2022-11-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and method of forming the same |
US11804532B2 (en) * | 2021-08-27 | 2023-10-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around devices with superlattice channel |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US20230282750A1 (en) | 2022-03-04 | 2023-09-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dielectric Layers for Semiconductor Devices and Methods of Forming the Same |
US20230378256A1 (en) | 2022-05-17 | 2023-11-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor Gate Isolation Structures and Methods of Forming the Same |
US20240021476A1 (en) | 2022-07-14 | 2024-01-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor Contacts and Methods of Forming the Same |
US20240339524A1 (en) | 2023-04-06 | 2024-10-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor contact structures and methods |
Family Cites Families (54)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100481209B1 (ko) * | 2002-10-01 | 2005-04-08 | 삼성전자주식회사 | 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법 |
US20060292765A1 (en) | 2003-06-26 | 2006-12-28 | Rj Mears, Llc | Method for Making a FINFET Including a Superlattice |
KR100487566B1 (ko) | 2003-07-23 | 2005-05-03 | 삼성전자주식회사 | 핀 전계 효과 트랜지스터 및 그 형성 방법 |
US7518195B2 (en) * | 2004-10-21 | 2009-04-14 | Commissariat A L'energie Atomique | Field-effect microelectronic device, capable of forming one or several transistor channels |
US7393733B2 (en) | 2004-12-01 | 2008-07-01 | Amberwave Systems Corporation | Methods of forming hybrid fin field-effect transistor structures |
US7425740B2 (en) | 2005-10-07 | 2008-09-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for a 1T-RAM bit cell and macro |
KR100763542B1 (ko) * | 2006-10-30 | 2007-10-05 | 삼성전자주식회사 | 다중 채널 모오스 트랜지스터를 포함하는 반도체 장치의제조 방법 |
US7667271B2 (en) | 2007-04-27 | 2010-02-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistors |
US8048723B2 (en) | 2008-12-05 | 2011-11-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Germanium FinFETs having dielectric punch-through stoppers |
US8776734B1 (en) | 2008-05-19 | 2014-07-15 | Innovative Environmental Solutions, Llc | Remedial system: a pollution control device for utilizing and abating volatile organic compounds |
US8053299B2 (en) | 2009-04-17 | 2011-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabrication of a FinFET element |
FR2945891B1 (fr) | 2009-05-19 | 2011-07-15 | Commissariat Energie Atomique | Structure semiconductrice et procede de realisation d'une structure semiconductrice. |
US8440517B2 (en) | 2010-10-13 | 2013-05-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET and method of fabricating the same |
US8497528B2 (en) | 2010-05-06 | 2013-07-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for fabricating a strained structure |
US9245805B2 (en) | 2009-09-24 | 2016-01-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Germanium FinFETs with metal gates and stressors |
US8362575B2 (en) | 2009-09-29 | 2013-01-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Controlling the shape of source/drain regions in FinFETs |
US8610240B2 (en) | 2009-10-16 | 2013-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit with multi recessed shallow trench isolation |
US8415718B2 (en) | 2009-10-30 | 2013-04-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming epi film in substrate trench |
US8395195B2 (en) | 2010-02-09 | 2013-03-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bottom-notched SiGe FinFET formation using condensation |
US8729627B2 (en) | 2010-05-14 | 2014-05-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained channel integrated circuit devices |
US8796759B2 (en) | 2010-07-15 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-like field effect transistor (FinFET) device and method of manufacturing same |
US8367498B2 (en) | 2010-10-18 | 2013-02-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-like field effect transistor (FinFET) device and method of manufacturing same |
US8618556B2 (en) | 2011-06-30 | 2013-12-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET design and method of fabricating same |
US8962400B2 (en) | 2011-07-07 | 2015-02-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | In-situ doping of arsenic for source and drain epitaxy |
US8609518B2 (en) | 2011-07-22 | 2013-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Re-growing source/drain regions from un-relaxed silicon layer |
US8841701B2 (en) | 2011-08-30 | 2014-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device having a channel defined in a diamond-like shape semiconductor structure |
US8723272B2 (en) | 2011-10-04 | 2014-05-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of manufacturing same |
US8723236B2 (en) | 2011-10-13 | 2014-05-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of manufacturing same |
WO2013085534A1 (en) | 2011-12-09 | 2013-06-13 | Intel Corporation | Strain compensation in transistors |
DE112011105970B4 (de) * | 2011-12-19 | 2020-12-03 | Intel Corporation | CMOS-Implementierung aus Germanium und lll-V-Nanodrähten und -Nanobändern in Gate-Rundum-Architektur |
CN104126228B (zh) | 2011-12-23 | 2016-12-07 | 英特尔公司 | 非平面栅极全包围器件及其制造方法 |
US8815712B2 (en) | 2011-12-28 | 2014-08-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for epitaxial re-growth of semiconductor region |
US8742509B2 (en) | 2012-03-01 | 2014-06-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for FinFETs |
US8847293B2 (en) | 2012-03-02 | 2014-09-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate structure for semiconductor device |
US8836016B2 (en) | 2012-03-08 | 2014-09-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structures and methods with high mobility and high energy bandgap materials |
US8785285B2 (en) | 2012-03-08 | 2014-07-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US9171929B2 (en) | 2012-04-25 | 2015-10-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained structure of semiconductor device and method of making the strained structure |
US8680576B2 (en) | 2012-05-16 | 2014-03-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | CMOS device and method of forming the same |
US8729634B2 (en) | 2012-06-15 | 2014-05-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with high mobility and strain channel |
US8633516B1 (en) | 2012-09-28 | 2014-01-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source/drain stack stressor for semiconductor device |
US8497177B1 (en) | 2012-10-04 | 2013-07-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of making a FinFET device |
US8809139B2 (en) | 2012-11-29 | 2014-08-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-last FinFET and methods of forming same |
US20140151639A1 (en) * | 2012-12-03 | 2014-06-05 | International Business Machines Corporation | Nanomesh complementary metal-oxide-semiconductor field effect transistors |
US9093530B2 (en) | 2012-12-28 | 2015-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin structure of FinFET |
US8853025B2 (en) | 2013-02-08 | 2014-10-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET/tri-gate channel doping for multiple threshold voltage tuning |
US9093514B2 (en) | 2013-03-06 | 2015-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Strained and uniform doping technique for FINFETs |
US9214555B2 (en) | 2013-03-12 | 2015-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Barrier layer for FinFET channels |
US8963258B2 (en) | 2013-03-13 | 2015-02-24 | Taiwan Semiconductor Manufacturing Company | FinFET with bottom SiGe layer in source/drain |
KR102037304B1 (ko) * | 2013-03-15 | 2019-10-29 | 인텔 코포레이션 | 하층 에칭 정지를 갖는 나노와이어 트랜지스터 |
US8796666B1 (en) | 2013-04-26 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | MOS devices with strain buffer layer and methods of forming the same |
US20150069327A1 (en) | 2013-09-11 | 2015-03-12 | International Business Machines Corporation | Fin field-effect transistors with superlattice channels |
US9263338B2 (en) * | 2013-10-23 | 2016-02-16 | Stmicroelectronics, Inc. | Semiconductor device including vertically spaced semiconductor channel structures and related methods |
US9431512B2 (en) * | 2014-06-18 | 2016-08-30 | Globalfoundries Inc. | Methods of forming nanowire devices with spacers and the resulting devices |
US9391176B2 (en) * | 2014-10-23 | 2016-07-12 | Globalfoundries Inc. | Multi-gate FETs having corrugated semiconductor stacks and method of forming the same |
-
2015
- 2015-06-15 US US14/739,928 patent/US9647071B2/en active Active
- 2015-07-02 DE DE102015110636.1A patent/DE102015110636B4/de active Active
- 2015-09-03 TW TW104129158A patent/TWI590451B/zh active
- 2015-09-04 KR KR1020150125434A patent/KR101745785B1/ko active IP Right Grant
- 2015-11-20 CN CN201510812685.4A patent/CN106252386B/zh active Active
-
2017
- 2017-05-08 US US15/589,788 patent/US10134847B2/en active Active
-
2018
- 2018-11-19 US US16/194,505 patent/US10720496B2/en active Active
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI832133B (zh) * | 2021-01-13 | 2024-02-11 | 台灣積體電路製造股份有限公司 | 半導體元件及方法 |
Also Published As
Publication number | Publication date |
---|---|
US10720496B2 (en) | 2020-07-21 |
US20160365414A1 (en) | 2016-12-15 |
DE102015110636B4 (de) | 2021-07-22 |
DE102015110636A1 (de) | 2016-12-15 |
TW201644051A (zh) | 2016-12-16 |
CN106252386A (zh) | 2016-12-21 |
US10134847B2 (en) | 2018-11-20 |
US20190103461A1 (en) | 2019-04-04 |
CN106252386B (zh) | 2020-01-14 |
KR20160147608A (ko) | 2016-12-23 |
US20170243941A1 (en) | 2017-08-24 |
US9647071B2 (en) | 2017-05-09 |
KR101745785B1 (ko) | 2017-06-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI590451B (zh) | 鰭式場效電晶體結構及其形成方法 | |
TWI715317B (zh) | 半導體裝置的製造方法及半導體裝置 | |
US11177178B2 (en) | FinFETs and methods of forming FinFETs | |
US9379215B2 (en) | Fin field effect transistor | |
US10090300B2 (en) | Fin-like field effect transistor (FinFET) device and method of manufacturing same | |
US9887137B2 (en) | FinFET devices and methods of forming | |
US9196613B2 (en) | Stress inducing contact metal in FinFET CMOS | |
US8987791B2 (en) | FinFETs and methods for forming the same | |
US20210098583A1 (en) | Source/Drain Contacts for Semiconductor Devices and Methods of Forming | |
KR102247654B1 (ko) | 반도체 디바이스 및 방법 | |
TW202315018A (zh) | 半導體結構及其形成方法 | |
TW202240900A (zh) | 半導體裝置及其製造方法 | |
US11158741B2 (en) | Nanostructure device and method | |
US20230395693A1 (en) | Semiconductor device and manufacturing method thereof | |
TWI787817B (zh) | 半導體元件的製造方法 | |
TW202433678A (zh) | 製造半導體裝置的方法 | |
US20180145177A1 (en) | FinFET Structures and Methods of Forming the Same |