TWI715317B - 半導體裝置的製造方法及半導體裝置 - Google Patents
半導體裝置的製造方法及半導體裝置 Download PDFInfo
- Publication number
- TWI715317B TWI715317B TW108143412A TW108143412A TWI715317B TW I715317 B TWI715317 B TW I715317B TW 108143412 A TW108143412 A TW 108143412A TW 108143412 A TW108143412 A TW 108143412A TW I715317 B TWI715317 B TW I715317B
- Authority
- TW
- Taiwan
- Prior art keywords
- stack
- layers
- alternating layer
- layer
- nanosheet
- Prior art date
Links
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 19
- 239000004065 semiconductor Substances 0.000 title claims description 174
- 239000002135 nanosheet Substances 0.000 claims abstract description 141
- 238000000034 method Methods 0.000 claims abstract description 75
- 239000000758 substrate Substances 0.000 claims abstract description 42
- 239000000463 material Substances 0.000 claims description 101
- 238000005530 etching Methods 0.000 claims description 40
- 125000006850 spacer group Chemical group 0.000 claims description 31
- 238000000059 patterning Methods 0.000 claims description 20
- 238000000151 deposition Methods 0.000 claims description 16
- 239000003989 dielectric material Substances 0.000 abstract description 32
- 238000005457 optimization Methods 0.000 abstract description 2
- 239000010410 layer Substances 0.000 description 413
- 229910052751 metal Inorganic materials 0.000 description 54
- 239000002184 metal Substances 0.000 description 54
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 37
- 238000010586 diagram Methods 0.000 description 22
- 230000005669 field effect Effects 0.000 description 19
- 238000002955 isolation Methods 0.000 description 18
- 238000005229 chemical vapour deposition Methods 0.000 description 16
- -1 GaInP Chemical compound 0.000 description 13
- 229920002120 photoresistant polymer Polymers 0.000 description 13
- 239000011229 interlayer Substances 0.000 description 12
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 11
- 229910052710 silicon Inorganic materials 0.000 description 11
- 229910052814 silicon oxide Inorganic materials 0.000 description 11
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 10
- 239000010703 silicon Substances 0.000 description 10
- 229910052581 Si3N4 Inorganic materials 0.000 description 8
- 238000000231 atomic layer deposition Methods 0.000 description 8
- 239000012535 impurity Substances 0.000 description 8
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 8
- 239000000203 mixture Substances 0.000 description 7
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 7
- 229910010271 silicon carbide Inorganic materials 0.000 description 6
- 229910004298 SiO 2 Inorganic materials 0.000 description 5
- 239000004020 conductor Substances 0.000 description 5
- 230000008021 deposition Effects 0.000 description 5
- 239000002019 doping agent Substances 0.000 description 5
- 238000002513 implantation Methods 0.000 description 5
- 150000004767 nitrides Chemical class 0.000 description 5
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 4
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 4
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 4
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 4
- 229910052796 boron Inorganic materials 0.000 description 4
- JJWKPURADFRFRB-UHFFFAOYSA-N carbonyl sulfide Chemical compound O=C=S JJWKPURADFRFRB-UHFFFAOYSA-N 0.000 description 4
- 239000007789 gas Substances 0.000 description 4
- 239000011521 glass Substances 0.000 description 4
- 239000007943 implant Substances 0.000 description 4
- 229910052738 indium Inorganic materials 0.000 description 4
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 4
- 238000004943 liquid phase epitaxy Methods 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 239000005360 phosphosilicate glass Substances 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 4
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 229910002091 carbon monoxide Inorganic materials 0.000 description 3
- 239000010408 film Substances 0.000 description 3
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 3
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 239000005368 silicate glass Substances 0.000 description 3
- 239000010409 thin film Substances 0.000 description 3
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 3
- 238000000927 vapour-phase epitaxy Methods 0.000 description 3
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 2
- 229910000673 Indium arsenide Inorganic materials 0.000 description 2
- 239000004642 Polyimide Substances 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- 239000005388 borosilicate glass Substances 0.000 description 2
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 2
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 2
- 239000011810 insulating material Substances 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 238000001451 molecular beam epitaxy Methods 0.000 description 2
- QYSGYZVSCZSLHT-UHFFFAOYSA-N octafluoropropane Chemical compound FC(F)(F)C(F)(F)C(F)(F)F QYSGYZVSCZSLHT-UHFFFAOYSA-N 0.000 description 2
- 229960004065 perflutren Drugs 0.000 description 2
- 229920001721 polyimide Polymers 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 239000002994 raw material Substances 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 230000003068 static effect Effects 0.000 description 2
- 238000001039 wet etching Methods 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 1
- 229910003855 HfAlO Inorganic materials 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- 240000007594 Oryza sativa Species 0.000 description 1
- 235000007164 Oryza sativa Nutrition 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229910010038 TiAl Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- QGZKDVFQNNGYKY-UHFFFAOYSA-N ammonia Natural products N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- 239000005380 borophosphosilicate glass Substances 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 229910002090 carbon oxide Inorganic materials 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- YUCFVHQCAFKDQG-UHFFFAOYSA-N fluoromethane Chemical compound F[CH] YUCFVHQCAFKDQG-UHFFFAOYSA-N 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- WMIYKQLTONQJES-UHFFFAOYSA-N hexafluoroethane Chemical compound FC(F)(F)C(F)(F)F WMIYKQLTONQJES-UHFFFAOYSA-N 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- 229910052745 lead Inorganic materials 0.000 description 1
- 229910052749 magnesium Inorganic materials 0.000 description 1
- 238000002488 metal-organic chemical vapour deposition Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 239000012811 non-conductive material Substances 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 235000009566 rice Nutrition 0.000 description 1
- 229910052701 rubidium Inorganic materials 0.000 description 1
- IGLNJRXAVVLDKE-UHFFFAOYSA-N rubidium atom Chemical compound [Rb] IGLNJRXAVVLDKE-UHFFFAOYSA-N 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- QAOWNCQODCNURD-UHFFFAOYSA-N sulfuric acid Substances OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 238000009966 trimming Methods 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 230000005641 tunneling Effects 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823431—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02529—Silicon carbide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/30604—Chemical etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823412—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823418—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823437—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823462—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823481—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/0886—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
- H01L29/0669—Nanowires or nanotubes
- H01L29/0673—Nanowires or nanotubes oriented parallel to a substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/08—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/0843—Source or drain regions of field-effect devices
- H01L29/0847—Source or drain regions of field-effect devices of field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/10—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/1025—Channel region of field-effect devices
- H01L29/1029—Channel region of field-effect devices of field-effect transistors
- H01L29/1033—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
- H01L29/1054—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/401—Multistep manufacturing processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66439—Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6653—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66553—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/775—Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78696—Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/02227—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
- H01L21/0223—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
- H01L21/02233—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
- H01L21/02236—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/02227—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
- H01L21/02255—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/16—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
- H01L29/161—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
- H01L29/165—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Nanotechnology (AREA)
- Materials Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Thin Film Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
Abstract
本發明實施例提供一種半導體裝置的製造方法,包括:於基板上形成複數個交替層堆疊;從複數個交替層堆疊建構複數個奈米片;且於複數個奈米片之上形成複數個閘極介電質。此方法能夠調整奈米片的寬度、厚度、間距與堆疊數量,並可用於單一基板上。此設計彈性設計對電路性能與功率運用的設計最佳化提供廣泛的調整範圍。
Description
本發明實施例是關於一種半導體裝置的製造方法,特別是關於一種閘極結構的製造方法。
半導體裝置用於大量的電子裝置之中,如電腦、手機以及其他裝置。半導體裝置包括形成於半導體晶圓(wafer)上的積體電路,於半導體晶圓之上沉積許多型態的薄膜材料,及圖案化薄膜材料以形成積體電路。積體電路包括場效電晶體(field-effect transistor, FET)如金屬氧化物半導體電晶體。
半導體產業其中一個目標為不斷地縮小單一場效電晶體的尺寸並增加其速度。為了達到這些目標而研究且實施鰭狀場效電晶體(finFET)、多閘極電晶體(multiple gate transistor)與全繞式閘極(gate all-around)電晶體。然而,隨著不斷地縮小尺寸,即便是這樣的新裝置結構仍會面臨許多嶄新的難題。
本發明實施例提供一種半導體裝置的製造方法,包括:於基板上形成第一交替層堆疊,其中形成第一交替層堆疊的步驟包括於基板上交替沉積第一半導體材料的第一層與第二半導體材料的第二層,第二半導體材料與第一半導體材料不同;於基板上形成第二交替層堆疊,距第一交替層堆疊第一距離,其中形成第二交替層堆疊的步驟包括於基板上交替沉積第一半導體材料的第一層與第二半導體材料的第二層,且其中相對該第一交替層堆疊的第一層,第二交替層堆疊的第一層具有較大的厚度;從第一交替層堆疊建構第一奈米片堆疊且從第二交替層堆疊建構第二奈米片堆疊,其中建構第一與第二奈米片堆疊的步驟包括:從第一交替層堆疊圖案化第一鰭片,且從第二交替層堆疊圖案化第二鰭片;以及從第一交替層堆疊移除第一層且從第二交替層堆疊移除第一層,使得第二交替層堆疊相鄰的剩餘層間之距離大於第一交替層堆疊相鄰的剩餘層間之距離;以及於第一奈米片堆疊之上形成第一閘極介電質,且於第二奈米片堆疊之上形成第二閘極介電質。
本發明實施例提供一種半導體裝置的製造方法,包括:於基板上的第一交替層堆疊中蝕刻凹口,其中第一交替層堆疊包括交替的第一層與第二層,第一層包括第一半導體材料而第二層包括第二半導體材料,第一半導體材料與第二半導體材料不同,其中第一交替層堆疊的第一層具有第一平均厚度,而第一交替層堆疊的第二層具有第二平均厚度,其中透過控制第一交替層堆疊的第一層與第二層的磊晶成長,決定第一平均厚度與第二平均厚度;於第一交替層堆疊中形成第二交替層堆疊,其中形成第二交替層堆疊的步驟包括於凹口中沉積交替的第一層與第二層,第一層包括第一半導體材料,而第二層包括第二半導體材料,其中第二交替層堆疊的第一層具有第三平均厚度,而第二交替層堆疊的第二層具有第四平均厚度,第三平均厚度與第一平均厚度不同,且第四平均厚度與第二平均厚度不同,其中透過控制第二交替層堆疊的第一層與第二層的磊晶成長,決定第三平均厚度與第四平均厚度;從第一交替層堆疊建構第一奈米片堆疊,且從第二交替層堆疊建構第二奈米片堆疊,其中建構第一與第二奈米片堆疊的步驟包括:從第一交替層堆疊圖案化第一鰭片,且從第二交替層堆疊圖案化第二鰭片;以及從第一交替層堆疊與第二交替層堆疊移除第一層與第二層的其中之一;以及於第一奈米片堆疊之上形成第一閘極介電質,且於第二奈米片堆疊之上形成第二閘極介電質。
本發明實施例提供一種半導體裝置,包括:第一奈米片堆疊,其中第一閘極介電質圍繞第一奈米片堆疊的每個奈米片,其中第一奈米片堆疊的相鄰奈米片以第一平均間距彼此隔離;以及第二奈米片堆疊,距第一奈米片堆疊第一距離,其中第二閘極介電質圍繞第二奈米片堆疊的每個奈米片,其中第二奈米片堆疊的相鄰奈米片以第二平均間距彼此隔離,其中第二平均間距大於第一平均間距。
以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考數值以及∕或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及∕或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在……下方」、「在……之下」、「下方的」、「在……之上」、「上方的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
第1至21C圖繪示出形成兩水平全繞式閘極電晶體彼此距一距離的示意圖,其相對彼此具有調節的閘極介電質的厚度以及∕或組成、薄片(sheet)厚度、薄片間距、薄片寬度及堆疊數量。第22至28圖繪示出形成三個水平全繞式閘極電晶體彼此距不同距離的示意圖,其相對彼此具有調節的閘極介電質的厚度以及∕或組成、薄片厚度、薄片間距、薄片寬度及堆疊數量。第1、2A、6A、7A、8A、9、10A、11、12A、17、18A、19A、20A與21A為三維(three-dimensional, 3D)示意圖。第2B、3、4A、4B、4C、4D、5A、5B、6B、7B、8B、10B、12B、18B、19B、20B、21B、22、23、24、25、26、27、28A與28B是沿著參考剖面B-B(繪示於第2A、6A、12A與18A圖中)所繪示的剖面圖。第12C、13、14、15、16、18C、19C、20C與21C是沿著參考剖面C-C(繪示於第12A與18A圖中)所繪示的剖面圖。
現在參照第1圖,此圖式是根據一些實施例繪示出摻質(dopant)抗擊穿佈植(anti-punch-through implant, APT implant)至原材料(source material)100中的示意圖。原材料100可為基板101之形式,例如可為半導體基板如矽基板、矽鍺基板、鍺基板、III-V族材料基板(如GaAs、GaP、GaAsP、AlInAs、AlGaAs、GaInAs、InAs、GaInP、InP、InSb以及∕或GaInAsP;或前述之組合)或者是使用如具有高帶間穿遂(band-to-band tunneling, BTBT)的其他半導體材料所形成的基板。基板101可為摻雜或未摻雜。可使用n型或p型雜質(impurity)摻雜基板101。在一些實施例中,基板101可為塊狀(bulk)半導體基板如為晶圓的塊狀矽基板、絕緣體上覆半導體(semiconductor-on-insulator, SOI)基板、多層或梯度(gradient)基板或類似基板。
進行第一佈植製程103(如第1圖中箭頭所表示)以佈植第一摻質至基板101的第一源極區。在一實施例中,對於如抗擊穿佈植可佈植第一摻質。然而,可利用任何合適的佈植製程。
第2A圖是製造全繞式閘極電晶體時中間階段的多層結構200之三維示意圖。第2B圖是沿著第2圖中的剖面B-B所繪示的多層結構200之剖面圖。第2A與2B圖中,第一交替(alternating)層堆疊203a形成於基板101上。第一交替層堆疊203a包括第一半導體材料的第一半導體層205a(如SiGe層)與第二半導體材料的第二半導體層207a(如Si層)所交替的膜層。在一些實施例中,第一半導體層205a(如SiGe層)與第二半導體層207a(如Si層)的每層磊晶(epitaxially)生成於其下方層上。交替層堆疊203a可包括任何數量的第一半導體層205a(如SiGe層)與任何數量的第二半導體層207a(如Si層)。磊晶成長可使用化學氣相沉積(chemical vapor deposition, CVD)、金屬有機化學氣相沉積(metal organic CVD, MOCVD)、分子束磊晶(molecular beam epitaxy, MBE)、液相磊晶(liquid phase epitaxy, LPE)、氣相磊晶(vapor phase epitaxy, VPE)、超高真空化學氣相沉積(ultrahigh vacuum CVD, UHVCVD)、類似製程或前述之組合。第一半導體層205a(如SiGe層)與任何數量的第二半導體層207a(如Si層)的每層可為IV族材料如Si、Ge、SiGe、SiGeSn、SiC或類似物;III-V族化合物材料如GaAs、GaP、GaAsP、AlInAs、AlGaAs、GaInAs、InAs、GaInP、InP、InSb、GaInAsP或類似物。
交替層堆疊203a可包括任何數量的第一半導體層205a(如SiGe層)與任何數量的第二半導體層207a(如Si層)。如圖所示,例如,交替層堆疊203a具有5層第一半導體層205a(如SiGe層)與四層第二導體層207a(如Si層)。透過用於形成第一交替層堆疊的磊晶成長循環之數量,可分別調整第一半導體層205a(如SiGe層)與第二半導體層207a(如Si層)的數量。
第3圖繪示出圖案化並蝕刻溝槽305至交替層堆疊203a中之示意圖。可利用微影技術圖案化交替層堆疊203a。一般而言,光阻材料(未繪示)沉積於交替層堆疊203a之上。以輻射(如光)通過圖案化光罩(reticle)照射光阻材料(曝光),使曝露於能量的光阻材料的這些部分中發生反應。顯影光阻材料以移除一部分的光阻材料,其中剩餘的光阻材料保護下方材料在後續製程步驟中不受影響。
圖案化後,如第3圖所繪示,蝕刻溝槽305使其具有垂直輪廓(profile)。蝕刻製程可為乾式蝕刻。蝕刻製程可包括反應離子蝕刻(reactive ion etch, RIE)、中子束蝕刻(neutral beam etch, NBE)、感應耦合電漿(inductively coupled plasma, ICP)蝕刻、電容耦合電漿(capacitively coupled plasma, CCP)蝕刻、離子束蝕刻(ion beam etch, IBE)、類似蝕刻製程或前述之組合。蝕刻製程可為非等向性(anisotropic)。在一些實施例中,蝕刻製程可包括使用第一氣體的電漿,其包括四氟化碳(carbon tetrafluoride, CF4
)、六氟乙烷(hexafluoroethane, C2
F6
)、八氟丙烷(octafluoropropane, C3
F8
)、三氟甲烷(fluoroform, CHF3
)、二氟甲烷(difluoromethane, CH2
F2
)、氟甲烷(fluoromethane, CH3
F)、氟化碳(如Cx
Fy
,其中x可在1至5的範圍,而y可在4至8的範圍)、類似物或前述之組合。電漿更可使用第二氣體,其包括氮氣(N2
)、氫氣(H2
)、氧氣(O2
)、氬氣(Ar)、氙氣(Xe)、氦氣(He)、一氧化碳(CO)、二氧化碳(CO2
)、羰基硫(COS)、類似物或前述之組合。蝕刻製程中可視需要地供應惰性(inert)氣體。溝槽305可接觸基板101的頂表面,或可蝕刻溝槽305至低於基板101頂表面的深度。
第4A圖繪示出間隔物(spacer)層310形成於溝槽305的側壁與底表面上及形成於交替層堆疊203a最高表面之上的實施例。可使用介電材料如氮化矽、碳氮氧化矽(silicon carbon-oxynitride)或類似物形成間隔物層310。
第4B圖繪示出移除間隔物層310水平部分的示意圖。在一實施例中,利用非等向性蝕刻製程移除裝置水平部分之上的間隔物層310。由於間隔物層310在裝置水平部分及沿著溝槽305的側壁之厚度不同,留下沿著溝槽305側壁的間隔物311,而露出溝槽305底表面與交替層堆疊203a的最高表面。
第4C圖繪示出於溝槽305中形成第二交替層堆疊203b的實施例。第二交替層堆疊203b包括第一半導體材料的第一半導體層205b(如SiGe層)與第二半導體材料的第二半導體層207b(如Si層)的交替膜層。在一些實施例中,第一半導體層205b(如SiGe層)與第二半導體層207b(如Si層)的每層磊晶生成於其下方層上。第二交替層堆疊203b可包括任何數量的第一半導體層205b(如SiGe層)與任何數量的第二半導體層207b(如Si層)。如圖所示,例如,交替層堆疊203b具有四層第一半導體層205b(如SiGe層)與三層第二導體層207b(如Si層)。透過用於形成第二交替層堆疊203b的磊晶成長循環之數量,可分別調整第一半導體層205a(如SiGe層)與第二半導體層207a(如Si層)的數量。
第4D圖繪示出形成第二交替層堆疊203b的另一實施例。不同於第4A至4C圖中所繪示的實施例,第4D圖中所繪示的實施例並不包含形成間隔物。第二交替層堆疊203b形成於溝槽305中,其具有第一半導體材料的第一半導體層205b(如SiGe層)與第二半導體材料的第二半導體層207b(如Si層)的交替膜層,於溝槽305的側壁與底部順應地形成。第一半導體層205b(如SiGe層)與第二半導體層207b(如Si層)的每層順應地磊晶生成於其下方層上。
第一半導體層205a的平均厚度與第一半導體層205b的平均厚度可不相同,而第二半導體層207a的平均厚度與第二半導體層207b的平均厚度可不相同。膜層的相對平均厚度將決定裝置的奈米片(nanosheet)間之薄片間距。較大的薄片間距可於奈米片上產生較厚的輸出入(input-output, IO)閘極氧化物,其用於如輸出入裝置。在一實施例中,透過調節反應氣體的流速、生成溫度或各層磊晶成長期間的時間長度而控制膜層的磊晶成長,以決定第一半導體層205與第二半導體層207的相對平均厚度。相對於第一交替層堆疊203a的第一半導體層205a,第二交替層堆疊203b的第一半導體層205b可具有較大的厚度。相對於第一交替層堆疊203a的第一半導體層207a,第二交替層堆疊203b的第一半導體層207b可具有較大的厚度。第一半導體層205a的平均厚度可在約5nm至約30nm的範圍,第二半導體層207a的平均厚度可在約3nm至約30nm的範圍,第一半導體層205b的平均厚度可在約8nm至約40nm的範圍,而第二半導體層207b的平均厚度可在約3nm至約40nm的範圍。第一半導體層205a與第二半導體層207a的平均厚度比例可在約10:1至約1:6的範圍。第一半導體層205b與第二半導體層207b的平均厚度比例可在約10:1至約1:5的範圍。
在一些實施例中,第一半導體層205a與205b的材料與第二半導體層207a與207b的材料不同。例如,第一半導體層205a與205b可為SiGe層,而第二半導體層207a與207b可為Si層或SiC層。在另一實施例中,例如,第一半導體層205a與205b可為Si層或SiC層,而第二半導體層207a與207b可SiGe層。材料的差異可提供不同的應變(strain)以及∕或可提供第一半導體層205a與205b及第二半導體層207a與207b間的蝕刻選擇性,由以下內容將可清楚看出。
第5A與5B圖繪示出具有預期高度以及∕或數量的膜層之第一與第二交替層堆疊203a與203b的實施例,將沉積硬遮罩層209。繪示於第5A圖中的實施例從以上繪示於第4C圖中的實施例接著進行,而繪示於第5B圖中的實施例從以上繪示於第4D圖中的實施例接著進行。如第5A與5B圖中所繪示,硬遮罩層209可沉積於交替層堆疊203a與203b的最高表面之上。可利用沉積製程於沉積腔中形成硬遮罩層209,或可利用任何其他合適的製程於第一與第二交替層堆疊203a與203b之上形成硬遮罩層209。硬遮罩209可包括次膜層(sublayer)如墊(pad)氧化層與上方的墊氮化層。墊氧化層可為包括氧化矽的薄膜,可利用如熱氧化(thermal oxidation)製程形成墊氧化層。墊氧化層可作為第一與第二交替層堆疊203a與203b及上方的墊氮化層之間的黏著層(adhesion layer)。在一些實施例中,墊氮化層由氮化矽、氮氧化矽、碳氮化矽、類似物或前述之組合所形成,並可利用如低壓化學氣相沉積(low pressure CVD, LPCVD)或電漿輔助化學氣相沉積(plasma enhanced CVD, PECVD)形成墊氮化層。
第6A圖為多層結構200的三維示意圖,而第6B圖為沿著第6A圖中的剖面B-B所繪示的多層結構200之剖面圖。第6A與6B圖繪示的實施例是在硬遮罩層209已沉積於多層結構200的頂表面上後(如第5A與5B圖中所繪示),進行圖案化製程以於多層結構200中形成溝槽301。在一些實施例中,利用微影技術圖案化硬遮罩層209。一般而言,光阻材料(未繪示)沉積於硬遮罩209之上。通過圖案化的光罩照射輻射(如光)於光阻材料,使光阻材料曝露於能量的這些部分中發生反應。顯影光阻材料以移除一部分的光阻材料,其中剩餘光阻材料保護下方的材料在後續製程步驟中不受影響,後續製程步驟如蝕刻。
第6A與6B圖中,對多層結構200進行圖案化製程以形成溝槽301後,交替層堆疊203的剩餘區域與下方的基板101形成了鰭片(fin),如第一鰭片303a與第二鰭片303b(共同稱為鰭片303)。如第6A與6B圖中所示,鰭片303包括部分的交替層堆疊203a與203b(如部分的第一半導體層205a與205b(SiGe層)與部分的第二半導體層207a與207b(Si層))及部分的基板101。如第4A至4C與5A圖所繪示,在第二交替層堆疊203b形成於被間隔物311覆蓋的側壁之間的實施例中,蝕刻第二鰭片303b也移除了間隔物311。如以上第4D與5B圖中所示,在第二交替層堆疊203b順應地(conformally)形成於溝槽305的側壁與底部上之實施例中,蝕刻第二鰭片303b移除了非水平之部分的第一半導體層205c與第二半導體層207c。如以下將更詳細地討論,鰭片303將用以形成一或多個n型鰭狀場效電晶體以及∕或p型鰭狀場效電晶體的水平奈米片。儘管第2B圖繪示了兩個鰭片(第一鰭片303a與第二鰭片303b),應能理解可使用任何合適數量與型態的鰭片。
可圖案化鰭片303a與303b,使其具有介於4nm至100nm的不同寬度。例如,在一實施例中,鰭片303a的寬度可在約4nm至約100nm的範圍,而鰭片303b的寬度可在約4nm至約100nm的範圍。鰭片303a的寬度與鰭片303b的寬度之比例可在約25:1至約1:25的範圍。圖案化鰭片303將決定後續步驟中所形成之奈米片的寬度(薄片寬度)。較大的薄片寬度(或Weff
,奈米片有效寬度)使更高速度性能得以實現。較小的薄片寬度則使低功率應用(lower power application)得以實現。
根據一些實施例,第7A與7B圖繪示出形成淺溝槽隔離(shallow trench isolation, STI)區313的示意圖。第7A與7B圖中,介電絕緣材料沉積鄰近於鰭片303a與303b以形成淺溝槽隔離區313。淺溝槽隔離區313可由合適的介電材料所形成,如氧化矽、氮化矽、氮氧化矽、摻氟矽酸鹽玻璃(fluoride-doped silicate glass, FSG)、低介電常數介電質如摻碳氧化物、極(extremely)低介電常數介電質如多孔(porous)摻碳二氧化矽、聚合物如聚醯亞胺(polyimide)、類似物或前述之組合。淺溝槽隔離區313可包括襯層(liner,未繪示)與襯層之上的介電絕緣材料。可形成襯層為順應層(conformal layer),其水平部分與垂直部分具有彼此接近的厚度。在一些實施例中,利用製程如化學氣相沉積、流動式(flowable)化學氣相沉積或旋轉塗佈玻璃(spin-on glass)製程形成淺溝槽隔離區313,但可使用任何可接受的製程。接著,可對淺溝槽隔離區313進行一或多種硬遮罩移除製程以移除硬遮罩209,並移除淺溝槽隔離區313於鰭片303頂表面之上延伸的部分,可利用如化學機械研磨(chemical mechanical polishing, CMP)蝕刻製程或類似製程進行移除製程。
第8A與8B圖中,凹蝕(recessing)淺溝槽隔離區313以露出鰭片303的側壁。在一實施例中,利用一或多種選擇性蝕刻製程凹蝕淺溝槽隔離區313。交替層堆疊203a與203b的高度決定了凹口(recess)的深度。在一實施例中,凹口延伸至一深度,使最底層的第一半導體層205a與205b露出。或者,於淺溝槽隔離區313的上表面之下可留下最底層的第一半導體層205a與205b(如SiGe層)。
參照第9圖,虛置(dummy)閘極氧化層401形成於露出的鰭片303之上。在一些實施例中,可利用熱氧化、化學氣相沉積、濺射(sputtering)或本發明所屬技術領域中熟知而用於形成虛置閘極氧化層104的任何其他方法形成虛置閘極氧化層401。在一些實施例中,虛置閘極氧化層401可由與淺溝槽隔離區313相同的材料所形成。在其他實施例中,虛置閘極氧化層可由一或多種合適的介電材料所形成,如氧化矽、氮化矽、低介電常數介電質如摻碳氧化物、極低介電常數介電質如多孔摻碳二氧化矽、聚合物如聚醯亞胺、類似物或前述之組合。在其他實施例中,虛置閘極氧化層401包括具有高介電常數(k值,如大於3.9)的介電材料。材料可包括氮化矽、氮氧化物、金屬氧化物如HfO2
、HfZrOx
、HfSiOx
、HfTiOx
、HfAlOx
、類似物或前述之組合及多層。
參照第10A與10B圖,形成虛置閘極金屬堆疊419。虛置金屬層411沉積於虛置閘極氧化層401(繪示於第9圖中)之上。在一實施例中,虛置金屬層411為導電材料且可選自於下列之群組,包括:多晶矽(polycrystalline-silicon, poly-Si)、多晶矽鍺(poly-crystalline silicon-germanium, poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物與金屬。在一實施例中,可利用物理氣相沉積(physical vapor deposition, PVD)、化學氣相沉積、濺射沉積或本發明所屬技術領域中熟知而用於沉積導電材料的其他技術沉積虛置金屬層411。可使用其他導電或非導電材料。沉積虛置金屬層411之後可平坦化其頂表面。
可利用製程如化學氣相沉積或旋轉塗佈玻璃製程,於虛置金屬層411之上沉積第一硬遮罩層413,但可利用任何可接受的製程。在一實施例中,第一硬遮罩層413可為氧化層(如氧化矽)。接著利用製程如化學氣相沉積或旋轉塗佈玻璃製程,於第一硬遮罩層413之上沉積第二硬遮罩層415,但可利用任何可接受的製程。圖案化第一硬遮罩層413與第二硬遮罩層415以於虛置金屬層411之上形成虛置閘極硬遮罩層堆疊417。在一實施例中,使用虛置閘極硬遮罩層堆疊417進行多晶矽蝕刻及虛置氧化物移除製程,以圖案化虛置金屬層411與虛置閘極氧化層401。圖案化時,從鰭片303的源極∕汲極區移除部分的虛置金屬層411與部分的虛置氧化層401,而在鰭片303的通道區之上留下部分的虛置金屬層411與部分的虛置氧化層401以形成虛置金屬閘極電極412。虛置金屬閘極電極412包括圖案化的虛置金屬層411與設置於圖案化的虛置金屬層411之下的圖案化的虛置閘極氧化層401。虛置金屬閘極電極412與虛置閘極硬遮罩層堆疊417共同形成虛置金屬閘極堆疊419。
虛置金屬閘極堆疊419將用以從鰭片303的露出部分定義及形成源極∕汲極區。接著將移除虛置金屬閘極堆疊419,以進行製程從鰭片303的露出部分(鰭片303的中間部分)定義及形成通道區,如以下所述。
現在參照第11圖,間隔物層431沉積於虛置金屬閘極堆疊419、鰭片303與淺溝槽隔離區313的上表面之上。在一實施例中,間隔物層431由氮化矽所形成並可具有單一層結構。在其他實施例中,間隔物層431可具有包括複數層的複合結構。例如,氮化矽層可形成於氧化矽層之上。在一實施例中,間隔物層431可順應地形成於鰭片303的磊晶源極∕汲極區、虛置金屬閘極堆疊419的側壁與上表面兩者及淺溝槽隔離區313的上表面上。在一實施例中,可利用原子層沉積(atomic layer deposition, ALD)、化學氣相沉積、類似製程或前述之組合形成間隔物層431。
接著,第12A、12B與12C圖繪示出進行非等向性蝕刻製程的示意圖,其移除了部分的間隔物層431與部分的鰭片303(如303a與303b)。可使用虛置金屬閘極堆疊419作為蝕刻遮罩,進行非等向性蝕刻製程。在一些實施例中,非等向性蝕刻後,間隔物431的側壁因非等向性蝕刻而與鰭片303各自的側壁對準(aligned)。第12C圖是沿著第12A圖中的剖面C-C所繪示的示意圖,剖面C-C通過鰭片303b並垂直於虛置金屬閘極堆疊419。以303b作為範例,沿著剖面C-C所繪示的示意圖代表在所有鰭片303上進行的製程與結構。如第12C圖中所繪示,非等向性蝕刻也可蝕刻凹口105至鰭片303其中一側上的基板101之上表面中。
接著,第13圖中,是沿著第12A圖中剖面C-C所繪示的示意圖,進行側向(lateral)選擇性蝕刻製程以凹蝕露出的第一半導體材料。可使用對第一半導體材料具選擇性的蝕刻劑進行側向選擇性蝕刻製程,如以下第19A、19B與19C圖的討論中所述。在第13圖的範例中,第一半導體層205由第一半導體材料(如SiGe)所形成,因此,側向選擇性蝕刻凹蝕了第一半導體層205。
接著,第14圖中,是沿著第12A圖中剖面C-C所繪示的示意圖,形成介電材料409以填充移除第一半導體材料而留下的空間,留下的空間是從以上參照第13圖所討論之第一半導體層205的露出部分移除第一半導體材料而產生的。介電材料409可為低介電常數介電材料,如SiO2
、SiN、SiCN或SiOCN,並可利用合適的沉積方法如原子層沉積形成介電材料409。
接著,第15圖中,是沿著第12A圖中剖面C-C所繪示的示意圖,沉積介電材料409後,可進行非等向性蝕刻製程以修整(trim)沉積的介電材料409,使得僅有部分的沉積介電材料409留下,其填充了從第一半導體層205移除第一半導體材料而留下的空間。修整製程後,沉積的介電材料409的剩餘部分形成了內(inner)間隔物410。內間隔物410用以隔離金屬閘極與形成於後續製程中的源極∕汲極區。在第15圖的範例中,內間隔物410的側壁與第二半導體層207的側壁對準。
接著,第16圖中,是沿著第12A圖中剖面C-C所繪示的示意圖,源極∕汲極區503形成於基板101的凹口105中。於凹口105中磊晶生成材料而形成了源極∕汲極區503,可利用合適的方法如金屬有機化學氣相沉積、分子束磊晶、液相磊晶、氣相磊晶、選擇性磊晶成長(selective epitaxial growth, SEG)、類似方法或前述之組合形成源極∕汲極區503。磊晶源極∕汲極區503填充了相鄰的鰭片303之間的空間。磊晶源極∕汲極區503可具有從鰭片303表面抬升(raised)的表面並具有刻面(facet)。在一些實施例中,相鄰的源極∕汲極區503可合併形成連續的磊晶源極∕汲極區133。如以下第17圖中所示,相鄰的源極∕汲極區503並未合併而保留各自的源極∕汲極區503。可根據所形成的裝置型態調整源極∕汲極區133的材料。在一些實施例中,所製得的全繞式閘極場效電晶體為n型鰭狀場效電晶體,而源極∕汲極區503包括碳化矽(SiC)、磷化矽(SiP)、摻磷碳化矽(SiCP)或類似物。在一些實施例中,所製得的全繞式閘極場效電晶體為p型鰭狀場效電晶體,而源極∕汲極區503包括SiGe,p型雜質如硼或銦。
可使用摻質佈植磊晶源極∕汲極區503並接著進行退火製程。佈植製程可包括形成並圖案化遮罩如光阻,以覆蓋在佈植製程欲保護的全繞式閘極場效電晶體裝置之區域。源極∕汲極區503可具有介於約1E19cm-3
至約1E21cm-3
之範圍的雜質濃度(如摻質)。可於p型電晶體的源極∕汲極區503中佈植p型雜質如硼或銦。可於n型電晶體的源極∕汲極區503中佈植n型雜質如磷或砷。在一些實施例中,成長時可於原位(in-situ)摻雜磊晶源極∕汲極區。
接著,第17圖中,繪示出三維示意圖,層間介電質(interlayer dielectric, ILD)513形成於磊晶源極∕汲極區503之上。形成層間介電質513前,可於磊晶源極∕汲極區503之上形成接觸蝕刻停止層(contact etch stop layer, CESL,未繪示)。接觸蝕刻停止層在後續蝕刻製程中可作為蝕刻停止層,並可包括合適材料如氧化矽、氮化矽、氮氧化矽、前述之組合或類似物,且可利用合適的形成方法如化學氣相沉積、物理氣相沉積、前述之組合或類似方法形成接觸蝕刻停止層。層間介電質513形成於接觸蝕刻停止層之上及虛置金屬閘極堆疊419的兩側上的磊晶源極∕汲極區503之上。在一些實施例中,層間介電質513可包括介電材料如氧化矽、磷矽酸鹽玻璃(phosphosilicate glass, PSG)、硼矽酸鹽玻璃(borosilicate glass, BSG)、摻硼磷矽酸鹽玻璃(boron-doped phosphosilicate glass, BPSG)、未摻雜矽酸鹽玻璃(undoped silicate glass, USG)或類似物,並可利用任何合適的方法如化學氣相沉積、電漿輔助化學氣相沉積、流動式化學氣相沉積或高密度電漿(high density plasma)沉積介電材料。接著,可平坦化層間介電質513,使其與虛置金屬閘極堆疊419的頂表面(繪示於第17圖中)實質上共表面,並露出虛置金屬閘極堆疊419的頂表面。在一實施例中,可利用如化學機械研磨平坦化層間介電質513以移除部分的層間介電質513,在其他實施例中,可使用其他平坦化技術如蝕刻。
第18A、18B與18C圖中,層間介電質513一旦形成於磊晶源極∕汲極區503之上且虛置金屬閘極堆疊419的頂表面一旦露出後,在一或多個蝕刻步驟中移除虛置金屬閘極電極412與虛置閘極硬遮罩層堆疊417(繪示於第10圖中)。蝕刻步驟對虛置金屬閘極電極412與虛置閘極氧化層401的材料可具有選擇性,蝕刻可為乾式或濕式蝕刻。蝕刻虛置金屬閘極電極412時,虛置閘極氧化層401可作為蝕刻停止層。移除虛置金屬閘極電極412後可接著蝕刻虛置閘極氧化層401。開口形成於被層間介電質513覆蓋的源極∕汲極區503間,而露出圖案化鰭片303的通道區。部分的間隔物層431沿著層間介電質513的側壁留下而形成側壁間隔物441。
第19A、19B與19C圖中,對鰭片303露出的通道區部分進行處理以移除第一半導體層205。於鰭片303的通道區部分中進行第一半導體層205(如SiGe層)的選擇性移除製程。在第一半導體205a與205b由SiGe所形成且第二半導體層207a與207b由Si所形成的一實施例中,可利用如n型場效電晶體SiGe選擇性移除製程移除第一半導體層205a與205b。在一些實施例中,選擇性移除製程可使用相對於矽以較快的速率選擇性地蝕刻矽鍺之蝕刻劑,如NH4
OH:H2
O2
:H2
O(氨-過氧化物混合物,ammonia peroxide mixture, APM)、H2
SO4
+H2
O2
(硫酸-過氧化物混合物,sulfuric acid peroxide, SPM)或類似物。可使用其他合適的製程與材料。此選擇性蝕刻製程移除了第一半導體層205a與205b(如SiGe層)。
再者,雖然並未特別說明,應能理解可於任一鰭片303的通道區中形成n型場效電晶體裝置,或可於任一鰭片303的通道區中形成p型場效電晶體裝置。雖然並未特別說明,也應能理解可於其中一鰭片303的通道區中形成n型場效電晶體裝置,並可於另一鰭片303的通道區中形成p型場效電晶體裝置。例如,在形成兩個n型場效電晶體裝置的一實施例中,被選擇性移除的第一半導體層205a與205b可包括SiGe,剩餘的第二半導體層207a與207b可包括Si,而源極∕汲極區503可包括碳化矽、磷化矽、摻磷碳化矽或類似物。在形成兩個p型場效電晶體裝置的另一實施例中,被選擇性移除的第一半導體層205a與205b可包括Si,剩餘的第二半導體層207a與207b可包括SiGe,而源極∕汲極區503包括SiGe與p型雜質如硼或銦。在形成兩個p型場效電晶體裝置的其他實施例中,被選擇性移除的第一半導體層205a與205b可包括SiGe,剩餘的第二半導體層207a與207b可包括Si,而源極∕汲極區503包括SiGe與p型雜質如硼或銦。
選擇性移除第一半導體層205a與205b後,鰭片303中留下了第二半導體層207a與207b,在此分別稱為第一奈米片堆疊407a與第二奈米片堆疊407b。使用奈米片結構的全繞式閘極電晶體裝置可為邏輯裝置(logic device)、靜態隨機存取記憶體(static random access memory, SRAM)裝置、輸出入裝置、靜電放電(electro-static discharge, ESD)裝置或被動元件(passive device)。在另一實施例中,蝕刻後,可留下淺溝槽隔離區313的上表面之下的最底層第一半導體層205a與205b(如SiGe層),其作為鰭片303a與303b之中的應力層(stress layer)以提供鰭片材料一定的應變(strain)或鬆弛(relaxation)。
在第一半導體層205a與205b(如SiGe層)由SiGe所形成且第二半導體層207a與207b(如Si層)由Si所形成的一實施例中,可利用Si移除製程移除第二半導體層207a與207b(如Si層)。在一些實施例中,移除製程可利用濕式蝕刻,其使用四甲基氫氧化銨(tetramethylammonium hydroxide, TMAH)溶液或類似溶液。可使用其他製程與材料。此蝕刻製程移除了第二半導體層207a與207b。因此,從鰭片303形成了第二奈米片405a與405b(未特別繪示)。
第20A、20B與20C圖中,第一閘極介電質521a與第二閘極介電質521b形成於第一奈米片407a與第二奈米片407b的露出部分之上及其移除虛置金屬閘極堆疊419所騰空(vacated)的空間中。在一實施例中,第一與第二閘極介電質521a與521b(因此共同稱為閘極介電質521)可包括一層界面介電質(interfacial dielectric),其上覆有高介電常數介電層。例如界面介電質可為氧化物或類似物,利用熱氧化、原子層沉積、化學氣相沉積或類似製程所形成。高介電常數介電層可具有高於約7.0的介電常數。高介電常數介電層可包括金屬氧化物或Hf、Al、Zr、La、Mg、Ba、Ti、Pb與前述之組合的矽化物。高介電常數介電層的形成方法可包括原子層沉積、化學氣相沉積、分子束沉積(molecular beam deposition, MBD)、類似方法或前述之組合。其他實施例可將閘極介電質521的其他材料納入考量,如不具有高介電常數的材料。如第20C圖中所繪示,閘極介電質521覆蓋了第19C圖中移除第一半導體層205而露出的奈米片407之表面與內間隔物410。
如第20B圖中所繪示,可形成第一與第二閘極介電質521a與521b使其各自具有不同的厚度。較厚的閘極介電質適合用於輸出入裝置中的奈米片。核心裝置(core device)可使用較薄的閘極介電質,其在相鄰的奈米片間具有較小的間距,可防止核心裝置因較大的電容而劣化(degradation)。在一實施例中,調整反應氣體流速、生成溫度或沉積閘極介電質期間的時間長度,決定第一與第二閘極介電質521a與521b的相對平均厚度。第二閘極介電質521b可具有第二閘極介電質厚度,其大於第一閘極介電質521a的第一閘極介電質厚度。第一閘極介電質521a的平均厚度可在約1nm至約5nm的範圍,而第二閘極介電質521b可在約2.5nm至約7nm的範圍。
也可形成第一與第二閘極介電質521a與521b使其具有不同的組成。例如,第一閘極介電質521a可包括SiO2
、SiON、Si3
N4
、HfOx
、LaOx
以及∕或AlOx
,而第二閘極介電質521b可包括不同比例的第一閘極介電質521a的SiO2
、SiON、Si3
N4
、HfOx
、LaOx
以及∕或AlOx
。
第21A、21B與21C圖中,金屬閘極結構525形成於閘極介電質521上以形成金屬閘極電極。金屬閘極結構525可為多層結構。例如,金屬閘極結構525可包括順應地形成於閘極介電質上的蓋層(capping layer)、順應地形成於蓋層上的一或多層功函數調整層(work function tuning layer),以及含金屬材料如金屬,形成於功函數調整層上並填充移除虛置金屬閘極堆疊419所騰空的空間。在一範例中,蓋層可包括利用原子層沉積、化學氣相沉積或類似製程於閘極介電質上形成的第一次層(sub-layer),由TiN或類似材料所形成,以及利用原子層沉積、化學氣相沉積或類似製程於第一次層上形成的第二次層,由TaN或類似材料所形成。功函數調整層可由TiAl、TiN或類似材料所形成,且可利用原子層沉積、化學氣相沉積或類似製程形成功函數調整層。含金屬材料可為鎢、鋁、鈷、銣、前述之組合或類似材料,且可利用化學氣相沉積、物理氣相沉積、類似製程或前述之組合形成含金屬材料。如第21C圖中所繪示,金屬閘極結構525填充了奈米片407間剩餘的空間其位於閘極介電質521圍繞的空腔(cavity)之中。接著,可進行平坦化製程如化學機械研磨以移除金屬閘極結構與閘極介電質過多的部分,其位於層間介電質513與515的頂表面之上,因而產生如第21A與21B圖中所繪示的結構。
第22至28B圖是根據另一實施例,繪示出形成三個水平全繞式閘極電晶體的示意圖,其彼此相鄰且相距較大的距離,且相對於彼此具有調整的閘極介電質厚度以及∕或組成、薄片厚度、薄片間距、薄片寬度與堆疊數量。
第22圖繪示出三個鰭片303a、303b與303c的示意圖,是從第5A或5B圖沿著第2A圖中的剖面B-B所繪示的剖面圖中的結構所圖案化。繪示於第22圖中的實施例與繪示於第6B圖中的實施例的差異在於第一交替層堆疊203a被圖案化而分別形成兩個鰭片303a與303c。第三鰭片303c的第一與第二半導體層205c與207c可具有與第一鰭片303a的第一及第二半導體層205a與207b實質上相同的數量與厚度,但可圖案化鰭片303a、303b與303c使其各具有不同的寬度。例如,在一實施例中,鰭片303a的寬度可在約4nm至約100nm的範圍,鰭片303b的寬度可在約4nm至約100nm的範圍,而鰭片303c的寬度可在約4nm至約100nm的範圍。鰭片303a、303b與303c的圖案化將決定鰭片在後續步驟中產生的奈米片之寬度(薄片寬度)。較大的薄片寬度(或Weff
,奈米片有效寬度)使更高速度性能得以實現。較小的薄片寬度則使低功率應用得以實現。鰭片303a、303b與303c將用以形成一或多個n型鰭狀場效電晶體以及∕或p型鰭狀場效電晶體的水平奈米片。雖然第22圖中繪示出三個鰭片(第一鰭片303a、第二鰭片303b與第三鰭片303c),應能理解可使用任何合適數量與型態的鰭片303。
第23圖繪示出利用以上第7B圖中所示的步驟形成淺溝槽隔離區313的示意圖,但其具有三個鰭片303而非兩個鰭片。第24圖繪示出利用以上第8B圖中所示的步驟凹蝕淺溝槽隔離區313的示意圖,但其具有三個鰭片303而非兩個鰭片。第25圖繪示出利用以上第10B圖中所示的步驟於第一、第二與第三鰭片303a、303b與303c之上形成虛置金屬閘極堆疊419的示意圖,但其具有三個鰭片303而非兩個鰭片。第26圖繪示出利用以上第19B圖中所示的步驟形成第一、第二與第三奈米片堆疊407a、407b與408c的示意圖,但其具有三個鰭片303而非兩個鰭片。應能理解第23至26圖中所繪示的中間步驟與以上第8B至19B圖中所繪示的步驟實質上相同,但其具有三個鰭片303而非兩個鰭片。此外,雖然第23至26圖中繪示出三個鰭片(第一鰭片303a、第二鰭片303b與第三鰭片303c),應能理解可使用任何合適數量與型態的鰭片。
第27圖中,第一、第二與第三閘極介電質521a、521b與521c分別形成於第一奈米片堆疊407a、第二奈米片堆疊407b與第三奈米片堆疊407c的露出部分之上,而第一、第二與第三閘極介電質521a、521b與521c(因此共同稱為閘極介電質521)可為界面介電質。其他實施例可將閘極介電質521的其他材料納入考量,如不具有高介電常數的材料。
可形成第一、第二與第三閘極介電質521a、521b與521c使其各自具有不同的厚度。較厚的閘極介電質適合用於輸出入裝置,而較薄的閘極介電質適合用於核心裝置。調整沉積閘極介電質期間的時間長度可決定第一、第二與第三閘極介電質521a、521b與521c的相對平均厚度。在一實施例中,第一閘極介電質521a的平均厚度可在約1nm至約5nm的範圍,第二閘極介電質521b的平均厚度可在約2.5nm至約7nm的範圍,而第三閘極介電質521c的平均厚度可在約1nm至約7nm的範圍。在一實施例中,為了以第三閘極介電質521c作為裝置的一部分而使其使用較低功率而具有較高的可靠度(reliability),第三閘極介電質521c的平均厚度實質上大於第一閘極介電質521a的平均厚度,並實質上小於二閘極介電質521b的平均厚度。
也可形成第一、第二與第三閘極介電質521a、521b與521c使其具有不同組成。例如第一閘極介電質521a可包括SiO2
、SiON、Si3
N4
、HfOx
、LaOx
以及∕或AlOx
,而第二閘極介電質521b與第三閘極介電質521c可包括不同比例的第一閘極介電質521a的SiO2
、SiON、Si3
N4
、HfOx
、LaOx
以及∕或AlOx
。
第28A圖中,利用以上第10B圖中所示的步驟於閘極介電質521上形成金屬閘極結構525,但其具有三個鰭片303而非兩個鰭片。第28B圖繪示出另一實施例,其中頂部的奈米片407c被移除而導致相對於第一奈米片堆疊407a,第三奈米片堆疊407c具有較少的奈米片。在其他實施例中,可從第一、第二與第三奈米片堆疊407a、407b與407c移除不同數量的奈米片。應能理解可在製程任何適宜的步驟中移除奈米片。例如,形成第26圖中所繪示的第三奈米片堆疊後,可利用適當的Si選擇性移除製程移除頂部的奈米片407c。減少第三奈米片堆疊407c的堆疊數量可使其用於裝置的一部份,其需要較低功率而具有較高的可靠度。此外,雖然第28A與28B圖中繪示出三個奈米片堆疊407a、408b與408c,應能理解可產生任何合適數量的奈米片堆疊。
以上揭露的實施例包括全繞式閘極電晶體的製造方法,可調整奈米片寬度、奈米片厚度、奈米片間距與堆疊數量。此奈米片結構的調整可用於單一晶圓上。這樣的設計彈性對電路性能與功率運用的設計最佳化提供廣泛的調整範圍。較大的奈米片寬度使更高速度性能得以實現,且較小的奈米片寬度以及∕或減少堆疊數量則可使低功率應用得以實現。增加薄片間距(堆疊中相鄰的奈米片之間的距離)使較厚的輸出入閘極氧化物得以用於實現奈米片結構輸出入裝置。可製造使用奈米片結構的全繞式閘極電晶體使其彼此相鄰或彼此分離開,並可將其用於邏輯裝置、靜態隨機存取記憶體裝置、輸出入裝置、靜電放電裝置或被動元件。
根據一實施例,半導體裝置的製造方法包括:於基板上形成第一交替層堆疊,其中形成第一交替層堆疊的步驟包括於基板上交替沉積第一半導體材料的第一層與第二半導體材料的第二層,第二半導體材料與第一半導體材料不同;於基板上形成第二交替層堆疊,距第一交替層堆疊第一距離,其中形成第二交替層堆疊的步驟包括於基板上交替沉積第一半導體材料的第一層與第二半導體材料的第二層,且其中相對該第一交替層堆疊的第一層,第二交替層堆疊的第一層具有較大的厚度;從第一交替層堆疊建構第一奈米片堆疊且從第二交替層堆疊建構第二奈米片堆疊,其中建構第一與第二奈米片堆疊的步驟包括:從第一交替層堆疊圖案化第一鰭片,且從第二交替層堆疊圖案化第二鰭片;以及從第一交替層堆疊移除第一層且從第二交替層堆疊移除第一層,使得第二交替層堆疊相鄰的剩餘層間之距離大於第一交替層堆疊相鄰的剩餘層間之距離;以及於第一奈米片堆疊之上形成第一閘極介電質,且於第二奈米片堆疊之上形成第二閘極介電質。在一實施例中,形成第一閘極介電質使其包括第一閘極介電質厚度,且形成第二閘極介電質使其包括第二閘極介電質厚度,第二閘極介電質厚度大於第一閘極介電質厚度。在一實施例中,形成第一與第二閘極介電質使其包括不同材料。在一實施例中,透過控制用於形成第一交替層堆疊的磊晶成長循環之數量,形成第一交替層堆疊使其包括第一數量的交替層;其中透過控制用於形成第二交替層堆疊的磊晶成長循環之數量,形成第二交替層堆疊使其包括第二數量的交替層;且其中第一數量與第二數量不同。在一實施例中,形成第二交替層堆疊的第二層使其相對於第一交替層堆疊的第二層具有較大的厚度。
根據另一實施例,半導體裝置的製造方法包括:於基板上的第一交替層堆疊中蝕刻凹口,其中第一交替層堆疊包括交替的第一層與第二層,第一層包括第一半導體材料而第二層包括第二半導體材料,第一半導體材料與第二半導體材料不同,其中第一交替層堆疊的第一層具有第一平均厚度,而第一交替層堆疊的第二層具有第二平均厚度,其中透過控制第一交替層堆疊的第一層與第二層的磊晶成長,決定第一平均厚度與第二平均厚度;於第一交替層堆疊中形成第二交替層堆疊,其中形成第二交替層堆疊的步驟包括於凹口中沉積交替的第一層與第二層,第一層包括第一半導體材料,而第二層包括第二半導體材料,其中第二交替層堆疊的第一層具有第三平均厚度,而第二交替層堆疊的第二層具有第四平均厚度,第三平均厚度與第一平均厚度不同,且第四平均厚度與第二平均厚度不同,其中透過控制第二交替層堆疊的第一層與第二層的磊晶成長,決定第三平均厚度與第四平均厚度;從第一交替層堆疊建構第一奈米片堆疊,且從第二交替層堆疊建構第二奈米片堆疊,其中建構第一與第二奈米片堆疊的步驟包括:從第一交替層堆疊圖案化第一鰭片,且從第二交替層堆疊圖案化第二鰭片;以及從第一交替層堆疊與第二交替層堆疊移除第一層與第二層的其中之一;以及於第一奈米片堆疊之上形成第一閘極介電質,且於第二奈米片堆疊之上形成第二閘極介電質。在一實施例中,形成第一奈米片堆疊使其具有第一寬度,且形成第二奈米片堆疊使其具有第二寬度,第一寬度與第二寬度不同。在一實施例中,形成第一與第二奈米片堆疊使其包括不同數量的奈米片。在一實施例中,形成第二交替層堆疊的步驟更包括:於凹口的側壁上形成間隔物;以及於間隔物的側壁間的凹口中交替沉積第一半導體材料的第一層與第二半導體材料的第二層。在一實施例中,圖案化第二鰭片的步驟包括將間隔物蝕刻掉。在一實施例中,形成第二交替層堆疊的步驟更包括於凹口的底部與側壁上順應地交替沉積第一半導體材料的第一層與第二半導體材料的第二層。在一實施例中,圖案化第二鰭片的步驟包括將第二交替層堆疊的外部部份蝕刻掉,使其剩餘部分僅包括交替的第一半導體材料之水平第一層與第二半導體材料之水平第二層。
根據更另一實施例,半導體裝置包括:第一奈米片堆疊,其中第一閘極介電質圍繞第一奈米片堆疊的每個奈米片,其中第一奈米片堆疊的相鄰奈米片以第一平均間距彼此隔離;以及第二奈米片堆疊,距第一奈米片堆疊第一距離,其中第二閘極介電質圍繞第二奈米片堆疊的每個奈米片,其中第二奈米片堆疊的相鄰奈米片以第二平均間距彼此隔離,其中第二平均間距大於第一平均間距。在一實施例中,第一閘極介電質具有第一平均厚度,而第二閘極介電質具有第二平均厚度,第二平均厚度大於第一平均厚度。在一實施例中,第一與第二奈米片堆疊包括不同數量的奈米片。在一實施例中,第一奈米片堆疊的奈米片具有第一平均厚度,而第二奈米片堆疊的奈米片具有第二平均厚度,第二平均厚度大於第一平均厚度。在一實施例中,第一奈米片堆疊包括部份的邏輯元件,而第二奈米片堆疊包括部份的輸出入裝置。在一實施例中,第三奈米片堆疊距第一奈米片堆疊第二距離,第二距離小於第一距離,且其中第一奈米片堆疊具有第一寬度,而第三奈米片堆疊具有第二寬度,第一寬度大於第二寬度。在一實施例中,第三奈米片堆疊距第一奈米片堆疊第二距離,第二距離小於第一距離,其中第三閘極介電質圍繞第三奈米片堆疊的每個奈米片,其中第一閘極介電質具有第一平均厚度,第二閘極介電質具有第二平均厚度,第三閘極介電質具有第三平均厚度,第三平均厚度大於第一平均厚度,第三平均厚度小於第二平均厚度。在一實施例中,第三奈米片堆疊距第一奈米片堆疊第二距離,第二距離小於第一距離,且其中第一與第三奈米片堆疊包括不同數量的奈米片。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可更易理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解到,此類等效的製程和結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍之下,做各式各樣的改變、取代和替換。
100:原材料
101:基板
103:佈植製程
105:凹口
200:多層結構
203a:第一交替層堆疊
203b:第二交替層堆疊
205a、205b、205c:第一半導體層
207a、207b、207c:第二半導體層
209:硬遮罩層
301、305:溝槽
303a:第一鰭片
303b:第二鰭片
303c:第三鰭片
310、311:間隔物
313:淺溝槽隔離區
401:虛置閘極氧化層
407a:第一奈米片堆疊
407b:第二奈米片堆疊
407c:第三奈米片堆疊
409:介電材料
410:內間隔物
411:虛置金屬層
412:虛置金屬閘極電極
413:第一硬遮罩層
415:第二硬遮罩層
417:虛置閘極硬遮罩層堆疊
419:虛置閘極金屬堆疊
431:間隔物層
441:側壁間隔物
503:源極∕汲極區
513:層間介電質
521a:第一閘極介電質
521b:第二閘極介電質
521c:第三閘極介電質
525:金屬閘極結構
B-B、C-C:剖面
以下將配合所附圖式詳述本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。
第1圖、第2A至2B圖、第3圖、第4A至4D圖、第5A至5B圖、第6A至6B圖、第7A至7B圖、第8A至8B圖、第9圖、第10A至10B圖、第11圖、第12A至12C圖、第13至17圖、第18A至18C圖、第19A至19C圖、第20A至20C圖、第21A至21C圖是根據一些實施例,繪示出形成半導體裝置的中間階段之各種剖面圖與透視圖,其是透過形成並圖案化半導體材料的兩交替層堆疊所形成。
第22至27圖、第28A至28B圖是根據一些實施例,繪示出圖案化半導體材料的三個交替層堆疊的中間階段之各種剖面圖。
101:基板
207b:半導體層
303a:第一鰭片
303b:第二鰭片
313:淺溝槽隔離區
407a:第一奈米片堆疊
521a:第一閘極介電質
521b:第二閘極介電質
Claims (9)
- 一種半導體裝置的製造方法,包括:於一基板上形成一第一交替層(alternating layer)堆疊,其中形成該第一交替層堆疊的步驟包括於該基板上交替沉積一第一半導體材料的多個第一層與一第二半導體材料的多個第二層,該第二半導體材料與該第一半導體材料不同;於該基板上形成一第二交替層堆疊,距該第一交替層堆疊一第一距離,其中形成該第二交替層堆疊的步驟包括於該基板上交替沉積該第一半導體材料的多個第一層與該第二半導體材料的多個第二層,且其中相對於該第一交替層堆疊的該些第一層,該第二交替層堆疊的該些第一層具有較大的厚度;從該第一交替層堆疊建構一第一奈米片(nanosheet)堆疊且從該第二交替層堆疊建構一第二奈米片堆疊,其中建構該第一與該第二奈米片堆疊的步驟包括:從該第一交替層堆疊圖案化一第一鰭片(fin),且從該第二交替層堆疊圖案化一第二鰭片;以及從該第一交替層堆疊移除該些第一層且從該第二交替層堆疊移除該些第一層,使得該第二交替層堆疊相鄰的剩餘層間之距離大於該第一交替層堆疊相鄰的剩餘層間之距離;以及於該第一奈米片堆疊之上形成一第一閘極介電質,且於該第二奈米片堆疊之上形成一第二閘極介電質,其中形成該第一閘極介電質使其包括一第一閘極介電質厚度,且形成該第二閘極介電質使其包括一第二閘極介電質厚度,該第二閘極介電質厚度大於該第一閘極介電質厚度。
- 如申請專利範圍第1項所述之半導體裝置的製造方法,其中透過控制用於形成該第一交替層堆疊的磊晶成長(epitaxial growth)循環之數量,形 成該第一交替層堆疊使其包括一第一數量的交替層;其中透過控制用於形成該第二交替層堆疊的磊晶成長循環之數量,形成該第二交替層堆疊使其包括一第二數量的交替層;且其中該第一數量與該第二數量不同。
- 如申請專利範圍第1或2項中任一項所述之半導體裝置的製造方法,其中形成該第二交替層堆疊的該些第二層使其相對於該第一交替層堆疊的該些第二層具有較大的厚度。
- 一種半導體裝置的製造方法,包括:於一基板上的一第一交替層堆疊中蝕刻一凹口(recess),其中該第一交替層堆疊包括交替的多個第一層與多個第二層,該些第一層包括一第一半導體材料而該些第二層包括一第二半導體材料,該第一半導體材料與該第二半導體材料不同,其中該第一交替層堆疊的該些第一層具有一第一平均厚度,而該第一交替層堆疊的該些第二層具有一第二平均厚度,其中透過控制該第一交替層堆疊的該些第一層與該些第二層的磊晶成長,決定該第一平均厚度與該第二平均厚度;於該第一交替層堆疊中形成一第二交替層堆疊,其中形成該第二交替層堆疊的步驟包括於該凹口中沉積交替的多個第一層與多個第二層,該些第一層包括該第一半導體材料,而該些第二層包括該第二半導體材料,其中該第二交替層堆疊的該些第一層具有一第三平均厚度,而該第二交替層堆疊的該些第二層具有一第四平均厚度,該第三平均厚度與該第一平均厚度不同,且該第四平均厚度與該第二平均厚度不同,其中透過控制該第二交替層堆疊的該些第一層與該些第二層的磊晶成長,決定該第三平均厚度與該第四平均厚度;從該第一交替層堆疊建構一第一奈米片堆疊,且從該第二交替層堆疊建構一第二奈米片堆疊,其中建構該第一與該第二奈米片堆疊的步驟包括:從該第一交替層堆疊圖案化一第一鰭片,且從該第二交替層堆疊圖案化一 第二鰭片;以及從該第一交替層堆疊與該第二交替層堆疊移除該些第一層與該些第二層的其中之一;以及於該第一奈米片堆疊之上形成一第一閘極介電質,且於該第二奈米片堆疊之上形成一第二閘極介電質。
- 如申請專利範圍第4項所述之半導體裝置的製造方法,其中形成該第一奈米片堆疊使其具有一第一寬度,且形成該第二奈米片堆疊使其具有一第二寬度,該第一寬度與該第二寬度不同。
- 如申請專利範圍第4或5項所述之半導體裝置的製造方法,其中形成該第二交替層堆疊的步驟更包括:於該凹口的多個側壁上形成一間隔物(spacer);以及於該間隔物的多個側壁間的該凹口中交替沉積該第一半導體材料的多個第一層與該第二半導體材料的多個第二層。
- 一種半導體裝置,包括一第一奈米片堆疊,其中一第一閘極介電質圍繞該第一奈米片堆疊的每個奈米片,其中該第一奈米片堆疊的相鄰奈米片以一第一平均間距(spacing)彼此隔離;以及一第二奈米片堆疊,距該第一奈米片堆疊一第一距離,其中一第二閘極介電質圍繞該第二奈米片堆疊的每個奈米片,其中該第二奈米片堆疊的相鄰奈米片以一第二平均間距彼此隔離,其中該第二平均間距大於該第一平均間距,其中該第一閘極介電質具有一第一平均厚度,該第二閘極介電質具有一第二平均厚度,且該第二平均厚度大於該第一平均厚度。
- 如申請專利範圍第7項所述之半導體裝置,其中一第三奈米片堆疊距該第一奈米片堆疊一第二距離,該第二距離小於該第一距離,該第一與該 第三奈米片堆疊包括不同數量的奈米片,且其中該第一奈米片堆疊具有一第一寬度,而該第三奈米片堆疊具有一第二寬度,該第一寬度大於該第二寬度。
- 如申請專利範圍第7項所述之半導體裝置,其中一第三奈米片堆疊距該第一奈米片堆疊一第二距離,該第二距離小於該第一距離,該第一與該第三奈米片堆疊包括不同數量的奈米片,其中一第三閘極介電質圍繞該第三奈米片堆疊的每個奈米片,其中該第三閘極介電質具有一第三平均厚度,該第三平均厚度大於該第一平均厚度,該第三平均厚度小於該第二平均厚度。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862772387P | 2018-11-28 | 2018-11-28 | |
US62/772,387 | 2018-11-28 | ||
US16/409,386 US11101359B2 (en) | 2018-11-28 | 2019-05-10 | Gate-all-around (GAA) method and devices |
US16/409,386 | 2019-05-10 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202020993A TW202020993A (zh) | 2020-06-01 |
TWI715317B true TWI715317B (zh) | 2021-01-01 |
Family
ID=70770893
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW108143412A TWI715317B (zh) | 2018-11-28 | 2019-11-28 | 半導體裝置的製造方法及半導體裝置 |
Country Status (3)
Country | Link |
---|---|
US (3) | US11101359B2 (zh) |
CN (1) | CN111244038B (zh) |
TW (1) | TWI715317B (zh) |
Families Citing this family (31)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9620590B1 (en) * | 2016-09-20 | 2017-04-11 | International Business Machines Corporation | Nanosheet channel-to-source and drain isolation |
US10546957B2 (en) * | 2018-01-11 | 2020-01-28 | International Business Machines Corporation | Nanosheet FET including all-around source/drain contact |
EP3653568B1 (en) * | 2018-11-14 | 2022-10-19 | IMEC vzw | A method for forming a semiconductor device comprising nanowire field-effect transistors |
US11101359B2 (en) | 2018-11-28 | 2021-08-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate-all-around (GAA) method and devices |
US12057491B2 (en) * | 2019-01-03 | 2024-08-06 | Intel Corporation | Self-aligned gate endcap (SAGE) architectures with gate-all-around devices above insulator substrates |
KR20200142153A (ko) * | 2019-06-11 | 2020-12-22 | 삼성전자주식회사 | 반도체 소자 |
US20210183857A1 (en) * | 2019-12-13 | 2021-06-17 | Intel Corporation | Nanoribbon thick gate device with hybrid dielectric tuning for high breakdown and vt modulation |
US11996403B2 (en) * | 2019-12-13 | 2024-05-28 | Intel Corporation | ESD diode solution for nanoribbon architectures |
US11056396B1 (en) * | 2019-12-27 | 2021-07-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around devices having gate dielectric layers of varying thicknesses and method of forming the same |
US11302692B2 (en) * | 2020-01-16 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices having gate dielectric layers of varying thicknesses and methods of forming the same |
US11664656B2 (en) | 2020-03-18 | 2023-05-30 | Mavagail Technology, LLC | ESD protection for integrated circuit devices |
US11450686B2 (en) | 2020-06-29 | 2022-09-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | High density 3D FERAM |
US11322505B2 (en) * | 2020-06-30 | 2022-05-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Ferroelectric random access memory devices and methods |
US11328959B2 (en) * | 2020-07-22 | 2022-05-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and related methods |
US11615962B2 (en) * | 2020-09-11 | 2023-03-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structures and methods thereof |
US11916070B2 (en) * | 2020-10-22 | 2024-02-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure with nanosheets |
KR20220068283A (ko) | 2020-11-18 | 2022-05-26 | 삼성전자주식회사 | 반도체 소자 |
US20220199771A1 (en) * | 2020-12-23 | 2022-06-23 | Intel Corporation | Neighboring gate-all-around integrated circuit structures having conductive contact stressor between epitaxial source or drain regions |
US20220238678A1 (en) * | 2021-01-28 | 2022-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Device and method of fabricating multigate devices having different channel configurations |
US11605729B2 (en) | 2021-03-01 | 2023-03-14 | Nxp B.V. | Method of making nanosheet local capacitors and nvm devices |
US11685647B2 (en) | 2021-03-01 | 2023-06-27 | Nxp B.V. | Nanosheet MEMs sensor device and method of manufacture |
JP7551542B2 (ja) * | 2021-03-05 | 2024-09-17 | キオクシア株式会社 | 半導体装置の製造方法 |
US12009267B2 (en) | 2021-03-16 | 2024-06-11 | Nxp B.V. | Nanosheet device with different gate lengths in same stack |
US11776856B2 (en) | 2021-03-25 | 2023-10-03 | Nxp B.V. | Nanosheet transistors with different gate materials in same stack and method of making |
US11769797B2 (en) | 2021-03-25 | 2023-09-26 | Nxp B.V. | Method of making nanosheet fringe capacitors or MEMS sensors with dissimilar electrode materials |
US11955526B2 (en) * | 2021-06-15 | 2024-04-09 | International Business Machines Corporation | Thick gate oxide device option for nanosheet device |
US20230010541A1 (en) * | 2021-07-08 | 2023-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate all around device and method of forming the same |
US20230084182A1 (en) * | 2021-09-13 | 2023-03-16 | Intel Corporation | Selective depopulation of gate-all-around semiconductor devices |
US12113067B2 (en) * | 2021-09-13 | 2024-10-08 | International Business Machines Corporation | Forming N-type and P-type horizontal gate-all-around devices |
CN114639606A (zh) * | 2022-03-01 | 2022-06-17 | 复旦大学 | 沟道的刻蚀方法、半导体器件及其制备方法与电子设备 |
US20240145550A1 (en) * | 2022-10-27 | 2024-05-02 | Applied Materials, Inc. | Carbon-containing cap layer for doped semiconductor epitaxial layer |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW201607039A (zh) * | 2014-06-11 | 2016-02-16 | 三星電子股份有限公司 | 結晶多奈米片應變通道場效電晶體 |
TW201618309A (zh) * | 2012-07-27 | 2016-05-16 | 英特爾公司 | 奈米線電晶體裝置及其形成技術(二) |
TW201620822A (zh) * | 2014-10-27 | 2016-06-16 | 格羅方德半導體公司 | 奈米線結構之製法 |
TW201719895A (zh) * | 2015-11-16 | 2017-06-01 | 台灣積體電路製造股份有限公司 | 製造堆疊奈米線電晶體之方法 |
Family Cites Families (40)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6624450B1 (en) * | 1992-03-27 | 2003-09-23 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method for forming the same |
US8551843B1 (en) * | 2012-05-07 | 2013-10-08 | Globalfoundries Inc. | Methods of forming CMOS semiconductor devices |
US9324622B2 (en) * | 2012-08-15 | 2016-04-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of forming the same |
US9209247B2 (en) | 2013-05-10 | 2015-12-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned wrapped-around structure |
US8900978B1 (en) * | 2013-05-30 | 2014-12-02 | Stmicroelectronics, Inc. | Methods for making a semiconductor device with shaped source and drain recesses and related devices |
US9764950B2 (en) | 2013-08-16 | 2017-09-19 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor arrangement with one or more semiconductor columns |
US9379196B2 (en) * | 2014-02-06 | 2016-06-28 | Infineon Technologies Austria Ag | Method of forming a trench using epitaxial lateral overgrowth and deep vertical trench structure |
US9224833B2 (en) | 2014-02-13 | 2015-12-29 | Taiwan Semiconductor Manufacturing Company Limited | Method of forming a vertical device |
US9653563B2 (en) | 2014-04-18 | 2017-05-16 | Taiwan Semiconductor Manufacturing Company Limited | Connection structure for vertical gate all around (VGAA) devices on semiconductor on insulator (SOI) substrate |
TWI569415B (zh) * | 2014-08-11 | 2017-02-01 | 旺宏電子股份有限公司 | 半導體結構及其製造方法 |
US9356104B2 (en) * | 2014-08-13 | 2016-05-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with gate stack |
US9251888B1 (en) | 2014-09-15 | 2016-02-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | SRAM cells with vertical gate-all-round MOSFETs |
TWI567948B (zh) * | 2014-10-07 | 2017-01-21 | 旺宏電子股份有限公司 | 三維堆疊半導體結構及其製造方法 |
TWI574386B (zh) * | 2015-05-12 | 2017-03-11 | 旺宏電子股份有限公司 | 半導體結構之形成方法 |
CN106298472B (zh) * | 2015-05-14 | 2019-01-18 | 旺宏电子股份有限公司 | 半导体结构的形成方法 |
US9418897B1 (en) * | 2015-06-15 | 2016-08-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wrap around silicide for FinFETs |
US10038053B2 (en) * | 2015-10-12 | 2018-07-31 | International Business Machines Corporation | Methods for removal of selected nanowires in stacked gate all around architecture |
US9685564B2 (en) * | 2015-10-16 | 2017-06-20 | Samsung Electronics Co., Ltd. | Gate-all-around field effect transistors with horizontal nanosheet conductive channel structures for MOL/inter-channel spacing and related cell architectures |
WO2017111874A1 (en) * | 2015-12-23 | 2017-06-29 | Intel Corporation | Dual threshold voltage (vt) channel devices and their methods of fabrication |
KR102482877B1 (ko) * | 2016-02-01 | 2022-12-29 | 삼성전자 주식회사 | 집적회로 소자 및 그 제조 방법 |
KR102435521B1 (ko) * | 2016-02-29 | 2022-08-23 | 삼성전자주식회사 | 반도체 소자 |
US9735269B1 (en) * | 2016-05-06 | 2017-08-15 | International Business Machines Corporation | Integrated strained stacked nanosheet FET |
US9905672B2 (en) * | 2016-05-23 | 2018-02-27 | Samsung Electronics Co., Ltd. | Method of forming internal dielectric spacers for horizontal nanosheet FET architectures |
US10236362B2 (en) * | 2016-06-30 | 2019-03-19 | International Business Machines Corporation | Nanowire FET including nanowire channel spacers |
US9853114B1 (en) * | 2016-10-24 | 2017-12-26 | Samsung Electronics Co., Ltd. | Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same |
US10008603B2 (en) * | 2016-11-18 | 2018-06-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate device and method of fabrication thereof |
US9935014B1 (en) * | 2017-01-12 | 2018-04-03 | International Business Machines Corporation | Nanosheet transistors having different gate dielectric thicknesses on the same chip |
US9954058B1 (en) * | 2017-06-12 | 2018-04-24 | International Business Machines Corporation | Self-aligned air gap spacer for nanosheet CMOS devices |
US9991352B1 (en) * | 2017-07-17 | 2018-06-05 | Globalfoundries Inc. | Methods of forming a nano-sheet transistor device with a thicker gate stack and the resulting device |
US10453736B2 (en) * | 2017-10-09 | 2019-10-22 | International Business Machines Corporation | Dielectric isolation in gate-all-around devices |
US10014390B1 (en) * | 2017-10-10 | 2018-07-03 | Globalfoundries Inc. | Inner spacer formation for nanosheet field-effect transistors with tall suspensions |
US10553696B2 (en) * | 2017-11-21 | 2020-02-04 | International Business Machines Corporation | Full air-gap spacers for gate-all-around nanosheet field effect transistors |
US10431663B2 (en) * | 2018-01-10 | 2019-10-01 | Globalfoundries Inc. | Method of forming integrated circuit with gate-all-around field effect transistor and the resulting structure |
US10971585B2 (en) * | 2018-05-03 | 2021-04-06 | International Business Machines Corporation | Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates |
US10741641B2 (en) * | 2018-06-20 | 2020-08-11 | International Business Machines Corporation | Dielectric isolation and SiGe channel formation for integration in CMOS nanosheet channel devices |
US11476329B2 (en) * | 2018-07-26 | 2022-10-18 | Sony Semiconductor Solutions Corporation | Semiconductor device |
US10886368B2 (en) * | 2018-08-22 | 2021-01-05 | International Business Machines Corporation | I/O device scheme for gate-all-around transistors |
US10559566B1 (en) * | 2018-09-17 | 2020-02-11 | International Business Machines Corporation | Reduction of multi-threshold voltage patterning damage in nanosheet device structure |
US11239359B2 (en) * | 2018-09-29 | 2022-02-01 | International Business Machines Corporation | Fabricating a gate-all-around (GAA) field effect transistor having threshold voltage asymmetry by thinning source side lateral end portion of the nanosheet layer |
US11101359B2 (en) | 2018-11-28 | 2021-08-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate-all-around (GAA) method and devices |
-
2019
- 2019-05-10 US US16/409,386 patent/US11101359B2/en active Active
- 2019-11-28 CN CN201911194723.9A patent/CN111244038B/zh active Active
- 2019-11-28 TW TW108143412A patent/TWI715317B/zh active
-
2021
- 2021-08-23 US US17/409,086 patent/US12057485B2/en active Active
-
2024
- 2024-07-01 US US18/760,602 patent/US20240355896A1/en active Pending
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW201618309A (zh) * | 2012-07-27 | 2016-05-16 | 英特爾公司 | 奈米線電晶體裝置及其形成技術(二) |
TW201607039A (zh) * | 2014-06-11 | 2016-02-16 | 三星電子股份有限公司 | 結晶多奈米片應變通道場效電晶體 |
TW201620822A (zh) * | 2014-10-27 | 2016-06-16 | 格羅方德半導體公司 | 奈米線結構之製法 |
TW201719895A (zh) * | 2015-11-16 | 2017-06-01 | 台灣積體電路製造股份有限公司 | 製造堆疊奈米線電晶體之方法 |
Also Published As
Publication number | Publication date |
---|---|
US12057485B2 (en) | 2024-08-06 |
CN111244038B (zh) | 2022-12-02 |
CN111244038A (zh) | 2020-06-05 |
US11101359B2 (en) | 2021-08-24 |
US20210384311A1 (en) | 2021-12-09 |
TW202020993A (zh) | 2020-06-01 |
US20240355896A1 (en) | 2024-10-24 |
US20200168715A1 (en) | 2020-05-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI715317B (zh) | 半導體裝置的製造方法及半導體裝置 | |
US11380590B2 (en) | Mechanisms for forming FinFET device | |
US12040386B2 (en) | Self-aligned epitaxy layer | |
TWI714020B (zh) | 半導體結構及其製作方法 | |
US11527430B2 (en) | Semiconductor device and method | |
TWI777634B (zh) | 半導體裝置及其形成方法 | |
TW201802893A (zh) | 半導體裝置的形成方法 | |
US11935781B2 (en) | Integrated circuit structure with backside dielectric layer having air gap | |
KR102450064B1 (ko) | 반도체 디바이스 및 방법 | |
TW202113989A (zh) | 半導體元件及其製造方法 | |
TWI770789B (zh) | 電晶體、半導體裝置及形成方法 | |
US20200373154A1 (en) | Semiconductor Device and Method | |
US11721745B2 (en) | Methods for increasing germanium concentration of surfaces of a silicon germanium portion of a fin and resulting semiconductor devices | |
US20240194765A1 (en) | Semiconductor Device and Method | |
US20230178600A1 (en) | Semiconductor Device Structure and Method for Forming the Same | |
US20240266397A1 (en) | Semiconductor Devices Having Funnel-Shaped Gate Structures | |
US11769821B2 (en) | Semiconductor device having a corner spacer | |
US20230411479A1 (en) | Semiconductor device and manufacturing method thereof | |
TWI795774B (zh) | 填充結構及其製造方法 | |
US20240047546A1 (en) | Integrated circuit structure with backside via | |
US20230317859A1 (en) | Transistor gate structures and methods of forming thereof | |
US20230131688A1 (en) | Nanosheet channel formation method and structure | |
US20230395693A1 (en) | Semiconductor device and manufacturing method thereof | |
US20230113269A1 (en) | Semiconductor device structure and method for forming the same | |
US20230178418A1 (en) | Multigate device structure with engineered cladding and method making the same |