KR101745785B1 - Finfet 구조물 및 그것의 형성 방법 - Google Patents

Finfet 구조물 및 그것의 형성 방법 Download PDF

Info

Publication number
KR101745785B1
KR101745785B1 KR1020150125434A KR20150125434A KR101745785B1 KR 101745785 B1 KR101745785 B1 KR 101745785B1 KR 1020150125434 A KR1020150125434 A KR 1020150125434A KR 20150125434 A KR20150125434 A KR 20150125434A KR 101745785 B1 KR101745785 B1 KR 101745785B1
Authority
KR
South Korea
Prior art keywords
layer
source
sidewall
drain region
superlattice
Prior art date
Application number
KR1020150125434A
Other languages
English (en)
Other versions
KR20160147608A (ko
Inventor
쳉이 펑
치치에 예
?린 리
린 리
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20160147608A publication Critical patent/KR20160147608A/ko
Application granted granted Critical
Publication of KR101745785B1 publication Critical patent/KR101745785B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/15Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices
    • H01L29/151Compositional structures
    • H01L29/152Compositional structures with quantum effects only in vertical direction, i.e. layered structures with quantum effects solely resulting from vertical potential variation
    • H01L29/155Comprising only semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13067FinFET, source/drain region shapes fins on the silicon surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

finFET과 finFET을 형성하는 방법에 대해 설명한다. 일부 실시형태에 따르면, 구조물은 채널 영역과, 제1 및 제2 소스/드레인 영역과, 유전체층과, 게이트 전극을 포함한다. 채널 영역은 기판 위에 반도체층을 포함한다. 반도체층 각각은 반도체층의 인접한 층들로부터 분리되고, 반도체층 각각은 제1 측벽과 제2 측벽을 갖는다. 제1 및 제2 측벽은 각각 기판에 대해 수직으로 연장되는 제1면 및 제2면을 따라 얼라인된다. 제1 및 제2 소스/드레인 영역은 채널 영역의 대향측 상에 배치된다. 반도체층은 제1 소스/드레인 영역으로부터 제2 소스/드레인 영역까지 연장된다. 유전체층은 반도체층의 제1 및 제2 측벽과 접촉하고, 유전체층은 제1면과 제2면 사이의 영역 내로 연장된다. 게이트 전극은 유전체층 위에 있다.

Description

FINFET 구조물 및 그것의 형성 방법{FINFET STRUCTURES AND METHODS OF FORMING THE SAME}
반도체 디바이스는 컴퓨터, 셀 폰, 및 기타 등의 다수의 전자 장치에 이용되고 있다. 반도체 디바이스는, 반도체 웨이퍼 위에 다수 종류의 박막의 재료를 적층하고 집적 회로를 형성하기 위한 박막의 재료를 패터닝함으로써 반도체 웨이퍼 상에 형성된 집적 회로를 포함한다. 집적 회로는 MOS(metal oxide semiconductor) 트랜지스터 등의 FET(field-effect transistor)을 포함한다.
반도체 산업의 목표 중 하나는 사이즈를 계속해서 삭감하여 개별 FET 속도를 높이는 것이다. 이 목표를 달성하기 위해, 핀 FET(finFET) 또는 다중 게이트 트랜지스터가 연구중이며 구현되고 있다. 그러나, 이 새로운 디바이스 구조에 의해 계속해서 finFET도 축소되지만, 새로운 과제가 발견되고 있다.
본 개시의 양태들은 첨부 도면을 참조한 이하의 상세한 설명으로부터 가장 잘 이해된다. 해당 산업계의 표준적 실무에 따라, 다양한 특징부를 실척으로 도시하지는 않는다. 사실상, 다양한 특징부의 치수는 설명의 편의상 임의대로 확대 또는 축소될 수 있다.
도 1a, 도 1b, 도 2a, 도 2b, 도 3a, 도 3b, 도 4a, 및 도 4b는 일부 실시형태에 따른 finFET(fin Field-Effect Transistor)에 대한, 초격자(superlattice)를 포함하는 핀의 제조에 있어서 중간 스테이지의 다양한 3차원(3D) 단면도이다.
도 5는 일부 실시형태에 따른, 도 1a-도 1b 내지 도 4a-도 4b에서 제조된 핀 제조의 공정 흐름도이다.
도 6a, 도 6b, 도 7a, 도 7b, 도 8a, 도 8b, 도 9a, 및 도 9b는 일부 예시적인 실시형태에 따른 finFET의 제조에 있어서 중간 스테이지의 다양한 3D 단면도이다.
도 10은 일부 실시형태에 따른, 도 6a-도 6b 내지 도 9a-도 9b에서 제조된 핀 제조의 공정 흐름도이다.
도 11a, 도 11b, 도 11c, 도 12a, 도 12b, 도 12c, 도 13a, 도 13b, 도 13c, 도 14a, 도 14b, 도 14c, 도 15a, 도 15b, 도 15c, 도 16a, 도 16b, 도 16c, 도 17a, 도 17b, 도 17c, 도 18a, 도 18b, 및 도 18c는 일부 예시적인 실시형태에 따른, 초격자 채널 영역을 포함하는 finFET의 제조에 있어서 중간 스테이지의 다양한 3D 단면도이다.
도 19는 일부 실시형태에 따른, 도 11a-도 11c 내지 도 18a-도 18c에서 제조된 핀 제조의 공정 흐름도이다.
도 20은 일부 실시형태에 따른 finFET을 제조하는 또 다른 공정 흐름도이다.
도 21a, 도 21b, 및 도 21c는 일부 실시형태에 따른 도 20의 제1예의 에칭 단계의 결과를 나타내는 다양한 3D 단면도이다.
도 22a, 도 22b, 및 도 22c는 일부 실시형태에 따른 도 20의 공정 흐름도에 따라, 도 21a-도 21c의 에칭 단계에서 형성된 구조의 다양한 3D 단면도이다.
도 23a, 도 23b 및 도 23c는 일부 예시적인 실시형태에 따른 도 20의 제2예의 에칭 단계의 결과를 나타내는 다양한 3D 단면도이다.
도 24a, 도 24b 및 도 24c는 일부 예시적인 실시형태에 따른 도 20의 공정 흐름도에 따라, 도 23a-도 23c의 에칭 단계에서 형성된 구조의 다양한 3D 단면도이다.
도 25a, 도 25b, 및 도 25c는 일부 실시형태에 따른 도 20의 제3예의 에칭 단계의 결과를 나타내는 다양한 3D 단면도이다.
도 26a, 도 26b, 및 도 26c는 일부 실시형태에 따른 도 20의 공정 흐름도에 따라, 도 25a-도 25c의 에칭 단계에서 형성된 구조의 다양한 3D 단면도이다.
도 27a, 도 27b, 및 도 27c는 일부 실시형태에 따른 도 20의 제4예의 에칭 단계의 결과를 나타내는 다양한 3D 단면도이다.
도 28a, 도 28b, 및 도 28c는 일부 실시형태에 따른 도 20의 공정 흐름도에 따라, 도 27a-도 27c의 에칭 단계에서 형성된 구조의 다양한 3D 단면도이다.
도 29a, 도 29b, 및 도 29c는 일부 실시형태에 따른 도 20의 제5예의 에칭 단계의 결과를 나타내는 다양한 3D 단면도이다.
도 30a, 도 30b, 및 도 30c는 일부 실시형태에 따른 도 20의 공정 흐름도에 따라, 도 29a-도 29c의 에칭 단계에서 형성된 구조의 다양한 3D 단면도이다.
도 31a, 도 31b, 및 도 31c는 일부 실시형태에 따른 도 20의 제6예의 에칭 단계의 결과를 나타내는 다양한 3D 단면도이다.
도 32a, 도 32b, 및 도 32c는 일부 실시형태에 따른 도 20의 공정 흐름도에 따라, 도 31a-도 31c의 에칭 단계에서 형성된 구조의 다양한 3D 단면도이다.
도 33a, 도 33b, 및 도 33c는 일부 실시형태에 따른 도 20의 제7예의 에칭 단계의 결과를 나타내는 다양한 3D 단면도이다.
도 34a, 도 34b, 및 도 34c는 일부 실시형태에 따른 도 20의 공정 흐름도에 따라, 도 33a-도 33c의 에칭 단계에서 형성된 구조의 다양한 3D 단면도이다.
도 35a, 도 35b, 및 도 35c는 일부 실시형태에 따른 도 20의 제8예의 에칭 단계의 결과를 나타내는 다양한 3D 단면도이다.
도 36a, 도 36b, 및 도 36c는 일부 실시형태에 따른 도 20의 공정 흐름도에 따라, 도 35a-도 35c의 에칭 단계에서 형성된 구조의 다양한 3D 단면도이다.
도 37은 일부 실시형태에 따른 상보형 디바이스 구조의 단면도이다.
이하의 개시내용은 본 발명의 상이한 특징을 구현하기 위해 다수의 상이한 실시형태 또는 실시예를 제공한다. 본 개시를 단순화하기 위해 구성요소 및 구성의 특정 실시예에 대해 후술한다. 물론 이들은 예시일뿐이며, 한정되는 것을 목적으로 하지 않는다. 예를 들어, 이어지는 설명에 있어서 제2 특징부 위(over) 또는 상(on)의 제1 특징부의 형성은 제1 및 제2 특징부가 직접 접촉으로 형성되는 실시형태를 포함할 수도 있고, 제1 및 제2 특징부가 직접 접촉하지 않도록 제1 및 제2 특징부 사이에 추가 특징부가 형성될 수 있는 실시형태도 또한 포함할 수 있다. 또한, 본 개시는 다양한 실시예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이 반복은 단순화 및 명확화를 위한 것이며, 그 자체가 설명하는 다양한 실시형태 및/또는 구성 간의 관계를 지시하지 않는다.
또한, "아래(beneath)", "밑(below)", "하위(lower)", "위(above)", "상위(upper)" 등의 공간 관련 용어는 도면에 나타내는 바와 같이 한 요소 또는 특징부와 다른 요소(들) 또는 특징부(들)과의 관계를 설명함에 있어서 설명의 용이성을 위해 본 명세서에 이용될 수 있다. 공간 관련 용어는 도면에 나타내는 배향과 함께, 사용 또는 동작시의 장치의 상이한 배향을 포함하는 것을 의도한다. 장치는 다른 식으로 지향(90도 또는 다른 배향으로 회전)될 수 있으며 본 명세서에 사용한 공간 관련 기술자(descriptor)는 그에 따라 마찬가지로 해석될 수 있다.
finFET(Fin Field-Effect Transistor) 및 그것을 형성하는 방법을 다양한 실시형태들에 따라 제공한다. finFET을 형성하는 중간 스테이지에 대해 예시한다. 본 명세서에 개시하는 일부 실시형태는 게이트 라스트 공정(gate-last process)을 이용해 형성된 finFET의 상황에서 설명된다. 일부 실시형태는 게이트 퍼스트 공정(gate-first process)에서 이용된 양태를 고려한다. 이들 실시형태의 일부 변형예에 대해 설명한다. 당업자라면, 이루어질 수 있는 다른 변경예들이 다른 실시형태의 범주 내에서 고려되는 것을 쉽게 이해할 것이다. 방법 실시형태들에 대해 특정 순서로 설명하고 있지만, 다양한 다른 방법 실시형태들은 임의의 논리적 순서로 수행될 수 있으며 본 명세서에서 설명한 단계들을 더 적게 또는 더 많이 포함할 수 있다.
일부 실시형태는 제조 공정시에 제조되는, n타입 finFET 등의 n타입 디바이스와 p타입 finFET 등의 p타입 디바이스 양쪽을 고려한다. 이에, 일부 실시형태는 상보형 디바이스의 형성을 고려한다. 이하의 도면들은 하나의 디바이스를 예시하고 있지만, 당업자라면, 일부 상이한 디바이스 타입을 가진 다수의 디바이스가 처리시에 형성될 수 있음을 쉽게 이해할 것이다. 상보형 디바이스의 형성의 일부 양태들에 대해 이하에 설명하지만, 이들 양태가 도면에 반드시 예시되지 않을 수도 있다.
도 1a, 도 2a, 도 3a, 및 도 4a는 일부 실시형태에 따른 finFET에 대해, 변형층(strained layer)을 포함할 수 있는 초격자를 포함하는 핀의 제조에 있어서 중간 스테이지의 다양한 3차원(3D) 도면이며, 도 1b, 도 2b, 도 3b, 및 도 4b는 도 1a, 도 2a, 도 3a, 및 도 4a의 각각의 3D 도면의 단면도이다. 도 5는 핀을 제조하는 공정 흐름도이다.
도 1a, 도 1b 및 도 5의 단계 100에 있어서, 기판(20)이 제공된다. 기판(20)은 벌크 반도체 기판, SOI(semiconductor-on-insulator) 기판, 다층 또는 경사 기판 등의 반도체 기판일 수 있다. 기판(20)은 Si와 Ge를 포함하는 원소 반도체, SiC, SiGe, GaAs, GaP, GaAsP, AlInAs, AlGaAs, GaInAs, InAs, GaInP, InP, InSb, 및/또는 GaInAsP를 포함하는 화합물 또는 합금 반도체, 또는 이들의 조합 등의 반도체 재료를 포함할 수 있다. 기판(20)은 도핑되거나 도핑되지 않을 수 있다. 특정예에 있어서, 기판(20)은 웨이퍼일 수 있는 벌크 실리콘 기판이다.
도 2a, 도 2b, 및 도 5의 단계 102에 있어서, 기판(20) 상에 버퍼층(22)이 형성되며 각각 3D 단면도로 도시된다. 일부 실시형태에 있어서 버퍼층(22)이 기판(20) 상에 에피택셜 성장한다. 에피택셜 성장은 MOCVD(Metal-Organic Chemical Vapor Deposition), MBE(Molecular Beam Epitaxy), LPE(Liquid Phase Epitaxy), VPE(Vapor Phase Epitaxy), UHVCVD(Ultra-High-Vacuum Chemical Vapor Deposition), 동류 또는 이들의 조합을 이용할 수 있다. 버퍼층(22)은 Si, Ge, SiGe, SiGeSn 등의 IV족 재료, GaAs, GaP, GaAsP, AlInAs, AlGaAs, GaInAs, InAs, GaInP, InP, InSb, GaInAsP 등의 III족-V족 화합물 재료, 또는 동류일 수 있다. 버퍼층(22)은 결정질 재료일 수 있으며, 그 버퍼층(22)이 성장하는 기판(20)의 결정질 재료의 자연 격자 상수와 일치하지 않는 자연 격자 상수를 가질 수 있다. 버퍼층(22)은 예컨대 가소성(plastic relaxation)으로부터 야기될 수 있는 이완 재료일 수 있다. 버퍼층(22)은 균일 조성, 또는 경사 조성 등의 가변 조성을 가질 수 있다. 경사 조성은 실질적으로 선형으로 경사지거나 또는 불연속 단계로 경사질 수 있다. 버퍼층(22)은 기판(20)과 먼쪽의 버퍼층(22)의 표면이, 이완된 자연 격자 상수를 가진 결정질 구조를 포함하도록 임계 두께보다 두꺼운 두께를 가질 수 있다. 기판(20)과 먼쪽의 버퍼층(22)의 표면에서의 격자 상수는 설명하겠지만, 초격자(24) 내에 응력을 유도하기에 적절할 수 있다. 일부 실시형태에 있어서, 기판(20)이 초격자(24) 내에 원하는 응력을 유도하기에 적절한 격자 상수를 지닌 결정질 재료인 경우와 같다면, 버퍼층(22)을 생략할 수도 있다. 전술한 특정예에 있어서, 버퍼층(22)은 기판(20)과 먼쪽의 표면이 Si0 . 75Ge0 .25이며, 이완되며, 약 100 nm와 같이, 약 50 nm 내지 약 500 nm 범위 내의 두께를 갖는다.
구체적으로 예시하지는 않지만, 적절한 웰이 버퍼층(22) 및/또는 기판(20) 내에 형성될 수 있다. 예를 들어, n타입 finFET 등의 n타입 디바이스가 형성되는 기판(20)의 제1 영역 내에 p웰이 형성될 수 있고, p타입 finFET 등의 p타입 디바이스가 형성되는 기판(20)의 제2 영역 내에 n웰이 형성될 수 있다.
예컨대 제1 영역 내에 p웰을 형성하기 위해, 기판(20)의 제2 영역 내에서 버퍼층(22) 위에 포토레지스트가 형성될 수 있다. 포토레지스트는 기판(20)의 제1 영역을 노출하도록 패터닝될 수 있다. 포토레지스트는 스핀온(spin-on) 기술을 이용해 형성될 수 있고, 조건에 맞는 포토리소그래피 기술을 통해 패터닝될 수 있다. 포토레지스트가 패터닝되면, 제1 영역에서 p타입 불순물 주입이 행해질 수 있고, 포토레지스트는 p타입 불순물이 제2 영역 내에 주입되는 것을 실질적으로 막는 마스크로서 역할할 수 있다. p타입 불순물은 예컨대 약 1017 cm-3과 약 1018 cm-3 사이의, 1018 cm-3 이하의 농도로 제1 영역 내에 주입된 붕소, BF2 등일 수 있다. 주입 후, 포토레지스트는 조건에 맞는 애싱 공정 등에 의해 제거될 수 있다.
또한, 제2 영역 내에 n웰을 형성하기 위해, 기판(20)의 제1 영역 내에서 버퍼층(22) 위에 포토레지스트가 형성될 수 있다. 포토레지스트는 기판(20)의 제2 영역을 노출하도록 패터닝될 수 있다. 포토레지스트는 스핀온(spin-on) 기술을 이용해 형성될 수 있고, 조건에 맞는 포토리소그래피 기술을 통해 패터닝될 수 있다. 포토레지스트가 패터닝되면, 제2 영역에서 n타입 불순물 주입이 행해질 수 있고, 포토레지스트는 n타입 불순물이 제1 영역 내에 주입되는 것을 실질적으로 막는 마스크로서 역할할 수 있다. n타입 불순물은 예컨대 약 1017 cm-3과 약 1018 cm-3 사이의, 1018 cm-3 이하의 농도로 제2 영역 내에 주입된 인, 비소 등일 수 있다. 주입 후, 포토레지스트는 조건에 맞는 애싱 공정 등에 의해 제거될 수 있다. 주입 후에, 주입된 p타입 및 n타입 불순물을 활성화하기 위해 어닐이 수행될 수 있다. 주입은 제1 영역 내에 p웰을 그리고 제2 영역 내에 n웰을 형성할 수 있다.
다른 실시형태에서는, 버퍼층(22)의 에피택셜 성장 중에 p웰과 n웰이 인시추 형성될 수도 있다. 상이한 영역에서 도핑 타입을 상이하게 하기 위해 상이한 웰이 형성되어야 하는 상이한 영역 내의 버퍼층(22)이 상이한 성장 단계에서 에피택셜로 성장할 수 있다.
또, 도 2a, 도 2b 및 도 5의 단계 104에서, 초격자(24)가 버퍼층(22) 상에 형성된다. 초격자(24)는 제1층(26)과 제2층(28)을 교대로 포함한다. 제1층(26)과 제2층(28) 각각은, 일부 실시형태에 있어서, 그것의 하부층 상에 에피택셜로 성장한다. 에피택셜 성장은 MOCVD, MBE, LPE, VPE, UHVCVD, 동류, 또는 이들의 조합을 이용할 수 있다. 제1층(26)과 제2층(28) 각각은 Si, Ge, SiGe, SiGeSn 등의 IV족 재료, GaAs, GaP, GaAsP, AlInAs, AlGaAs, GaInAs, InAs, GaInP, InP, InSb, GaInAsP 등의 III족-V족 화합물 재료, 또는 동류일 수 있다. 초격자(24)는 임의 개의 제1층(26)과 임의 개의 제2층(28)을 포함할 수 있다. 예시하는 바와 같이, 초격자(24)는 4개의 제1층(26)과 4개의 제2층(28)을 구비한다.
제1층(26)과 제2층(28) 각각은 결정질 재료일 수 있다. 일부 실시형태에 있어서, 제1층(26) 또는 제2층(28) 중 어느 한쪽 그룹의 자연 격자 상수는 기판(20)과 먼쪽의 버퍼층(22)(또는 버퍼층(22)이 생략되는 경우에는 기판(20))의 표면의 격자 상수보다 크고, 제1층(26) 또는 제2층(28) 중 다른 한쪽 그룹의 자연 격자 상수는 기판(20)과 먼쪽의 버퍼층(22)(또는 버퍼층(22)이 생략되는 경우에는 기판(20))의 표면의 격자 상수보다 작다. 이에, 이들 실시형태에 있어서, 제1층(26)이 제1 응력 타입으로 변형되고, 제2층(28)은 제1 응력 타입과 대조되는 제2 응력 타입으로 변형된다. 또한 이들 실시형태에 있어서, 제1층(26)과 제2층(28) 각각은 약 3 nm 내지 약 20 nm의 범위와 같이, 임계 두께보다 작은 두께를 가질 수 있다.
일부 실시형태에 있어서, 제1층(26)의 결정질 재료의 자연 격자 상수는 기판(20)과 먼쪽의 버퍼층(22)(또는 버퍼층(22)이 생략되는 경우에는 기판(20))의 표면의 격자 상수보다 크고, 제2층(28)의 결정질 재료의 자연 격자 상수는 기판(20)과 먼쪽의 버퍼층(22)(또는 버퍼층(22)이 생략되는 경우에는 기판(20))의 표면의 격자 상수보다 작다. 따라서, 이들 실시형태에 있어서, 제1층(26)은 압축 변형(compressively strained)될 수 있고, 제2층(28)은 인장 변형(tensilely strained)될 수 있다.
다른 실시형태에 있어서, 제1층(26)의 결정질 재료의 자연 격자 상수는 기판(20)과 먼쪽의 버퍼층(22)(또는 버퍼층(22)이 생략되는 경우에는 기판(20))의 표면의 격자 상수보다 작고, 제2층(28)의 결정질 재료의 자연 격자 상수는 기판(20)과 먼쪽의 버퍼층(22)(또는 버퍼층(22)이 생략되는 경우에는 기판(20))의 표면의 격자 상수보다 크다. 이에, 제1층(26)은 인장 변형될 수 있고, 제2층(28)은 압축 변형될 수 있다.
전술한 특정예에 있어서, 각각의 제1층(26)은 Si0 . 50Ge0 .50층으로서, 버퍼층(22)인 Si0 . 75Ge0 .25보다 자연 격자 상수가 크고, 약 3 nm 내지 약 20 nm의 범위와 같이, 임계 두께보다 작은 두께를 가질 수 있다. 이에, 특정예에서는 제1층(26)이 압축 변형된다. 또 전술한 특정예에 있어서, 각각의 제2층(28)은 Si층으로서, 버퍼층(22)인 Si0 . 75Ge0 .25보다 자연 격자 상수가 작고, 약 3 nm 내지 약 20 nm의 범위와 같이, 임계 두께보다 작은 두께를 가질 수 있다. 이에, 특정예에서는 제2층(28)이 인장 변형된다.
추가 실시형태에 있어서, 제1층(26) 또는 제2층(28)의 그룹들 중 한쪽 또는 양쪽은 기판(20)과 먼쪽의 버퍼층(22)(또는 버퍼층(22)이 생략되는 경우에는 기판(20))의 표면의 격자 상수와 실질적으로 같은 각각의 결정질 재료의 자연 격자 상수를 갖는다. 이에, 제1층(26) 또는 제2층(28)의 그룹들 중 한쪽 또는 양쪽이 이완될 수 있다. 일부 실시형태에 있어서, 제1층(26)은 각각 이완되고, 제2층(28)은 압축 또는 인장 변형된다. 일부 실시형태에 있어서, 제2층(28)은 각각 이완되고, 제1층(26)은 압축 또는 인장 변형된다. 추가 실시형태에서는, 제1층(26)과 제2층(28) 각각이 이완된다.
일부 실시형태에 있어서, 제1층(26)의 재료가 제2층(28)의 재료와 상이하다. 재료의 차이로 전술한 바와 같이 상이하게 변형될 수 있고, 이하에서 명백하겠지만 제1층(26)과 제2층(28) 사이에서 에칭 선택성을 고려할 수 있다.
도 3a, 도 3b 및 도 5의 단계 106에서, 초격자(24) 내에 그리고 핀의 대향측 상에 트렌치를 형성함으로써, 초격자(24)를 포함하는 핀이 형성된다. 일부 실시형태에 있어서, 초격자(24)를 통과해 버퍼층(22) 내로, 그러나 버퍼층(22)을 완전히 통과하지 않게 트렌치를 에칭함으로써 핀이 형성될 수 있다. 일부 실시형태에 있어서, 트렌치는 초격자(24)와 버퍼층(22)을 통과해 연장될 수 있으나 기판(20)까지는 연장되지는 않는다. 일부 실시형태에 있어서, 트렌치는 초격자(24)와 버퍼층(22)을 통과해 그리고 기판(20) 내에까지 연장될 수 있다. 에칭은 RIE(reactive ion etching), NBE(neutral beam etching), 동류, 또는 이들의 조합 등의 임의의 조건에 맞는 에칭 공정일 수 있다. 에칭은 이방성일 수 있다.
도 4a, 도 4b 및 도 5의 단계 108에서, 트렌치는 절연 재료(30)로 충전된다. 절연 재료(30)은 실리콘 산화물 등의 산화물, 질화물, 동류 또는 이들의 조합일 수 있고, HDP-CVD(high density plasma chemical vapor deposition), FCVD(flowable CVD)(예컨대, 원격의 플라즈마 시스템 및 경화후(post curing)에는, 산화물 등의 다른 재료로 변환시키기 위해 CVD 기반의 재료 적층), 동류, 또는 이들의 조합에 의해 형성될 수 있다. 임의의 조건에 맞는 공정에 의해 형성된 기타 절연 재료도 사용할 수 있다. 예시하는 실시형태에서는, 절연 재료(30)가 FCVD 공정에 의해 형성된 실리콘 산화물이다. 절연 재료(30)가 형성되면 어닐 공정이 수행될 수 있다. 또한, CMP(chemical mechanical polish) 등의 평탄화 공정이 임의의 과잉 절연 재료를 제거하여, 동일 평면 상에 있는, 절연 재료(30)의 상단면과 핀의 상단면을 형성할 수 있다.
도 5의 단계 110에 있어서, 도 11a, 도 11b, 및 도 11c에 도시하는 바와 같이, 절연 재료(30)가 리세싱되어, 핀이, 예컨대 초격자(24)의 제1층(26)과 제2층(28) 중 하나 이상이 인접한 절연 영역들(30) 사이에서 돌출되게 되는데, 이들 영역은 STI(Shallow Trench Isolation) 영역이라고 칭해질 수 있다. 절연 영역(30)은 예컨대 절연 재료(30)에 대해 선택적인 것인, 조건에 맞는 에칭 공정을 이용해서 리세싱될 수 있다. 예를 들어, CERTAS® 에칭 또는 Applied Materials SICONI 툴 또는 dHF(dilute hydrofluoric) 산을 이용한 화학적 산화물 제거를 이용할 수 있다.
도 6a, 도 7a, 도 8a, 및 도 9a는 다른 실시형태에 따른 finFET에 대해, 변형층을 포함할 수 있는 초격자를 포함하는 핀의 제조에 있어서 중간 스테이지의 다양한 3D 도면이며, 도 6b, 도 7b, 도 8b, 및 도 9b는 도 6a, 도 7a, 도 8a, 및 도 9a의 각각의 3D 도면의 단면도이다. 도 10은 핀을 제조하는 공정 흐름도이다.
도 6a, 도 6b, 및 도 10의 단계 120에 있어서, 도 1a, 도 1b, 및 도 5의 단계 100에 대해 설명한 바와 같이, 기판(20)이 제공된다. 도 7a, 도 7b, 및 도 10의 단계 122에 있어서 기판(20) 상에 버퍼층(22)이 형성된다. 버퍼층(22)은 2a, 도 2b, 및 도 5의 단계 102에서 설명한 바와 같은 공정에 의해 형성되고 그 재료를 포함할 수 있다. 본 실시형태에 있어서, 버퍼층(22)은 핀의 일부로서 남을 하위부를 구비하고, 후속해서 설명하는 희생성 핀으로서 역할하는 상위부를 추가로 포함한다. 버퍼층(22)의 하위부는 도 2a와 도 2b에서 버퍼층(22)에 대해 설명한 바와 같은 특징을 가질 수 있다. 버퍼층(22)의 상위부는, 기판(20)과 먼쪽의 하위부의 후속 형성된 표면과 같은 조성 등의 임의의 재료 조성일 수 있다. 구체적으로 예시하지는 않지만, 전술한 바와 같이, 주입에 의해 또는 성장중 인시추 등에 의해, 적절한 웰이 버퍼층(22) 및/또는 기판(20) 내에 형성될 수 있다.
도 8a, 도 8b, 및 도 10의 단계 124에 있어서, 버퍼층(22)으로부터 희생성 핀을 형성하기 위해 버퍼층(22) 내에 트렌치가 형성된다. 일부 실시형태에 있어서, 희생성 핀은, 트렌치를 에칭하되, 버퍼층(22)을 완전히 통과하지는 않음으로써 형성될 수 있다. 일부 실시형태에 있어서, 트렌치는 버퍼층(22)을 통과해 연장될 수 있으나 기판(20)까지는 연장되지는 않는다. 일부 실시형태에 있어서, 트렌치는 버퍼층(22)을 통과해 그리고 기판(20) 내에까지 연장될 수 있다. 에칭은 RIE, NBE, 동류, 또는 이들의 조합 등의 임의의 조건에 맞는 에칭 공정일 수 있다. 에칭은 이방성일 수 있다.
도 8a, 도 8b 및 도 10의 단계 126에서, 트렌치는 절연 재료(30)로 충전된다. 절연 재료(30)은 실리콘 산화물 등의 산화물, 질화물, 동류 또는 이들의 조합일 수 있고, HDP-CVD, FCVD, 동류, 또는 이들의 조합에 의해 형성될 수 있다. 임의의 조건에 맞는 공정에 의해 형성된 기타 절연 재료도 사용할 수 있다. 예시하는 실시형태에서는, 절연 재료(30)가 FCVD 공정에 의해 형성된 실리콘 산화물이다. 절연 재료(30)가 형성되면 어닐 공정이 수행될 수 있다. 또한, CMP 등의 평탄화 공정이 임의의 과잉 절연 재료를 제거하여, 동일 평면 상에 있는, 절연 재료(30)의 상단면과 희생성 핀의 상단면을 형성할 수 있다.
도 8a, 도 8b 및 도 10의 단계 128에서, 절연 재료(30) 사이에 오목부를 형성하도록 희생성 핀이 리세싱된다. 리세싱은 예컨대 희생성 핀에 대해 선택적인 것인, 조건에 맞는 에칭 공정을 이용할 수 있다. 에칭은 습식 또는 건식 공정일 수 있고 등방성일 수 있다. 희생성 핀의 리세싱에 의해, 도시하는 바와 같이 버퍼층(22)의 하위부가 절연 영역들(30) 사이에 남아 있게 된다. 이 리세싱은 전술한 바와 같이 기판(20)과 먼쪽의 버퍼층(22)의 표면을 형성한다.
도 9a, 도 9b, 및 도 10의 단계 130에 있어서, 절연 재료(30) 사이의 오목부 내에 그리고 버퍼층(22) 상에 초격자(24)가 형성된다. 초격자(24)는 제1층(26)과 제2층(28)을 교대로 포함한다. 제1층(26)과 제2층(28) 각각은 도 2a와 도 2b에 대해 전술한 바와 같이 형성될 수 있고 전술한 재료를 포함할 수 있다. 초격자(24)는 임의 개의 제1층(26)과 임의 개의 제2층(28)을 포함할 수 있다. 예시하는 바와 같이, 초격자(24)는 4개의 제1층(26)과 4개의 제2층(28)을 구비한다. 제1층(26)과 제2층(28)은 도 2a와 도 2b에 대해 전술한 바와 같은 자연 격자 상수를 가질 수 있고 변형되거나 이완될 수 있다. 절연 재료(30) 사이의 오목부 내에 초격자(24)를 형성함으로써, 초격자(24)를 포함하는 핀이 형성된다. CMP 등의 평탄화 공정이 동일 평면 상에 있는, 절연 재료(30) 및 희생성 핀의 상단면들을 형성할 수 있다.
도 10의 단계 132에 있어서, 도 11a, 도 11b, 및 도 11c에 도시하는 바와 같이, 절연 재료(30)가 리세싱되어, 핀이, 예컨대 초격자(24)의 제1층(26)과 제2층(28) 중 하나 이상이 인접한 절연 영역들(30) 사이에서 돌출되게 되는데, 이들 영역은 STI 영역이라고 칭해질 수 있다. 절연 영역(30)은 예컨대 절연 재료(30)의 재료에 대해 선택적인 것인, 조건에 맞는 에칭 공정을 이용해서 리세싱될 수 있다. 예를 들어, CERTAS® 에칭 또는 Applied Materials SICONI 툴 또는 dHF(dilute hydrofluoric) 산을 이용한 화학적 산화물 제거를 이용할 수 있다.
당업자라면, 이루어질 수 있는 다른 변경예들이 다른 실시형태의 범주 내에서 고려되는 것을 쉽게 이해할 것이다. 다른 실시형태에 있어서, 기판(20)의 상단면 위에 유전체층이 형성될 수 있고, 그 유전체층을 통과해 트렌치가 에칭될 수 있으며, 그 트렌치 내에 버퍼층(22)과 초격자(24)가 에피택셜 성장할 수 있고, 초격자(24) 및/또는 버퍼층(22)의 적어도 일부가 유전체층으로부터 돌출하여 핀을 형성하도록 유전체층이 리세싱될 수 있다.
도 11a, 도 12a, 도 13a, 도 14a, 도 15a, 도 16a, 도 17a, 및 도 18는 일부 실시형태에 따른, 초격자 채널 영역을 포함하는 finFET의 제조에 있어서 중간 스테이지의 다양한 3D 도면이다. 도 11b, 도 11c, 도 12b, 도 12c, 도 13b, 도 13c, 도 14b, 도 14c, 도 15b, 도 15c, 도 16b, 도 16c, 도 17b, 도 17c, 도 18b, 및 도 18c는 finFET의 제조에 있어서 중간 스테이지의 다양한 단면도이다. 도 19는 finFET을 제조하는 공정 흐름도이다.
도 11a, 도 11b, 도 11c 및 도 19의 단계 140에 있어서, 초격자(24)를 포함하는 핀이 형성된다. 핀은 예컨대 도 5와 도 10 및 이들의 대응하는 구조적 설명에서 전술한 공정을 이용해서 형성될 수 있다. 도 11a는 초격자(24)를 포함하는 핀의 3D 도면이다. 도 11a는 단면 B-B와 C-C를 도시하고 있다. 단면 B-B는 "b"로 끝나는 이하의 도면들에서 도시되고, 단면 C-C는 "c"로 끝나는 이하의 도면들에서 도시된다. 도시하는 실시형태에 있어서, 초격자(24) 전체는 인접한 절연 영역들(30) 사이에서 돌출한다. 다른 실시형태에 있어서,버퍼층(22)은 인접한 절연 영역들(30) 사이에서도 돌출한다. 또한 도시하는 실시형태에 있어서, 절연 영역(30)은 기판(20)으로 연장되며, 다른 실시형태에서는 절연 영역(30)이 기판(20)까지 완전히 연장되지 않아 버퍼층(22)의 연속 부분이 절연 영역(30)과 기판(20)의 상단면 사이에 배치된다. 다른 실시형태에 있어서, 절연 영역(30)은 기판(20) 내로 연장된다.
도 12a, 도 12b, 도 12c 및 도 19의 단계 142에 있어서, 더미 유전체층(31)이 핀 상에 형성된다. 더미 유전체층(31)은 예컨대 실리콘 산화물, 실리콘 질화물, 이들의 조합 또는 동류일 수 있으며, CVD, 열산화, 또는 동류 등의 조건에 맞는 기술에 따라 적층되거나 열 성장할 수 있다. 도 12a, 도 12b, 도 12c 및 도 19의 단계 144에 있어서, 더미 게이트층(33)이 더미 유전체층(31) 위에 형성된다. 더미 게이트층(33)은 더미 유전체층(31) 위에 예컨대 CVD 등을 이용해 적층된 다음, 예컨대 CMP에 의해 평탄화될 수 있다. 더미 게이트층(33)은 예컨대 폴리실리콘을 포함할 수 있으나, 에칭 선택비가 높은 다른 재료를 사용할 수도 있다. 도시하지는 않지만, 마스크층이 더미 게이트층(33) 위에 형성될 수 있다. 마스크층은 더미 게이트층(33) 위에 예컨대 CVD 등을 이용해 적층될 수 있다. 마스크층은 예컨대 실리콘 질화물, 실리콘 산질화물, 실리콘 탄질화물 등을 포함할 수 있다.
도 13a, 도 13b 및 도 13c에서는, 마스크를 형성하기 위해 조건에 맞는 포토리소그래피 및 에칭 기술을 이용하여 마스크층(사용될 경우)이 패터닝될 수 있다. 또한, 도 19의 단계 146에 있어서, 더미 게이트층(33)과 더미 유전체층(31)은, 예컨대 마스크의 패턴을 전사하고, 더미 게이트층(33)과 더미 유전체층(31)으로부터 각각 더미 게이트(34)와 더미 게이트 유전체(32)을 형성하기 위해 조건에 맞는 에칭 기술을 이용하여, 패터닝될 수 있다. 에칭은 RIE, NBE, 동류 등의 조건에 맞는 이방성 에칭을 포함할 수 있다. 더미 게이트(34)는 상기 핀의 채널 영역을 덮는다. 또한 더미 게이트(34)는 핀의 길이 방향에 대해 실질적으로 수직인 길이 방향을 가질 수 있다.
구체적으로 도시하지는 않지만, 약하게 도핑된 소스/드레인(LDD) 영역에 대해 주입 또는 플라즈마 도핑(PLAD)이 수행될 수 있다. 전술한 주입과 마찬가지로, 예컨대 n타입 디바이스에 대한 제1 영역은 노출하면서, 포토레지스트 등의 마스크가 예컨대 p타입 디바이스에 대한 제2 영역 위에 형성될 수 있고, n타입 불순물이 플라즈마에 의해, 제1 영역 내의 노출된 핀 내에 주입 또는 도입될 수 있다. 이어서, 마스크는 제거된다. 후속하여, 제2 영역은 노출하면서, 포토레지스트 등의 마스크가 제1 영역 위에 형성될 수 있고, p타입 불순물이 플라즈마에 의해, 제2 영역 내의 노출된 핀 내에 주입 또는 도입될 수 있다. 이어서, 마스크는 제거된다. n타입 불순물은 전술한 바와 같은 n타입 불순물들 중 임의의 것일 수 있고, p타입 불순물은 전술한 바와 같은 p타입 불순물들 중 임의의 것일 수 있다. 약하게 도핑된 소스/드레인 영역은 약 1015 cm-3 내지 약 1016 cm-3의 불순물 농도를 가질 수 있다. 주입된 불순물을 활성화시키기 위해 어닐이 이용될 수 있다.
도 13a, 도 13b, 도 13c 및 도 19의 단계 148에 있어서, 더미 게이트(34)와 더미 유전체(32)의 측벽을 따라 게이트 스페이서(36)가 형성된다. 게이트 스페이서(36)는 예컨대 CVD 또는 동류에 의해, 재료를 등각으로 적층하고 후속하여 그 재료를 이방성 에칭함으로써 형성될 수 있다. 게이트 스페이서(36)의 재료는 실리콘 질화물, 실리콘 탄질화물, 이들의 조합 또는 동류일 수 있다.
도 14a, 도 14b, 및 도 14c에 있어서, 도 19의 단계 150에서와 같이, 핀의 소스/드레인 영역 내에 오목부가 형성되고, 도 19의 단계 152에서와 같이, 그 오목부 내에 에피택셜 소스/드레인 영역(38)이 형성된다. 오목부, 따라서 에피택셜 소스/드레인 영역(38)이 핀의 소스/드레인 영역 내에 형성되어 그 오목부 사이에 더미 게이트(34)가 배치되게 된다. 오목부는 핀, 예컨대 절연 재료(30) 사이로부터 돌출하는 초격자(34)의 층들에 대해, 임의의 적절한 에칭 선택성을 이용해 형성될 수 있으며, 이 선택성은 또한 이방성일 수 있다. 그런 다음, 에피택셜 소스/드레인 영역(38)이 오목부 내에 에피택셜 성장한다. 에피택셜 성장은 MOCVD, MBE, LPE, VPE, 동류, 또는 이들의 조합을 이용해서 이루어질 수 있다. 에피택셜 소스/드레인 영역(38)은 디바이스 타입, 예컨대 n타입 또는 p타입에 맞는 적절한 재료와 같이, 임의의 조건에 맞는 재료를 포함할 수 있다. 예를 들어, n타입 디바이스에 대한 에피택셜 소스/드레인 영역(38)은 실리콘, SiC, SiCP, SiGeP, SiP, SiGeSnP, 또는 동류를 포함할 수 있고, p타입 디바이스에 대한 에피택셜 소스/드레인 영역(38)은 SiGe, SiGeB, Ge, GeB, GeSn, GeSnB, 붕소 도핑된 III-V 화합물 재료, 또는 동류를 포함할 수 있다. 에피택셜 소스/드레인 영역(38)은 핀의 각각의 외측면으로부터 융기된 표면을 가질 수 있고 패싯을 가질 수 있다.
구체적으로 도시하지는 않지만, 상보형 디바이스 내의 p타입 디바이스의 에피택셜 소스/드레인 영역(38) 및 n타입 디바이스의 에피택셜 소스/드레인 영역(38)에 대해 상이한 재료들이 이용될 수 있다. 예를 들어, 기판(20)의 제1 영역(예, n타입 디바이스가 형성될 곳)은 제1 하드 마스크로 마스킹될 수 있고, 기판(20)의 제2 영역(예, p타입 디바이스가 형성될 곳)은 단계 150과 단계 152에서, 노출되어, 에피택셜 소스/드레인 영역(38)을 형성하도록 처리된다. 그런 다음 제1 하드 마스크는 제거될 수 있고 제2 하드 마스크가 형성될 수 있다. 제2 영역은 제2 하드 마스크에 의해 마스킹될 수 있고, 제1 영역은 단계 150과 단계 152에서, 노출되어, 에피택셜 소스/드레인 영역(38)을 형성하도록 처리된다. 이어서, 제2 하드 마스크는 제거될 수 있다.
핀의 에피택셜 소스/드레인 영역(38)은, 약하게 도핑된 소스/드레인 영역을 형성하기 위한 전술한 공정과 마찬가지로, 도펀트가 주입될 수 있으며, 이어서 어닐이 행해진다. 소스/드레인 영역은 약 1019 cm-3 내지 약 1021 cm-3 사이의 불순물 농도를 가질 수 있다. 제1 영역 내의 n타입 디바이스에 대한 소스/드레인 영역을 위한 n타입 불순물은 전술한 n타입 불순물들 중 임의의 것일 수 있고, 제2 영역 내의 p타입 디바이스에 대한 소스/드레인 영역을 위한 p타입 불순물은 전술한 p타입 불순물들 중 임의의 것일 수 있다. 다른 실시형태에 있어서, 에피택셜 소스/드레인 영역(38)은 성장중 인시추 도핑될 수도 있다.
도 15a, 도 15b, 도 15c 및 도 19의 단계 154에 있어서, 바닥부 층간 유전체(ILD0)(40)가 핀 위에 형성된다. ILD0(40)는, 에피택셜 소스/드레인 영역(38), 게이트 스페이서(36), 더미 게이트(34)(또는 있다면 마스크) 및 절연 영역(30) 상에 등각으로 형성된, 에칭 정지층(etch stop layer, ESL) 등의 제1층을 포함할 수 있다. 일부 실시형태에 있어서, ESL(58)은 예컨대 ALD(Atomic Layer Deposition), CVD(chemical vapor deposition), 동류, 또는 이들의 조합을 이용해 형성되는, 실리콘 질화물, 실리콘 탄질화물, 또는 동류를 포함할 수 있다. ILD0(40)는 제1층 위에 적층된 제2층을 더 포함할 수 있다. ILD0(40)의 제2층은 PSG(Phospho-Silicate Glass), BSG(Boro-Silicate Glass), BPSG(Boron-Doped Phospho-Silicate Glass), USG(undoped Silicate Glass), 또는 동류를 포함할 수 있고, CVD, PECVD(plasma-enhanced CVD), FCVD, 동류 또는 이들의 조합과 같은 임의의 적절한 방법으로 적층될 수 있다.
또한, 도 15a, 도 15b, 도 15c 및 도 19의 단계 154에 있어서, ILD0(40)의 상단면을 더미 게이트(34)의 상단면과 수평으로 하도록 CMP 등의 평탄화 공정이 행해진다. 또한, CMP는, 있다면, 더미 게이트(34)로부터 마스크를 제거할 수 있다. 따라서, 더미 게이트(34)의 상단면이 ILD0(40)를 통해 노출된다.
도 16a, 도 16b, 도 16c, 및 도 19의 단계 156에 있어서, 더미 게이트(34)와 더미 게이트 유전체(32)가 에칭 단계에서 제거되어, ILD0(40)를 통과해 게이트 스페이서(36)에 의해 규정되는 개구부가 핀, 예컨대 초격자(24)에 형성된다. 개구부는 핀, 예컨대 초격자(24)의 채널 영역을 노출한다. 채널 영역은 인접한 에피택셜 소스/드레인 영역(38) 쌍 사이에 배치된다. 에칭 단계는 더미 게이트(34) 및 더미 게이트 유전체(32)의 재료에 대해 선택적일 수 있으며, 이 에칭은 건식 또는 습식 에칭일 수 있다. 에칭중에, 더미 게이트(34)가 에칭될 때에, 더미 게이트 유전체(32)는 에칭 정지층으로서 이용될 수 있다. 그런 다음, 더미 게이트 유전체(32)는 더미 게이트(34)의 제거 후에, 에칭될 수 있다.
도 17a, 도 17b, 도 17c 및 도 19의 단계 158에 있어서, 더미 게이트 유전체(42)와 게이트 전극(44)이 ILD0(40)를 통해 개구부 내에 형성된다. 게이트 유전체(42)는 개구부 내에 그리고 핀 상에 형성된 계면 유전체를 포함할 수 있다. 계면 유전체는 예컨대 열산화, ALD, CVD, 또는 동류에 의해 형성된 산화물 또는 동류일 수 있다. 게이트 유전체(42)는 ILD0(40)의 상단면 상에, 게이트 스페이서(36)의 측벽을 따라 개구부 내에, 그리고 계면 유전체 상에 등각으로 형성된 하이 k(high-k) 유전체층을 더 포함할 수 있다. 하이 k 유전체층은 약 7.0보다 높은 k 값을 가질 수 있고, Hf, Al, Zr, La, Mg, Ba, Ti, Pb 및 이들의 조합의 실리케이트 또는 금속 산화물을 포함할 수 있다. 하이 k 유전체층의 형성 방법은 ALD, CVD, MBD(Molecular-Beam Deposition), 동류, 또는 그 조합을 포함할 수 있다. 다른 실시형태에서는 게이트 유전체(42)에 대해, 하이 k가 아닌 재료 등의 다른 재료를 고려할 수도 있다.
게이트 전극(44)이 게이트 유전체(42) 상에 형성된다. 게이트 전극(44)은 다층 구조일 수 있다. 예를 들어, 게이트 전극(44)은 게이트 유전체(42) 상에 등각으로 형성된 캡핑층, 그 캡핑층 상에 등각으로 형성된 하나 이상의 일함수 튜닝층, 및 일함수 튜닝층 상에 형성되어 개구부를 충전하는, 금속 등의 금속 함유 재료를 포함할 수 있다. 일례로, 캡핑층은 ALD, CVD 등을 이용해 TiN 등으로 형성되는, 게이트 유전체(42) 상의 제1 부층(sub-layer)과, ALD, CVD 등을 이용해, TaN 등으로 형성되는, 제1 부층 상의 제2 부층을 포함할 수 있다. 일함수 튜닝층은 ALD, CVD 등을 이용해 TiAl, TiN 등으로 형성될 수 있다. 금속 함유 재료는 CVD, PVD(physical vapor deposition), 동류, 또는 이들의 조합을 이용해서 적층된 텅스텐(W), 알루미늄(Al), 코발트(Co), 루테늄(Ru), 이들의 조합 등일 수 있다.
다음으로, ILD0(40)의 상면 위에 있는, 게이트 전극(44) 및 게이트 유전체(42)의 과잉 부분을 제거하기 위해 CMP 등의 평탄화 공정이 수행될 수 있다.
도 18a, 도 18b, 도 18c, 및 도 19의 단계 160에 있어서, 상위 ILD(ILD1)(46)이 ILD0(40) 및 게이트 전극(44) 위에 적층되고, 도 19의 단계 162에서, ILD1(40)과 ILD0(40)를 통과해 에피택셜 소스/드레인 영역(38)에 컨택(48)이 형성된다. ILD(46)은 PSG, BSG, BPSG, USG 등의 유전체 재료로 형성될 수 있고, CVD 및 PECVD 등의 임의의 적절한 방법으로 적층될 수 있다. 컨택(48)용 개구부가 ILD1(46) 및 ILD0(40)를 통과해 형성된다. 개구부는 조건에 맞는 포토리소그래피 및 에칭 기술을 이용해 형성될 수 있다. 확산 배리어층, 어드히젼층(adhesion layer), 또는 동류 등의 라이너와 전도성 재료가 개구부 내에 형성된다. 라이너는 티탄, 티탄 질화물, 탄탈, 탄탈 질화물 등을 포함할 수 있다. 전도성 재료는 구리, 구리 합금, 은, 금, 텅스텐, 알루니늄, 니켈 등일 수 있다. ILD1(46)의 표면으로부터 과잉 재료를 제거하기 위해 CMP 등의 평탄화 공정이 수행될 수 있다. 남아 있는 라이너 및 전도성 재료가 개구부 내에 컨택(48)을 형성한다. 에피택셜 소스/드레인 영역(38)과 컨택(48) 사이의 계면에 각각 실리사이드를 형성하기 위해 어닐 공정이 수행될 수 있다.
명시적으로 도시하지는 않지만, 당업자라면 추가 처리 단계가 도 18a, 도 18b, 및 도 18c의 구조 상에 수행될 수 있음을 쉽게 이해할 것이다. 예를 들어, 다양한 금속간 유전체(Inter-Metal Dielectric, IMD) 및 그 대응하는 금속화가 ILD1(46) 위에서 형성될 수 있다.
도 20은 일부 실시형태에 따른 finFET을 제조하는 공정 흐름도이다. 도 20은 도 19의 공정 흐름의 변형예로서, 단계 156과 단계 158 사이에 단계 170을 포함한다. 에칭 단계 170 전에, 도 16c에 도시하는 바와 같이, 교대로 형성된 제1층(26)과 제2층(28)의 각각의 측의 측벽이 수직으로 얼라인되어 있다. 단계 170에서, 초격자(24)의 채널 영역이, ILD0(40)를 통과하는 개구부를 통해 에칭되어 게이트 스페이서(36)의 측벽에 의해 규정된다. 이 에칭에 의해, 에칭으로 변형된 제1층(26) 및 에칭으로 변형된 제2층(28)이 되는데, 제1층(26)이 제거되거나, 제2층(28)이 제거되거나, 또는 이들의 조합으로 된다.
도 21a-도 21c, 도 23a-도 23c, 도 25a-도 25c, 및 도 27a-도 27c는 제1층(26)을 에칭하는 에칭 단계 170의 상이한 결과예를 도시하고, 도 22a-도 22c, 도 24a-도 24c, 도 26a-도 26c, 및 도 28a-도 28c는 직전 도면에 대해 설명한 각각의 에칭 단계 170 후 그리고 추가 처리 후의 구조를 도시하고 있다. 도 29a-도 29c, 도 31a-도 31c, 도 33a-도 33c, 및 도 35a-도 35c는 제2층(28)을 에칭하는 에칭 단계 170의 상이한 결과예를 도시하고, 도 30a-도 30c, 도 32a-도 32c, 도 34a-도 34c, 및 도 36a-도 36c는 직전 도면에 대해 설명한 각각의 에칭 단계 170 후 그리고 추가 처리 후의 구조를 도시하고 있다.
구체적으로 도시하지는 않지만, 상보형 디바이스 내의 p타입 디바이스 및 n타입 디바이스에 대해 상이한 에칭 공정이 이용될 수도 있다. 예를 들어, 기판(20)의 제1 영역(예, n타입 디바이스가 형성될 곳)은 제1 포토레지스트 등으로 마스킹될 수 있고, 기판(20)의 제2 영역(예, p타입 디바이스가 형성될 곳)은 노출되어 단계 170에 따라 에칭된다. 그런 다음 마스크는 제거될 수 있고, 포토레지스트 등의 다른 마스크가 형성될 수 있다. 제2 영역이 마스킹될 수도 있으며, 제1 영역은 노출되어 단계 170에 따라 에칭된다. 이어서, 마스크가 제거될 수 있다.
도 21a, 도 21b 및 도 21c에 있어서, 초격자(24)의 실질적으로 제1층(26)만이 단계 170 동안 에칭된다. 이 에칭 단계 170의 결과로서, 에칭된 제1층(26a)의 측벽이 각각의 측에서 제2층(28)의 측벽으로부터 핀으로 내향 오프셋된다. 그 에칭으로 제1 변형된 초격자(24a)가 된다. 일부 실시형태에 있어서, 도 21a, 도 21b, 및 도 21c에 도시하는 에칭의 결과는 제1층(26)과 제2층(28)의 재료, 측벽의 배향 및 에칭에 사용된 에칭제의 함수일 수 있다. 예를 들어, 제1층(26) 각각이 Si0.50Ge0.50로 된 층이고, 제2층(28) 각각이 Si로 된 층인 특정예를 계속 참조하면, 버퍼층(22), 제1층(26) 및 제2층(28)은 벌크 Si 기판의 (110) 표면 상에 에피택셜 성장하고, 제1층(26)과 제2층(28)의 측벽은 (111) 결정질 표면이다. 또한, 에칭제는 묽은 APM(ammonium hydroxide-hydrogen peroxide mixture), SPM(sulfuric acid-hydrogen peroxide mixture), 또는 동류의 습식 에칭제일 수 있으며, Si0 . 50Ge0 .50 제1층(26)의 (111) 결정질 표면에 대해 선택적이다. 이들 조건에 의해, 에칭된 Si0 . 50Ge0 .50 제1층(26a)의 (111) 결정질 측벽면이 Si 제2층(28)의 (111) 결정질 측벽면과 내향으로 오프셋된다.
도 22a, 도 22b, 및 도 22c는 도 21a, 도 21b, 및 도 21c에서 설명한 단계 170에서 에칭이 행해진 후 그리고 전술한 바와 같이 처리 단계 158 내지 단계 162를 계속 진행한 후의 구조를 도시하고 있다. 도 22c에 도시하는 바와 같이, 게이트 유전체(42)는 제1 변형된 초격자(24a) 내의 에칭된 측벽에 등각으로 형성된다. 또한, 게이트 전극(44)은 에칭된 제1층(26a)이 에칭되어 버렸기 때문에, 적어도 부분적으로 제2층(28)의 표면들 사이에 또는 표면에 가깝게 연장될 수 있다.
도 23a, 도 23b 및 도 23c에 있어서, 초격자(24)의 실질적으로 제1층(26)만이 단계 170 동안 에칭된다. 이 에칭 단계 170의 결과로서, 에칭된 제1층(26a)의 측벽이 각각의 측에서 제2층(28)의 측벽으로부터 핀에, 예컨대 결정질 면에 따라 내향으로 이방성 노칭(notching)된다. 그 에칭으로 제2 변형된 초격자(24b)가 된다. 일부 실시형태에 있어서, 도 23a, 도 23b, 및 도 23c에 도시하는 에칭의 결과는 제1층(26)과 제2층(28)의 재료, 측벽의 배향 및 에칭에 사용된 에칭제의 함수일 수 있다. 예를 들어, 제1층(26) 각각이 Si0 . 50Ge0 . 50로 된 층이고, 제2층(28) 각각이 Si로 된 층인 특정예를 계속 참조하면, 버퍼층(22), 제1층(26) 및 제2층(28)은 벌크 Si 기판의 (001) 표면 상에 에피택셜 성장하고, 제1층(26)과 제2층(28)의 측벽은 (110) 결정질 표면이다. 또한, 에칭제는 묽은 APM(ammonium hydroxide-hydrogen peroxide mixture), SPM(sulfuric acid-hydrogen peroxide mixture), 또는 동류의 습식 에칭제일 수 있으며, Si0 . 50Ge0 .50 제1층(26)의 (111) 결정질 표면에 대해 선택적이다. 이들 조건에 의해, 에칭된 Si0 . 50Ge0 .50 제1층(26a)의 (111) 결정질 노칭면이 Si 제2층(28)의 (110) 결정질 노칭면으로부터 내향으로 노칭된다.
도 24a, 도 24b, 및 도 24c는 도 23a, 도 23b, 및 도 23c에서 설명한 단계 170에서 에칭이 행해진 후 그리고 전술한 바와 같이 처리 단계 158 내지 단계 162를 계속 진행한 후의 구조를 도시하고 있다. 도 24c에 도시하는 바와 같이, 게이트 유전체(42)는 제2 변형된 초격자(24b) 내의 에칭된 측벽에 등각으로 형성된다. 또한, 게이트 전극(44)은 에칭된 제1층(26a)이 에칭되어 버렸기 때문에, 적어도 부분적으로 제2층(28)의 표면들 사이에 또는 표면에 가깝게 연장될 수 있다.
도 25a, 도 25b 및 도 25c에 있어서, 초격자(24)의 실질적으로 제1층(26)만이 단계 170 동안 에칭된다. 이 에칭 단계 170의 결과로서, 에칭된 제1층(26a)의 측벽이 각각의 측에서 제2층(28)의 측벽으로부터 핀에 내향으로 등방성 노칭된다. 그 에칭으로 제3 변형된 초격자(24c)가 된다. 일부 실시형태에 있어서, 도 25a, 도 25b, 및 도 25c에 도시하는 에칭의 결과는 제1층(26)과 제2층(28)의 재료와 에칭에 사용된 에칭제의 함수일 수 있다. 예를 들어, 제1층(26) 각각이 Si0 . 50Ge0 . 50로 된 층이고, 제2층(28) 각각이 Si로 된 층인 특정예를 계속 참조하면, 에칭은 예컨대 HCl 가스, Cl2 가스, 및/또는 NF3 가스, 또는 동류를 이용한 등방성 에칭을 사용할 수 있다. 당업자라면, 에칭 공정의 온도 및 압력을 제어함으로써 HCl 가스와 Cl2 가스의 선택성을 변경할 수 있다는 것을 이해할 것이다. 이들 조건에 의해, 에칭된 Si0.50Ge0.50 제1층(26c)의 등방성 노칭면이 Si 제2층(28)의 측벽면으로부터 내향으로 노칭될 수 있다.
도 26a, 도 26b, 및 도 26c는 도 25a, 도 25b, 및 도 25c에서 설명한 단계 170에서 에칭이 행해진 후 그리고 전술한 바와 같이 처리 단계 158 내지 단계 162를 계속 진행한 후의 구조를 도시하고 있다. 도 26c에 도시하는 바와 같이, 게이트 유전체(42)는 제3 변형된 초격자(24c) 내의 에칭된 측벽에 등각으로 형성된다. 또한, 게이트 전극(44)은 에칭된 제1층(26c)이 에칭되어 버렸기 때문에, 적어도 부분적으로 제2층(28)의 표면들 사이에 또는 표면에 가깝게 연장될 수 있다.
도 27a, 도 27b 및 도 27c에 있어서, 초격자(24)의 실질적으로 제1층(26)만이 단계 170 동안 에칭된다. 이 에칭 단계 170의 결과로서, 제1층(26)이 제거된다. 전술한 에칭예 중 임의의 것, 또는 제1층(26)에 대해 선택적인 기타 에칭이, 제1층(26)이 제거될 때까지 긴 지속시간 이용될 수 있다.
도 28a, 도 28b, 및 도 28c는 도 27a, 도 27b, 및 도 27c에서 설명한 단계 170에서 에칭이 행해진 후 그리고 전술한 바와 같이 처리 단계 158 내지 단계 162를 계속 진행한 후의 구조를 도시하고 있다. 도 28c에 도시하는 바와 같이, 게이트 유전체(42)는 제2층(28)에 등각으로 형성된다. 인접한 제2층들(28) 사이의 거리에 따라, 게이트 유전체(42)는 도시하는 바와 같이, 인접한 제2층들(28) 사이에 합체될 수 있다. 다른 실시형태에 있어서, 게이트 유전체층(42)은 인접한 제2층들(28) 사이에 합체되지 않을 수도 있다. 또한, 게이트 전극(44)은 제1층(26c)이 제거되었기 때문에, 적어도 부분적으로 제2층(28)의 표면들 사이에 연장될 수 있다. 이들 실시형태 중 일부에 따른 디바이스가 GAA(gate all-around) 디바이스일 수 있다.
도 22c, 도 24c, 도 26c, 및 도 28c 각각에서 게이트 유전체(42)와 게이트 전극(44)의 결과적인 구성에 의해, 게이트 전극(44)에 의해 생성된 큰 전기장이 제2층(28) 내의 채널 영역의 전류 흐름에 영향을 미친다. 이 큰 전기장은 14 nm 미만 등의 소형 기술 노드에서도 쇼트 채널 제어를 증대시킬 수 있다. 도 21a-도 21c, 도 23a-도 23c, 도 25a-도 25c, 및 도 27a-도 27c에 대해 설명한 특정예 및 그 결과로 형성된 도 22a-도 22c, 도 24a-도 24c, 도 26a-도 26c, 및 도 28a-도 28c 내의 각각의 구조는 n타입 디바이스, 예컨대 n타입 finFET에 적절할 수 있다.
도 29a, 도 29b 및 도 29c에 있어서, 초격자(24)의 실질적으로 제2층(28)만이 단계 170 동안 에칭된다. 이 에칭 단계 170의 결과로서, 에칭된 제2층(28d)의 측벽이 각각의 측에서 제1층(26)의 측벽으로부터 핀으로 내향 오프셋된다. 그 에칭으로 제4 변형된 초격자(24d)가 된다. 일부 실시형태에 있어서, 도 29a, 도 29b, 및 도 29c에 도시하는 에칭의 결과는 제1층(26)과 제2층(28)의 재료, 측벽의 배향 및 에칭에 사용된 에칭제의 함수일 수 있다. 예를 들어, 제1층(26) 각각이 Si0.50Ge0.50로 된 층이고, 제2층(28) 각각이 Si로 된 층인 특정예를 계속 참조하면, 버퍼층(22), 제1층(26) 및 제2층(28)은 벌크 Si 기판의 (110) 표면 상에 에피택셜 성장하고, 제1층(26)과 제2층(28)의 측벽은 (111) 결정질 표면이다. 또한, 에칭제는 TMAH(tetramethylammonium hydroxide), NH4OH(ammonium hydroxide), 또는 동류 등의 습식 에칭제일 수 있으며, Si 제2층(28)의 (111) 결정질 표면에 대해 선택적이다. 이들 조건에 의해, 에칭된 Si 제2층(28d)의 (111) 결정질 측벽면이 Si0 . 50Ge0 .50 제1층(26)의 (111) 결정질 측벽면과 내향으로 오프셋된다.
도 30a, 도 30b, 및 도 30c는 도 29a, 도 29b, 및 도 29c에서 설명한 단계 170에서 에칭이 행해진 후 그리고 전술한 바와 같이 처리 단계 158 내지 단계 162를 계속 진행한 후의 구조를 도시하고 있다. 도 30c에 도시하는 바와 같이, 게이트 유전체(42)는 제4 변형된 초격자(24d) 내의 에칭된 측벽에 등각으로 형성된다. 또한, 게이트 전극(44)은 에칭된 제2층(28d)이 에칭되어 버렸기 때문에, 적어도 부분적으로 제1층(26)의 표면들 사이에 또는 표면에 가깝게 연장될 수 있다.
도 31a, 도 31b 및 도 31c에 있어서, 초격자(24)의 실질적으로 제2층(28)만이 단계 170 동안 에칭된다. 이 에칭 단계 170의 결과로서, 에칭된 제2층(28e)의 측벽이 각각의 측에서 제1층(26)의 측벽으로부터 핀에, 예컨대 결정질 면에 따라 내향으로 이방성 노칭된다. 그 에칭으로 제5 변형된 초격자(24e)가 된다. 일부 실시형태에 있어서, 도 31a, 도 31b, 및 도 31c에 도시하는 에칭의 결과는 제1층(26)과 제2층(28)의 재료, 측벽의 배향 및 에칭에 사용된 에칭제의 함수일 수 있다. 예를 들어, 제1층(26) 각각이 Si0 . 50Ge0 . 50로 된 층이고, 제2층(28) 각각이 Si로 된 층인 특정예를 계속 참조하면, 버퍼층(22), 제1층(26) 및 제2층(28)은 벌크 Si 기판의 (001) 표면 상에 에피택셜 성장하고, 제1층(26)과 제2층(28)의 측벽은 (110) 결정질 표면이다. 또한, 에칭제는 TMAH, NH4OH(ammonium hydroxide), 또는 동류 등의 습식 에칭제일 수 있으며, Si 제2층(28)의 (111) 결정질 표면에 대해 선택적이다. 이들 조건에 의해, 에칭된 Si 제2층(28e)의 (111) 결정질 노칭면이 Si0 . 50Ge0 .50 제1층(26)의 (110) 결정질 측벽면으로부터 내향으로 노칭된다.
도 32a, 도 32b, 및 도 32c는 도 31a, 도 31b, 및 도 31c에서 설명한 단계 170에서 에칭이 행해진 후 그리고 전술한 바와 같이 처리 단계 158 내지 단계 162를 계속 진행한 후의 구조를 도시하고 있다. 도 32c에 도시하는 바와 같이, 게이트 유전체(42)는 제5 변형된 초격자(24e) 내의 에칭된 측벽에 등각으로 형성된다. 또한, 게이트 전극(44)은 에칭된 제2층(28e)이 에칭되어 버렸기 때문에, 적어도 부분적으로 제1층(26)의 표면들 사이에 또는 표면에 가깝게 연장될 수 있다.
도 33a, 도 33b 및 도 33c에 있어서, 초격자(24)의 실질적으로 제2층(28)만이 단계 170 동안 에칭된다. 이 에칭 단계 170의 결과로서, 에칭된 제2층(28f)의 측벽이 각각의 측에서 제1층(26)의 측벽으로부터 핀에 내향으로 등방성 노칭된다. 그 에칭으로 제6 변형된 초격자(24f)가 된다. 일부 실시형태에 있어서, 도 33a, 도 33b, 및 도 33c에 도시하는 에칭의 결과는 제1층(26)과 제2층(28)의 재료와 에칭에 사용된 에칭제의 함수일 수 있다. 예를 들어, 제1층(26) 각각이 Si0 . 50Ge0 . 50로 된 층이고, 제2층(28) 각각이 Si로 된 층인 특정예를 계속 참조하면, 에칭은 예컨대 HCl 가스, Cl2 가스, 및/또는 NF3 가스, 또는 동류를 이용한 건식 등방성 에칭을 사용할 수 있다. 당업자라면, 에칭 공정의 온도 및 압력을 제어함으로써 HCl 가스와 Cl2 가스의 선택성을 변경할 수 있다는 것을 이해할 것이다. 이들 조건에 의해, 에칭된 Si 제2층(28f)의 등방성 노칭면이 Si0 . 50Ge0 .50 제1층(26)의 측벽면으로부터 내향으로 노칭될 수 있다.
도 34a, 도 34b, 및 도 34c는 도 33a, 도 33b, 및 도 33c에서 설명한 단계 170에서 에칭이 행해진 후 그리고 전술한 바와 같이 처리 단계 158 내지 단계 162를 계속 진행한 후의 구조를 도시하고 있다. 도 34c에 도시하는 바와 같이, 게이트 유전체(42)는 제6 변형된 초격자(24f) 내의 에칭된 측벽에 등각으로 형성된다. 또한, 게이트 전극(44)은 에칭된 제2층(28f)이 에칭되어 버렸기 때문에, 적어도 부분적으로 제1층(26)의 표면들 사이에 또는 표면에 가깝게 연장될 수 있다.
도 35a, 도 35b 및 도 35c에 있어서, 초격자(24)의 실질적으로 제2층(28)만이 단계 170 동안 에칭된다. 이 에칭 단계 170의 결과로서, 제2층(28)이 제거된다. 전술한 에칭예 중 임의의 것, 또는 제2층(28)에 대해 선택적인 기타 에칭이, 제2층(28)이 제거될 때까지 긴 지속시간 이용될 수 있다.
도 36a, 도 36b, 및 도 36c는 도 35a, 도 35b, 및 도 35c에서 설명한 단계 170에서 에칭이 행해진 후 그리고 전술한 바와 같이 처리 단계 158 내지 단계 162를 계속 진행한 후의 구조를 도시하고 있다. 도 36c에 도시하는 바와 같이, 게이트 유전체(42)는 제1층(26)에 등각으로 형성된다. 인접한 제1층들(26) 사이의 거리에 따라, 게이트 유전체(42)는 도시하는 바와 같이, 인접한 제1층들(26) 사이에 합체될 수 있다. 다른 실시형태에 있어서, 게이트 유전체층(42)은 인접한 제1층들(26) 사이에 합체되지 않을 수도 있다. 또한, 게이트 전극(44)은 제2층(28)이 제거되었기 때문에, 적어도 부분적으로 제1층(26)의 표면들 사이에 연장될 수 있다. 이들 실시형태 중 일부에 따른 디바이스가 GAA(gate all-around) 디바이스일 수 있다.
도 30c, 도 32c, 도 34c, 및 도 36c 각각에서 게이트 유전체(42)와 게이트 전극(44)의 결과적인 구성에 의해, 게이트 전극(44)에 의해 생성된 큰 전기장이 제1층(26) 내의 채널 영역의 전류 흐름에 영향을 미친다. 이 큰 전기장은 14 nm 미만 등의 소형 기술 노드에서도 쇼트 채널 제어를 증대시킬 수 있다. 도 29a-도 29c, 도 31a-도 31c, 도 33a-도 33c, 및 도 35a-도 35c에 대해 설명한 특정예 및 그 결과로 형성된 도 30a-도 30c, 도 32a-도 32c, 도 34a-도 34c, 및 도 36a-도 36c 내의 각각의 구조는 p타입 디바이스, 예컨대 p타입 finFET에 적절할 수 있다.
일부 실시형태는 변형된 초격자를 포함하는, 핀 등의 구조를 고려하는데, 변형된 초격자는 대조적인 변형 타입(예, 인장 대 압축)을 갖는 교대로 형성된 층을 구비한다. 예를 들어, 변형된 초격자는 교대로 형성된 압축 변형층과 인장 변형층을 포함할 수 있다. 초격자는 이들 층을 임의 개 구비할 수 있다. 압축 및 인장 변형층을 교대로 형성함으로써, 각각의 층 내의 응력은, 단일 변형층 구조와 비교할 만큼, 구조, 예컨대 핀의 상위부에 있어서 퇴화하지 않을 것이다. 예를 들어, 일부 응력은 특히 소형 기술 노드에서는 탄성 이완에 의해 단일 변형층의 상위부에서 소실될 수 있지만, 일부 실시형태에서는, 인접한 층들 내의 대응하는 응력들이 임의 층에서의 상당한 탄성 이완을 막을 수 있다. 또한, 이들 교대로 형성된 층의 수를 늘림으로써, 각 층의 응력 퇴화(stress degradation)가 덜 이루어질 수 있다. 본 발명자들은, 이완된 버퍼층, 압축 변형층 및 인장 변형층의 재료가 일정하게 유지되고, 상이한 핀 구조의 상위부에서 응력을 관찰하도록 핀 높이가 변하는 시뮬레이션을 실시하였다. 그 시뮬레이션은 단일 변형층 핀, 압축 변형층과 인장 변형층을 교대로 형성한 4층 초격자를 구비한 핀, 및 압축 변형층과 인장 변형층을 교대로 형성한 8층 초격자를 구비한 핀을 포함하였다. 핀 높이가 각 핀 구조마다 상승함에 따라, 단일 변형층을 구비한 핀이 그 핀의 상위부에서 응력 퇴화가 가장 현저했으며, 8층 초격자를 구비한 핀이 그 핀의 상위부에서 응력 퇴화 정도가 가장 덜했다. 이것은 레이아웃 종속 영향을 감소시켜, 활성 영역 핀의 레이아웃의 스케일링에 기여할 수 있다. 핀의 레이아웃이 소형 기술 노드에서 축소됨에 따라, 단일 변형층 내의 탄성 이완이 비례적으로 증대될 수 있다. 전술한 바와 같이 변형층이 교대로 형성된 변형 초격자를 가짐으로써 이 영향을 완화시킬 수 있다.
에피택셜 성장 및 자연 격자 상수를 미스매칭한 결과에 따라 층이 변형됨으로써, 일부 실시형태는 채널 영역 내에, 디바이스의 피치 스케일링에 덜 종속적인적절한 응력을 가질 수 있다. 예를 들어, 디바이스가 소형 기술 노드로 스케일링됨에 따라, 소스 및 드레인 영역 내의 종래의 매립된 응력요인(stressor)에 의해 채널 영역 내에 유도된 응력이 퇴화된다. 이에, 종래의 구조의 채널 응력은 디바이스의 크기에 종속적일 수 있다. 일부 실시형태는 채널 영역 내에 재료들의 자연 격자 상수의 미스매칭에 의한 응력을 유도함으로써 이 결함을 방지할 수 있고, 이에 디바이스의 채널 영역 내의 응력은 디바이스의 피치 스케일링에 덜 종속적일 수 있다.
일부 실시형태는 예컨대 전기장이 채널 영역에서 증가하여 캐리어 밀도가 상승할 수 있는, 코너 등의 변칙성(irregularity)을 갖는 핀 내의 채널 영역을 고려할 수 있다. 전술한 바와 같이, 도 22c, 도 24c, 도 26c, 도 28c, 도 30c, 도 32c, 도 34c, 및 도 36c에서 설명한 실시형태에 의해, 게이트 전극(44)에 의해 생성된 큰 전기장이 제1층(26) 또는 제2층(28) 내의 채널 영역의 전류 흐름에 영향을 미칠 수 있다. 이 증가한 전기장에 의해, 상승한 캐리어 밀도가 그 실시형태에 있어서 에칭되지 않은 층의 코너에 근접할 수 있다. 이것은 디바이스의 게이트 제어를 향상시킬 수 있고 쇼트 채널 영향을 저감시킬 수 있다. 한 그룹의 층들이 에칭되는 전술한 일부 실시형태의 시뮬레이션에서는, 종래의 핀 디바이스에 비해, 채널 길이가 14 nm 이하인 디바이스와 같은 소형 기술 노드에서 온전류(on-current)(Ion)의 전류 밀도가 상승하였음을 관찰하였다. 또한 일부 실시형태의 다른 시뮬레이션에서는, 종래의 핀 디바이스에 비해, 채널 길이가 14 nm 이하인 디바이스와 같은 소형 기술 노드에서 임계미만(subthreshold) 경사가 감소하였다.
채널 영역 내에 변칙성을 갖는 이들 실시형태에서는, 제1층(26)과 제2층(28)이 반드시 변형되는 것을 필요로 하지 않는다. 한 그룹이 이완될 수 있다면 다른 그룹은 인장 변형되거나, 한 그룹이 이완될 수 있다면 다른 그룹은 압축 변형되거나, 한 그룹이 인장 변형될 수 있다면 다른 그룹은 압축 변형되거나, 양 그룹이 이완될 수도 있다. 응력은 통상 알려진 바와 같이 캐리어 이동성을 증대시킬 수 있다. 압축 변형층과 인장 변형층이 교대로 형성되는 전술한 바와 같은 일부 실시형태에 있어서, 예컨대 제1층(26) 또는 제2층(28) 중 하나인, 한 그룹의 층의 에칭에 의해, 다른 그룹의 층 내의 일부 응력이 퇴화될 수 있다. 그러나, 에칭되지 않은 그룹의 층은 더 높은 캐리어 이동성을 달성하기 위해 변형된 채로 유지될 수 있다. 또한, 에칭된 그룹의 층의 에칭 정도가, 에칭되지 않은 그룹의 층 내의 응력 퇴화에 영향을 미칠 수 있다. 예컨대, 에칭된 그룹의 층의 에칭량이 증가하게 되면 에칭되지 않은 그룹의 층 내의 응력 퇴화가 상승할 수 있다.
또한, 일부 실시형태는 공정 및 디바이스로 쉽게 통합될 수 있다. 동일한 초격자 구조가 p타입 디바이스와 n타입 디바이스 양쪽의 제조시에 이용될 수 있다. 이에, 동일한 격자 구조가 상보형 디바이스로 쉽게 통합될 수 있다. 예를 들어, 도 37은 일부 실시형태에 따른 n타입 디바이스 영역(200)과 p타입 디바이스 영역(300)을 포함하는 상보형 디바이스 구조의 단면도를 도시하고 있다. 일부 실시형태는 전술한 구조들의 임의의 조합을 가진 상보형 디바이스들을 고려할 수 있다. 예를 들어, Si0 . 50Ge0 .50 제1층(26)과 Si 제2층(28)으로 된 특정예의 상황에서, n타입 디바이스와 p타입 디바이스 양쪽을 구비하는 상보형 디바이스의 경우에, 도 18a-도 18c, 도 22a-도 22c, 도 24a-도 24c, 도 26a-도 26c, 및 도 28a-도 28c의 구조 중 임의의 것은 n타입 디바이스 영역(200)에서 n타입 디바이스, 예컨대 n타입 finFET에 이용될 수 있고, 도 18a-도 18c, 도 30a-도 30c, 도 32a-도 32c, 도 34a-도 34c, 및 도 36a-도 36c는 p타입 디바이스 영역(300)에서 p타입 디바이스, 예컨대 p타입 finFET에 이용될 수 있다. 제1층(26)과 제2층(28)이 n타입 디바이스 영역(200)과 p타입 디바이스 영역(300) 양쪽에 예시되어 있지만, 제1층(26)은 도 28a-도 28c에서와 같이, 영역(200 또는 300) 중 하나로부터 제거 또는 생략될 수 있고/있거나, 제2층(28)은 도 36a-도 36c에서와 같이, 영역(200 또는 300) 중 다른 하나로부터 제거 또는 생략될 수 있다.
일 실시형태는 구조물이다. 이 구조물은 채널 영역과, 제1 소스/드레인 영역과, 제2 소스/드레인 영역과, 유전체층과, 게이트 전극을 포함한다. 채널 영역은 기판 위에 제1 반도체층을 포함한다. 제1 반도체층 각각은 제1 반도체층의 인접한 층들로부터 분리되고, 제1 반도체층 각각은 제1 측벽과 제2 측벽을 갖는다. 제1 측벽은 기판에 대해 수직으로 연장되는 제1면을 따라 얼라인되고, 제2 측벽은 기판에 대해 수직으로 연장되는 제2면을 따라 얼라인된다. 제1 소스/드레인 영역 및 제2 소스/드레인 영역은 채널 영역의 대향측 상에 배치된다. 제1 반도체층 각각은 제1 소스/드레인 영역으로부터 제2 소스/드레인 영역까지 연장된다. 유전체층은 제1 반도체층의 제1 측벽 및 제2 측벽과 접촉하고, 유전체층은 제1면과 제2면 사이의 영역 내로 연장된다. 게이트 전극은 유전체층 위에 있다.
다른 실시형태는 구조물이다. 이 구조물은 n타입 디바이스와 p타입 디바이스를 포함한다. n타입 디바이스는 제1 채널 영역과, 제1 소스/드레인 영역과, 제2 소스/드레인 영역과, 제1 게이트 유전체와, 제1 게이트 전극을 포함한다. 제1 채널 영역은 제1층과 제2층을 교대로 포함하는 제1 초격자를 포함한다. 제1층은 제1 반도체 재료이고, 제2층은 제2 반도체 재료이다. 제1 소스/드레인 영역 및 제2 소스/드레인 영역은 제1 채널 영역의 대향측 상에 배치된다. 제1층 및 제2층 각각은 제1 소스/드레인 영역으로부터 제2 소스/드레인 영역까지 연장된다. 제1 게이트 유전체는 제1 초격자 상에 있다. 제1 게이트 전극은 제1 게이트 유전체 상에 있다. p타입 디바이스는 제2 채널 영역과, 제3 소스/드레인 영역과, 제4 소스/드레인 영역과, 제2 게이트 유전체와, 제2 게이트 전극을 포함한다. 제2 채널 영역은 제3층과 제4층을 교대로 포함하는 제2 초격자를 포함한다. 제3층은 제1 반도체 재료이고, 제4층은 제2 반도체 재료이다. 제1 반도체 재료와 제2 반도체 재료는 제1 초격자에서와 동일한 순서로 제2 초격자에서 정렬된다. 제3 소스/드레인 영역 및 제4 소스/드레인 영역은 제2 채널 영역의 대향측 상에 배치된다. 제3층 및 제4층 각각은 제3 소스/드레인 영역으로부터 제4 소스/드레인 영역까지 연장된다. 제2 게이트 유전체는 제2 초격자 상에 있다. 제2 게이트 전극은 제2 게이트 유전체 상에 있다.
추가 실시형태는 방법이다. 이 방법은, 제1층과 제2층을 교대로 포함하는 초격자를 포함하는 핀을 형성하는 단계와, 핀을 형성한 다음, 제1층을 선택적으로 에칭하는 단계와, 제1층을 선택적으로 에칭한 다음, 제2층 상에 게이트 유전체를 형성하는 단계와, 게이트 유전체 상에 게이트 전극을 형성하는 단계를 포함한다.
이상은 당업자가 본 개시의 양태를 더 잘 이해할 수 있도록 여러 실시형태의 특징을 개관한 것이다. 당업자라면 동일한 목적을 달성하기 위한 다른 공정 및 구조를 설계 또는 변형하고/하거나 본 명세서에 소개하는 실시형태들의 동일한 효과를 달성하기 위한 기본으로서 본 개시를 용이하게 이용할 수 있다고 생각할 것이다. 또한 당업자라면 그러한 등가의 구조가 본 개시의 사상 및 범주에서 벗어나지 않는다는 것과, 본 개시의 사상 및 범주에서 일탈하는 일없이 다양한 변화, 대체 및 변형이 이루어질 수 있다는 것을 인식할 것이다.

Claims (10)

  1. 구조물에 있어서,
    기판 위에 제1 반도체층들을 포함하는 채널 영역으로서, 상기 제1 반도체층들 각각은 상기 제1 반도체층들의 인접한 층들로부터 분리되고, 상기 제1 반도체층들 각각은 제1 측벽과 제2 측벽을 구비하며, 상기 제1 측벽은 상기 기판에 대해 수직으로 연장되는 제1면을 따라 얼라인(align)되고, 상기 제2 측벽은 상기 기판에 대해 수직으로 연장되는 제2면을 따라 얼라인되는 것인 상기 채널 영역과,
    상기 채널 영역의 대향측 상에 배치되는 제1 소스/드레인 영역 및 제2 소스/드레인 영역으로서, 상기 제1 반도체층들 각각은 상기 제1 소스/드레인 영역으로부터 상기 제2 소스/드레인 영역까지 연장되는 것인 상기 제1 소스/드레인 영역 및 제2 소스/드레인 영역과,
    상기 제1 반도체층들의 제1 측벽 및 제2 측벽과 접촉하고, 상기 제1면과 상기 제2면 사이의 영역 내로 연장되는 유전체층과,
    상기 유전체층 위의 게이트 전극
    을 포함하고,
    상기 채널 영역은 제2 반도체층들을 포함하고, 상기 제1 반도체층들은 상기 제2 반도체층들과 교대로 그리고 수직으로 적층되고,
    상기 제2 반도체층들 각각은 제3 측벽 및 제4 측벽을 구비하고, 상기 제3 측벽 및 상기 제4 측벽은 상기 제1면과 상기 제2면 사이에 배치되는 것인 구조물.
  2. 제1항에 있어서, 상기 제1 반도체층들 각각은 상단면 및 바닥면을 구비하고, 상기 유전체층은 상기 제1 반도체층들의 상단면 및 바닥면과 접촉하는 것인 구조물.
  3. 제2항에 있어서, 상기 제1 반도체층들 각각은 압축 또는 인장 변형되는 것인 구조물.
  4. 방법에 있어서,
    반도체 기판으로부터 위쪽으로 연장하는 핀을 형성하는 단계로서, 상기 핀은:
    제1층;
    상기 제1층 상의 제2층 - 상기 제1층 및 상기 제2층은 서로 다른 변형 타입을 포함함 - ; 및
    상기 제2층 상의 제3층 - 상기 제3층 및 상기 제1층은 동일한 변형 타입을 포함함 -
    을 포함하는 것인, 핀 형성 단계;
    상기 제2층을 상기 제1층 및 상기 제3층과 다른 비율(rate)로 에칭함으로써 상기 핀을 선택적으로 에칭하는 단계;
    상기 핀의 상기 제1층, 상기 제2층, 및 상기 제3층의 측벽들의 위에 상기 측벽들을 따라 연속적으로 연장하는 게이트 유전체를 형성하는 단계; 및
    상기 게이트 유전체 위에 전도성 게이트를 형성하는 단계
    를 포함하는 방법.
  5. 제4항에 있어서, 상기 핀을 선택적으로 에칭하는 단계는 상기 제1층 및 상기 제3층보다 빠른 비율로 상기 제2층을 선택적으로 에칭하는 단계를 포함하는 것인 방법.
  6. 제1항에 있어서, 상기 제3 측벽 및 상기 제4 측벽 각각은 결정질면의 표면을 가진 노칭된 측면(notched lateral side)을 구비하는 것인 구조물.
  7. 제1항에 있어서, 상기 제1 반도체층들 각각은 압축 변형되고(compressively strained), 상기 제2 반도체층들 각각은 인장 변형되는(tensilely strained) 것인 구조물.
  8. 제1항에 있어서, 상기 제1 반도체층들 각각은 인장 변형되고, 상기 제2 반도체층들 각각은 압축 변형되는 것인 구조물.
  9. 구조물에 있어서,
    n타입 디바이스로서,
    제1층과 제2층을 교대로 포함하는 제1 초격자를 포함하는 제1 채널 영역으로서, 상기 제1층은 제1 반도체 재료이고, 상기 제2층은 제2 반도체 재료인 것인 상기 제1 채널 영역과,
    상기 제1 채널 영역의 대향측 상에 배치되는 제1 소스/드레인 영역 및 제2 소스/드레인 영역으로서, 상기 제1층과 상기 제2층 각각은 상기 제1 소스/드레인 영역으로부터 상기 제2 소스/드레인 영역까지 연장되는 것인 상기 제1 소스/드레인 영역 및 제2 소스/드레인 영역과,
    상기 제1 초격자 상의 제1 게이트 유전체와,
    상기 제1 게이트 유전체 상의 제1 게이트 전극을 포함하는 상기 n타입 디바이스와,
    p타입 디바이스로서,
    제3층과 제4층을 교대로 포함하는 제2 초격자를 포함하는 제2 채널 영역으로서, 상기 제3층은 제1 반도체 재료이고, 상기 제4층은 제2 반도체 재료이며, 상기 제1 반도체 재료와 상기 제2 반도체 재료는 상기 제1 초격자에서와 같은 순서로 상기 제2 초격자 내에 정렬되는 것인 상기 제2 채널 영역과,
    상기 제2 채널 영역의 대향측 상에 배치되는 제3 소스/드레인 영역 및 제4 소스/드레인 영역으로서, 상기 제3층과 상기 제4층 각각은 상기 제3 소스/드레인 영역으로부터 상기 제4 소스/드레인 영역까지 연장되는 것인 상기 제3 소스/드레인 영역 및 제4 소스/드레인 영역과,
    상기 제2 초격자 상의 제2 게이트 유전체와,
    상기 제2 게이트 유전체 상의 제2 게이트 전극을 포함하는 상기 p타입 디바이스
    를 포함하고,
    상기 제1층 각각은 제1 측벽 및 제2 측벽을 구비하며, 상기 제1 측벽은 제1면을 따라 얼라인되고, 상기 제2 측벽은 제2면을 따라 얼라인되며, 상기 제2층의 측면들은 상기 제1면 및 상기 제2면 사이에서 연장하고,
    상기 제4층 각각은 제3 측벽 및 제4 측벽을 구비하며, 상기 제3 측벽은 제3면을 따라 얼라인되고, 상기 제4 측벽은 제4면을 따라 얼라인되며, 상기 제3층의 측면들은 상기 제3면 및 상기 제4면 사이에서 연장하는 구조물.
  10. 제1층과 제2층을 교대로 포함하는 초격자를 포함하는 핀(fin)을 형성하는 단계와,
    상기 핀을 형성한 다음에, 상기 제1층을 선택적으로 에칭하는 단계와,
    상기 제1층을 선택적으로 에칭한 다음에, 상기 제2층 상에 게이트 유전체를 형성하는 단계와,
    상기 게이트 유전체 상에 게이트 전극을 형성하는 단계 - 상기 게이트 전극을 형성한 다음에, 상기 제1층 및 상기 제2층은 드레인 영역 및 소스 영역 사이에서 연장함 -
    를 포함하는 방법.
KR1020150125434A 2015-06-15 2015-09-04 Finfet 구조물 및 그것의 형성 방법 KR101745785B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/739,928 2015-06-15
US14/739,928 US9647071B2 (en) 2015-06-15 2015-06-15 FINFET structures and methods of forming the same

Publications (2)

Publication Number Publication Date
KR20160147608A KR20160147608A (ko) 2016-12-23
KR101745785B1 true KR101745785B1 (ko) 2017-06-12

Family

ID=57394811

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150125434A KR101745785B1 (ko) 2015-06-15 2015-09-04 Finfet 구조물 및 그것의 형성 방법

Country Status (5)

Country Link
US (3) US9647071B2 (ko)
KR (1) KR101745785B1 (ko)
CN (1) CN106252386B (ko)
DE (1) DE102015110636B4 (ko)
TW (1) TWI590451B (ko)

Families Citing this family (295)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9917195B2 (en) * 2015-07-29 2018-03-13 International Business Machines Corporation High doped III-V source/drain junctions for field effect transistors
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9698266B1 (en) * 2016-03-09 2017-07-04 International Business Machines Corporation Semiconductor device strain relaxation buffer layer
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
JP6780015B2 (ja) * 2016-04-25 2020-11-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 水平ゲートオールアラウンドデバイスのナノワイヤの空隙スペーサ形成
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) * 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
WO2018090001A1 (en) * 2016-11-14 2018-05-17 Tokyo Electron Limited Method of forming gate spacer for nanowire fet device
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
CN108735804B (zh) * 2017-04-25 2021-05-14 中芯国际集成电路制造(上海)有限公司 晶体管及其制作方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10325845B2 (en) * 2017-06-21 2019-06-18 Qualcomm Incorporated Layout technique for middle-end-of-line
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102277610B1 (ko) * 2017-06-29 2021-07-14 삼성전자주식회사 반도체 장치의 제조 방법
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10685884B2 (en) 2017-07-31 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including a Fin-FET and method of manufacturing the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10553495B2 (en) * 2017-10-19 2020-02-04 International Business Machines Corporation Nanosheet transistors with different gate dielectrics and workfunction metals
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11362200B2 (en) * 2018-06-08 2022-06-14 Purdue Research Foundation Enhanced cascade field effect transistor
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI698912B (zh) * 2018-09-03 2020-07-11 環球晶圓股份有限公司 磊晶基板及其製造方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11189728B2 (en) * 2019-09-05 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR102442812B1 (ko) * 2019-10-30 2022-09-13 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 방법
US11348840B2 (en) 2019-10-30 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11417764B2 (en) * 2020-01-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interface profile control in epitaxial structures for semiconductor devices
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
DE102020115279A1 (de) 2020-02-19 2021-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
DE102020132620A1 (de) * 2020-05-15 2021-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung und Verfahren
US11769821B2 (en) 2020-05-15 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a corner spacer
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11855163B2 (en) 2020-06-23 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11527653B2 (en) 2020-07-22 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11764221B2 (en) 2020-07-30 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11501812B2 (en) 2020-07-31 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including ferroelectric memory and methods of forming the same
US11527621B2 (en) 2020-08-05 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate electrode deposition and structure formed thereby
US11522062B2 (en) 2020-08-14 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing an etch stop layer and an inter-layer dielectric on a source/drain region
US11640983B2 (en) 2020-08-14 2023-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11646377B2 (en) 2020-08-21 2023-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220223590A1 (en) * 2021-01-13 2022-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11469229B2 (en) 2021-01-15 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11798943B2 (en) 2021-02-18 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor source/drain contacts and methods of forming the same
US20220285491A1 (en) * 2021-03-02 2022-09-08 Qualcomm Incorporated Transistor source/drain epitaxy blocker
US11742290B2 (en) 2021-03-10 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method of forming thereof
US11652049B2 (en) 2021-03-10 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming thereof
US11695042B2 (en) 2021-04-08 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor contacts and methods of forming the same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20220367187A1 (en) 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method of Manufacture
US20220376111A1 (en) 2021-05-20 2022-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of forming the same
US11804532B2 (en) * 2021-08-27 2023-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices with superlattice channel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230282750A1 (en) 2022-03-04 2023-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric Layers for Semiconductor Devices and Methods of Forming the Same
US20230378256A1 (en) 2022-05-17 2023-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor Gate Isolation Structures and Methods of Forming the Same
US20240021476A1 (en) 2022-07-14 2024-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor Contacts and Methods of Forming the Same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010283343A (ja) * 2009-05-19 2010-12-16 Commissariat A L'energie Atomique & Aux Energies Alternatives 半導体構造および半導体構造を製作する方法
US20150069327A1 (en) * 2013-09-11 2015-03-12 International Business Machines Corporation Fin field-effect transistors with superlattice channels

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100481209B1 (ko) * 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
US20060292765A1 (en) 2003-06-26 2006-12-28 Rj Mears, Llc Method for Making a FINFET Including a Superlattice
KR100487566B1 (ko) 2003-07-23 2005-05-03 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 형성 방법
US7518195B2 (en) * 2004-10-21 2009-04-14 Commissariat A L'energie Atomique Field-effect microelectronic device, capable of forming one or several transistor channels
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7425740B2 (en) 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
KR100763542B1 (ko) * 2006-10-30 2007-10-05 삼성전자주식회사 다중 채널 모오스 트랜지스터를 포함하는 반도체 장치의제조 방법
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8048723B2 (en) 2008-12-05 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs having dielectric punch-through stoppers
US8776734B1 (en) 2008-05-19 2014-07-15 Innovative Environmental Solutions, Llc Remedial system: a pollution control device for utilizing and abating volatile organic compounds
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8415718B2 (en) 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8395195B2 (en) 2010-02-09 2013-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-notched SiGe FinFET formation using condensation
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8618556B2 (en) 2011-06-30 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design and method of fabricating same
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8609518B2 (en) 2011-07-22 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Re-growing source/drain regions from un-relaxed silicon layer
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
DE112011105926T5 (de) 2011-12-09 2014-09-18 Intel Corporation Belastungskompensation in Transistoren
CN103999226B (zh) * 2011-12-19 2017-02-15 英特尔公司 在栅绕式架构中的锗和iii‑v纳米线及纳米带的cmos实现
CN104126228B (zh) 2011-12-23 2016-12-07 英特尔公司 非平面栅极全包围器件及其制造方法
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US8742509B2 (en) 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8633516B1 (en) 2012-09-28 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stack stressor for semiconductor device
US8497177B1 (en) 2012-10-04 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US20140151639A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Nanomesh complementary metal-oxide-semiconductor field effect transistors
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
SG11201505765SA (en) * 2013-03-15 2015-08-28 Intel Corp Nanowire transistor with underlayer etch stops
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9263338B2 (en) * 2013-10-23 2016-02-16 Stmicroelectronics, Inc. Semiconductor device including vertically spaced semiconductor channel structures and related methods
US9431512B2 (en) * 2014-06-18 2016-08-30 Globalfoundries Inc. Methods of forming nanowire devices with spacers and the resulting devices
US9391176B2 (en) * 2014-10-23 2016-07-12 Globalfoundries Inc. Multi-gate FETs having corrugated semiconductor stacks and method of forming the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010283343A (ja) * 2009-05-19 2010-12-16 Commissariat A L'energie Atomique & Aux Energies Alternatives 半導体構造および半導体構造を製作する方法
US20150069327A1 (en) * 2013-09-11 2015-03-12 International Business Machines Corporation Fin field-effect transistors with superlattice channels

Also Published As

Publication number Publication date
DE102015110636B4 (de) 2021-07-22
TW201644051A (zh) 2016-12-16
US9647071B2 (en) 2017-05-09
US10720496B2 (en) 2020-07-21
US10134847B2 (en) 2018-11-20
US20190103461A1 (en) 2019-04-04
US20160365414A1 (en) 2016-12-15
TWI590451B (zh) 2017-07-01
CN106252386A (zh) 2016-12-21
US20170243941A1 (en) 2017-08-24
KR20160147608A (ko) 2016-12-23
CN106252386B (zh) 2020-01-14
DE102015110636A1 (de) 2016-12-15

Similar Documents

Publication Publication Date Title
KR101745785B1 (ko) Finfet 구조물 및 그것의 형성 방법
US11362004B2 (en) FinFET devices and methods of forming
US11177178B2 (en) FinFETs and methods of forming FinFETs
US11088251B2 (en) Source/drain contacts for semiconductor devices and methods of forming
US20160322473A1 (en) Buffer Layer on Gate and Methods of Forming the Same
KR102538269B1 (ko) 반도체 디바이스 및 방법
US20230387275A1 (en) Method of Gap Filling for Semiconductor Device
US20210273096A1 (en) Semiconductor Device and Method
US11158741B2 (en) Nanostructure device and method
US10529861B2 (en) FinFET structures and methods of forming the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant