TWI480958B - 半導體結構及其製造方法 - Google Patents

半導體結構及其製造方法 Download PDF

Info

Publication number
TWI480958B
TWI480958B TW101123980A TW101123980A TWI480958B TW I480958 B TWI480958 B TW I480958B TW 101123980 A TW101123980 A TW 101123980A TW 101123980 A TW101123980 A TW 101123980A TW I480958 B TWI480958 B TW I480958B
Authority
TW
Taiwan
Prior art keywords
fin
active
gate
active region
semiconductor structure
Prior art date
Application number
TW101123980A
Other languages
English (en)
Other versions
TW201332021A (zh
Inventor
Shao Ming Yu
Chang Yun Chang
Chih Hao Chang
Hsin Chih Chen
Kai Tai Chang
Ming Feng Shieh
Kuei Liang Lu
Yi Tang Lin
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201332021A publication Critical patent/TW201332021A/zh
Application granted granted Critical
Publication of TWI480958B publication Critical patent/TWI480958B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

半導體結構及其製造方法
本發明係關於半導體製作,且特別是關於一種半導體結構及其製造方法。
於積體電路工業之先進技術中,使用了應變半導體結構以增加通道內之載子遷移率而增強了電路表現。磊晶成長(epitaxy growth)為形成應變結構的方法之一。然而,磊晶成長對於主動區的結構及相關環境極為敏感。例如,會形成有晶面缺陷(facet defects)並限制了磊晶成長。因此,便需要一種積體電路結構及其製造方法,以解決上述問題。
因此,本發明提供了一種半導體結構,包括:一半導體基板;一隔離元件,形成於該半導體基板內;一第一主動區與一第二主動區,形成於該半導體基板內,其中該第一主動區與該第二主動區沿一第一方向沿伸並為該隔離元件所相分隔;以及一假閘極,設置於該隔離元件上,其中該假閘極沿著該第一方向自一側延伸至該第一主動區處以及自另一側延伸至該第二主動區處。
於一實施例中,上述半導體結構,更包括:一第一功能性閘極,設置於該第一主動區上以形成一第一場效電晶體;以及一第二功能性閘極,設置於該第二主動區上以形成一第二場效電晶體。
於另一實施例中,上述半導體結構更包括複數個第一磊晶元件,形成於該第一主動區上並為該第一功能性閘極 所相分隔。於又一實施例中,該半導體基板包括矽;該些第一磊晶元件包括矽鍺;以及該第一場效電晶體包括一P型場效電晶體與一N型場效電晶體其中之一,其中該些第一磊晶元件與該假閘極之間係為該半導體基板之一部所分隔。
於另一實施例中,上述半導體結構更包括複數個第二磊晶元件,形成於該第二主動區上並為該第二功能性閘極所相分隔。於又一實施例中,該些第二磊晶元件包括碳化矽;以及該第二場效電晶體包括包括該P型場效電晶體與該N型場效電晶體其中之另一,其中該些第二磊晶元件與該假閘極係藉由該半導體基板之另一部所分隔。
於又一實施例中,第一功能性閘極與該第二功能性閘極包括一高介電常數介電材料層以及位於該高介電常數介電材料層上之一金屬層。
於又一實施例中,該隔離元件係為依該第一方向沿伸一第一尺寸S1之一淺溝槽隔離元件。於另一實施例中,該第一主動區與該第二主動區為對準該第一方向之鰭樣主動區;以及該假閘極對準於垂直於該第一方向之一第二方向且於該第一方向上跨越有一第二尺寸S2,其中該第二尺寸大於該第一尺寸。
於又一實施例中,該假閘極沿伸至該第一主動區處並具有該第一方向上之一第一覆蓋尺寸Z1;以及該假閘極沿伸至該第二主動區處並具有該第一方向上之一第二覆蓋尺寸Z2,其中該S1、S2、Z1、Z2之間具有S2=S1+Z1+Z2之一關係。於又一實施例中,該假閘極包括一主閘堆疊物 以及設置於該主閘堆疊物之兩側之一閘間隔物;該主閘堆疊物具有於該第一方向上之一寬度W,且該閘間隔物具有一厚度T;以及該第二尺寸S2係等於W+2T。
本發明亦提供了另一實施例之一種半導體結構,包括:一矽基板;複數個第一鰭樣主動區,形成於該矽基板內並面向一第一方向;複數個第二鰭樣主動區,形成於該矽基板內且面向該第一方向;一淺溝槽隔離元件,形成於該矽基板內且設置於該些第一鰭樣主動區與該些第二鰭樣主動區之間;以及一假閘極,位於該淺溝槽隔離元件之上,其中該假閘極依該第一方向沿伸以自一側覆蓋該些第一鰭樣主動區及自另一側覆蓋該些第二鰭樣主動區。
於上述半導體結構之一實施例中,該些第一鰭樣主動區分別包括接觸該淺溝槽隔離元件之一第一端,而該些第二鰭樣主動區分別包括接觸該淺溝槽隔離元件之一第二端。
於另一實施例中,該淺溝槽隔離元件具有一第一方向上之一第一尺寸S1;該些第一端與該些第二端具有該第一方向上之一第一距離,其中該第一距離係等於該第一尺寸;以及該假閘極於該第一方向上跨越了一第二尺寸S2,而S2大於S1。
於又一實施例中,該假閘極與該些第一鰭樣主動區重疊,具有於該第一方向上之第一重疊尺寸Z1;該假閘極與該些第二鰭樣主動區重疊,具有於該第一方向上之第二重疊尺寸Z2;以及S1、S2、Z1與Z2之間具有S2=S1+Z1+Z2之一關係。
於又一實施例中,該淺溝槽隔離元件包括低於該些第一鰭樣主動區與該些第二鰭樣主動區之頂面之一頂面。
本發明亦提供了另一實施例之一種半導體結構之製造方法,包括:形成一隔離元件於一半導體基板內;形成一第一鰭樣主動區與一第二鰭樣主動區於該半導體基板內並為該隔離元件所分隔;以及形成一假閘極於該隔離元件上,其中該假閘極自一側沿該第一方向沿伸至該第一鰭樣主動區處以及自另一側沿該第一方向沿伸至該第二鰭樣主動區處。
於一實施例中,上述方法更包括形成磊晶成長之源極元件與汲極元件於該第一鰭樣主動區內。於另一實施例中,形成該假閘堆疊物包括形成具有多晶矽之第一閘極以及採用金屬以取代該多晶矽。
於又一實施例中,形成該第一鰭樣主動區與該第二鰭樣主動區於該半導體基板內包括:使用一第一光罩以定義一主動區;以及使用一第二光罩以定義一切割元件,以形成一硬罩幕,其中該硬罩幕包括定義該些第一鰭樣主動區與該些第二鰭樣主動區之數個開口。
為讓本發明之上述目的、特徵及優點能更明顯易懂,下文特舉一較佳實施例,並配合所附的圖式,作詳細說明如下:
可以理解的是,於下文中提供了用於施行本發明之不同特徵之多個不同實施例,或範例。基於簡化本發明之目的,以下描述了元件與設置情形之特定範例。然而,此些 元件與設置情形僅作為範例之用而非用於限制本發明。此外,本發明於不同實施例中可能重複使用標號及/或文字。如此之重複情形係基於簡化與清楚之目的,而非用於限定不同實施例及/或討論形態內的相對關係。再者,於描述中關於於一第二元件之上或上之第一元件的形成可包括了第一元件與第二元件係為直接接觸之實施情形,且亦包括了於第一元件與第二元件之間包括了額外元件之實施情形,因而使得第一元件與第二元件之間並未直接接觸。
第1圖顯示了依據本發明之一實施例之一種半導體結構50之上視圖。第2圖為依據本發明之一實施例之半導體結構50之一局部上視圖。以下藉由第1圖與第2圖以解說半導體結構50及其製造方法。
於一實施例中,半導體結構50為一半導體晶圓之一部,或特別地為一半導體晶粒(或晶片)之一部。半導體結構50包括了一半導體基板52。於一實施例中,半導體基板52包括矽。或者,半導體基板52包括鍺或矽鍺。於其他實施例中,半導體基板52可使用其他半導體材料,例如鑽石、碳化矽、砷化鎵、GaAsP、AlInAs、AlGaAs、GaInP、或上述材料之適當組合。再者,半導體基板52可包括一塊狀半導體(bulk semiconductor),例如塊狀矽,以及形成於此塊狀矽上之一磊晶矽層。
請參照第1圖,半導體結構50更包括數個主動區54,例如主動區54a與54b。於本實施例中,主動區54為鰭樣結構(fin-like structure),以用於形成鰭樣場效電晶體(fin-like FETs)。於一特定實施例中,半導體結構50包括平 行地設置之數個鰭樣主動區,例如數個第一鰭樣主動區54a以及數個第二鰭樣主動區54b。此些第一鰭樣主動區54a與第二鰭樣主動區54b係為隔離元件(isolation features)所相分隔。於圖示之一範例中,此些第一鰭樣主動區54a係用於n型鰭樣場效電晶體(nFinFET),而此些第二鰭樣主動區54b係用於p型鰭樣場效電晶體(pFinFET)。如淺溝槽隔離元件(STI features)之數種隔離元件可於形成鰭樣主動區時之製程中形成於半導體基板52上。
此些鰭樣主動區54係藉由適當技術所形成。於一範例中,鰭樣主動區的形成包括:形成數個淺溝槽隔離元件以定義出主動區之數個區域、蝕刻此些主動區內之半導體材料(例如矽)、以及於此些主動區內磊晶成長一半導體材料(例如矽)以形成此些鰭樣主動區54。於另一範例中,此些鰭樣主動區的形成包括:形成數個淺溝槽隔離元件以定義出此些主動區之數個區域,以及蝕刻並凹陷此些淺溝槽隔離元件,以突出此些而形成此些鰭樣主動區54。
於鰭樣主動區54上形成有數個閘堆疊物(gate stacks)。此些閘堆疊物包括用於場效電晶體之一或多個功能性閘堆疊物(functional gate stack)以及設置於隔離元件之上一假閘堆疊物(dummy gate strack)。於本實施例中,半導體結構50包括設置於此些第一鰭樣主動區54a上之一第一閘堆疊物56以及設置於此些第二鰭樣主動區54b上之一第二閘堆疊物58。第一閘堆疊物56與第二閘堆疊物58的設置係用於形成個別之場效電晶體(FET),例如一n型場效電晶體與一p型場效電晶體。再者,半導體結構50包括設置 於淺溝槽隔離元件上且經過沿伸而部分地位於主動區54上之一假閘堆疊物60。特別地,假閘堆疊物60係設置於淺溝槽隔離元件上而覆蓋了此些主動區54之數個末端部,如第1圖所示。換句話說,此些鰭樣主動區54係沿伸至假閘堆疊物60處,進而使得此些鰭樣主動區54之末端部係位於假閘堆疊物60之下方。於本實施例中,此些第一鰭樣主動區54a係自一側而沿伸至假閘堆疊物60處,而此些第二鰭樣主動區54b係自另一側沿伸至假閘堆疊物60處,如此假閘堆疊物60覆蓋了此些第一鰭樣主動區54a之一端以及此些第二鰭樣主動區54b之一端。
於一實施例中,此些閘堆疊物56、58、60分別包括一主閘極62以及形成於對應之每一主閘極62的側壁上一閘間隔物64。閘堆疊物56、58、60之每一主閘極包括了一閘介電元件以及設置於此閘介電元件上之一閘電極。此閘介電元件包括一或多個介電材料,而此閘電極包括一或多個導電材料。上述閘間隔物包括一或多個介電材料。
請參照第2圖,以詳細解說假閘堆疊物之型態。基於簡化的目的,第2圖為第1圖內之半導體結構50之一部之一上視圖。於本實施例中,此些鰭樣主動區54係對準於一第一方向X,而此些閘堆疊物係對準於垂直此第一方向之一第二方向Y。閘堆疊物60包括了主閘極62以及位於側壁上之閘間隔物64。主閘極62包括了於第一方向上之一寬度X。閘間隔物64包括如第2圖所示之位於每一側之一厚度T。此些鰭樣主動區54a具有埋設於(位於其下)之假閘堆疊物60之數個末端部。此些第一鰭樣主動區54a之每一 埋設之末端部具有於第一方向上之一尺寸ZL。此些鰭樣主動區54b埋設於(位於其下)之假閘堆疊物60之數個末端部。此些第二鰭樣主動區54b之每一埋設之末端部具有於第一方向上之一尺寸ZR。此些第一鰭樣主動區54a與此些第二鰭樣主動區54b於第一方向上具有相分隔之一間隔尺寸S。上述定義之不同參數之間則滿足了一方程式S1+ZL+ZR=X+2T。於一實施例中,鰭樣主動區54之埋入端部之上述尺寸ZL與ZR約介於5-10奈米。於其他實施例中,假閘堆疊物60具有一寬度不同於功能性閘堆疊物之,例如功能性閘堆疊物56與58。此些參數ZL與ZR定義出了鰭樣主動區(54a或54b)與假閘堆疊物60之間的重疊情形。此些參數ZL與ZR亦定義了假閘堆疊物60之一一邊與位於假閘堆疊物60下方之隔離元件之一邊間的偏差值(offsets)。
第3圖為依據本發明之一實施例之半導體結構50沿著第1圖內線段AA’之一剖面圖。藉由下文以描述第3圖內之更多元件。半導體結構50包括了功能性閘堆疊物56與58,且更包括了設置於功能性閘堆疊物之間之一假閘堆疊物60。此假閘堆疊物60係形成於隔離元件68之上,並沿著第一方向X沿伸至此些鰭樣主動區54之末端部。
可藉由如離子佈值之適當技術於半導體基板52內設置多個摻雜元件(doping features)。舉例來說,可於主動區內形成一或多個摻雜井區70。於一實施例中,係於第一鰭樣主動區54a內形成一第一井區70a,以及於第二鰭樣主動區54b內形成一第二井區70b。於本實施例中,第一井區 70a包括了用於n型場效電晶體之p型摻質,而第二井區70b包括了用於p型場效電晶體之n型摻質。
半導體結構50包括用於應變效應之一或多個磊晶成長形成之半導體元件(磊晶元件)72,以增強電路表現。於一實施例中,半導體結構50包括了設置於第一功能性閘極56兩側之磊晶元件72a與72b。於另一實施例中,半導體結構50包括了設置於第二功能性閘極58兩側之磊晶元件72c與72d。於本實施例中,則顯示了磊晶元件72a、72b、72c、72d。特別地,磊晶元件72a與72b包括了形成於主動區54a內之具有可加強n型場效電晶體表現之應變效應之磊晶成長的碳化矽,而磊晶元件72c與72d包括形成於主動區54b內之具有可加強p型場效電晶體表現之應變效應之磊晶成長的矽鍺。由於假閘堆疊物60與隔離元件68間之偏差(offset)情形,此些磊晶元件可沿伸至假閘堆疊物60處但為隔離元件68所相分隔。
此些磊晶元件的形成包括:蝕刻半導體基板以形成數個凹口,以及磊晶成長如矽鍺或碳化矽以形成相關之磊晶元件。於一實施例中,磊晶元件72可經過成長並突出於半導體基板52之表面。
於形成凹口之蝕刻程序中,此些凹口係由於假閘堆疊物60而與隔離元件68產生偏差,因而不會露出了隔離元件68的側壁,如此使得凹口具有僅為半導體材料之表面(於本實施例中為矽)。如此,磊晶成長可大體發生於凹口內的表面進而可消除晶面缺陷。
而於既存方法之中,此些凹口係露出了隔離元件(淺溝 槽隔離元件)的表面。由於磊晶成長並不會於如氧化矽之介電材料的隔離元件的表面成長。因此,於磊晶元件與隔離元件之間會形成孔洞缺陷(void defects)。此些孔洞缺陷可稱為晶面缺陷(facet defects)。相反的,在此揭示之半導體結構50及其相關方法則消除了上述晶面缺陷。
半導體結構50更包括形成於主動區54(例如54a與54b)內且分別設置於對應之功能性閘堆疊物(56或58)的側壁上之數個源極與汲極元件74。此些源極與汲極元件74包括了大體對準於對應之主閘堆疊物之數個輕度摻雜汲極(LDD)元件以及對準於對應之閘間隔物64之數個重度摻雜源極/汲極。此淺摻雜汲極元件與重度摻雜源極汲極元件統稱為源極與汲極元件74。此些源極與汲極元件74係藉由多個離子佈植步驟所形成。於本實施例中,位於第一主動區54a內之源極與汲極元件74具有例如磷之n型摻質,以形成n型場效電晶體。於第二主動區54b內之源極與汲極元件74具有如硼之p型摻質,以形成p型場效電晶體。
此些閘堆疊物(包括功能性閘堆疊物56與58以及假閘堆疊物60)包括了主閘極62以及閘間隔物64。每一主閘極包括了一閘介電元件62a以及設置於閘介電元件62a上之一閘電極62b。閘介電元件62a包括設置於半導體基板52上之一或多個介電材料。閘電極62b包括一或多個導電材料。於一實施例中,閘介電元件62a包括氧化矽,而閘電極62b包括多晶矽,其藉由包括沉積與圖案化之一程序所形成。而上述圖案化包括了微影製程與蝕刻製程。
於另一實施例中,閘介電元件62a包括一高介電常數 介電材料層,而閘電極62b包括一金屬層,因而可稱為一高介電常數金屬閘(high k metal gate)。高介電常數金屬閘可藉由適當技術所形成,例如一閘極最後(gate last)製程,其首先形成多晶矽之閘堆疊物,並接著採用蝕刻沉積與研磨而取代多晶矽材料。於本實施例中,閘介電元件62a可於半導體基板與高介電常數介電材料層之間額外地設置一中間層。依據電晶體之類型(n型或p型),閘電極62b可包括具有適當功函數之一金屬層,以針對個別電晶體而調整臨界電壓,進而其可稱為一功含數金屬。於上述情形中,用於n型場效電晶體之功函數金屬不同於用於p型場效電晶體之功函數金屬。
於又一實施例中,功能性閘堆疊物56與58包括了用於閘介電層之高介電常數介電層以及用於閘電極之金屬層,但假閘堆疊物60則包括用於閘介電層之氧化矽以及用於閘電極之多晶矽。
第4圖為一流程圖,顯示了依據本發明之一或多個實施例之形成半導體結構50之一種方法100。方法100係參照第1-4圖等圖式而進行說明。
方法100起始於一步驟102,其提供一半導體基板52。半導體基板52包括矽或其他之適當半導體材料。
方法100接著繼續步驟104,形成數個鰭樣主動區54。於一實施例中,此些鰭樣主動區54係藉由包括形成淺溝槽隔離物以定義出用於此些主動區之區域以及回蝕刻淺溝槽隔離物以突出此些主動區而形成此些鰭樣主動區54之一程序。
於此實施例中,將藉由以下第5圖之流程圖以說明依據本發明之不同實施例之形成鰭樣主動區54之一較詳細實施例,以形成鰭樣主動區。
如步驟112中,於半導體基板上形成一硬罩幕(hard mask)。於一範例中,硬罩幕包括一氧化矽薄膜(墊氧化物)與位於墊氧化物上之一氮化矽薄膜。硬罩幕層可藉由適當技術形成。於一範例中,氧化矽係由熱氧化法所形成,而氮化矽係由化學氣相沉積所形成。
於步驟114中,圖案化此硬罩幕層以形成數個開口。經圖案化之硬罩幕層定義出用於隔離元件之數個區域以及用於主動區之數個區域。特別的,經圖案化之硬罩幕層內之此些開口定義出此些隔離元件之數個區域。硬罩幕層係藉由微影與蝕刻製程而圖案化。
為了降低導線末端縮短(line end shortening)與邊角圓滑化(corner rounding)問題,可使用兩道光罩以圖案化此硬罩幕層。採用第一光罩以定義出鰭樣導線(fin lines),以及採用第二光罩以定義出導線末端切割圖案並形成了末端與端之間的間距。如第6圖顯示了一硬罩幕層之一上視圖,第一光罩定義出了數個鰭樣元件126,而第二光罩定義出導線末端切割元件128,以形成半導體結構50之鰭樣主動區54。於一範例中,此第一光罩定義出對準於一第一方向X之數個鰭樣元件,而第二光罩定義出對準於垂直於第一方向X之一第二方向Y之一導線末端切割元件128。
於一實施例中,上述兩光罩係於一雙重曝光程序中所應用。於硬罩幕層上塗佈一阻劑層。分別利用此第一光罩 與第二光罩依序施行兩次曝光。接著顯影經過兩次曝光之此阻劑層,以形成具有數個開口定義於其內之圖案化阻劑層。接著施行一蝕刻程序以穿過圖案化阻劑層之此些開口以蝕刻硬罩幕層。上述微影程序包括其他步驟,例如軟烤、曝光後烘烤、及/或硬烤。上述蝕刻製程可包括兩蝕刻步驟,以分別蝕刻氮化矽與氧化矽。
於另一實施例中,上述兩光罩可應用於一雙重曝光與雙重蝕刻程序中。使用此第一光罩圖案化(包括塗佈、曝光與顯影)一第一阻劑層。接著進行一蝕刻程序以穿透第一阻劑層之開口而蝕刻硬罩幕層。同樣地,採用此第二光罩圖案化一第二阻劑層。接著施行一蝕刻製程以穿透第二阻劑層之此些開口而蝕刻硬罩幕層。
於步驟116中,穿透硬罩幕層之開口而蝕刻半導體基板,以於半導體溝槽內形成數個溝槽。進而將硬罩幕層之圖案轉移至半導體基板處。
於步驟118中,半導體基板之溝槽為一或多個介電材料所填入,以形成數個淺溝槽隔離元件。於一實施例中,此些淺溝槽隔離元件包括氧化矽。此氧化矽可藉由一化學氣相沉積程序而填入於溝槽中。於不同實施例中,氧化矽可藉由高密度電漿化學氣相沉積(HDPCVD)所形成。氧化矽亦可藉由高深寬比程序(HARP)所形成。於其他實施例中,此些溝槽隔離元件可包括多膜層結構。於實施例中,此些淺溝槽隔離元件包括其他適當材料,例如氮化矽或氮氧化矽。
於一實施例中,接著施行如化學機械研磨(CMP)之一 研磨程序,以移除位於半導體基板上之過量材料並平坦化此半導體基板。
於步驟120中,接著回蝕刻此些淺溝槽隔離元件,以凹陷此些淺溝槽隔離元件,並使得數個半導體部(即矽部)相對於經凹陷之淺溝槽隔離元件為突出的,進而形成數個鰭樣主動區。如此,此些淺溝槽隔離元件之頂面係低於此些鰭樣主動區之頂面。
請再次參照第4圖,於步驟104中形成數個鰭樣主動區之後,方法100可接著繼續施行步驟106以形成數個閘堆疊物,其包括數個功能性閘堆疊物(56與58)與一假閘堆疊物60。如第3圖所示,此些閘堆疊物(包括功能性閘堆疊物56與58與假閘堆疊物60)包括了主閘極62以及閘間隔物64。每一主閘極62包括一閘介電元件62a以及設置於此閘介電元件62a上之一閘電極62b。於不同實施例中,閘堆疊物可包括用於閘電極之多晶矽或金屬材料,以及包括用於閘介電層之氮化矽及/或高介電常數介電材料。當閘堆疊物包括高介電常數介電材料與金屬(通稱為高介電常數金屬閘)時,此高介電常數金屬閘的形成可採用閘極先行形成製程(gate-first process),其為於此步驟中直接設置與圖案化一高介電常數介電材料與一金屬。或者,可藉由其他技術形成高介電常數金屬閘,例如於其他實施例中此用閘極最後製程(gate-last process)或高介電常數最後製程(high-k-last process)。
方法100接著進行步驟108,於主動區54(例如54a與54b)內形成源極與汲極元件74。此些源極與汲極元件分別 設置於相對應之功能性閘堆疊物(56或58)的側邊。於一實施例中,源極與汲極元件74包括大體對準於相對應之主閘堆疊物之數個輕度摻雜汲極(LDD)元件以及對準於相對應之閘間隔物64之重度摻雜源極與汲極(S/D)。此些源極與汲極元件74係藉由適當技術(例如離子佈值)所形成,並接著施行一熱回火以活化之。於本實施例中,於第一主動區54a內之源極與汲極元件74包括了用於形成n型場效電晶體之n型摻質。於第二主動區54b內之源極與汲極元件74包括了用於形成p型場效電晶體之p型摻質。
閘堆疊物的形成以及源極與汲極元件的形成可整合於單一步驟內。舉例來說,重度摻雜源極與汲極可於形成閘間隔物之後形成。本方法之一實施例為如下所述之形成閘堆疊物與源極/汲極元件。
於基板上形成閘材料層且於經過圖案化形成閘堆疊物。上述閘材料層包括了一中間層(如氧化矽)、設置於中間層上之一高介電常數介電材料層、以及位於高介電常數介電材料層上之一多晶矽層。上述圖案化之技術包括了微影製程與蝕刻。可使用一硬罩幕做為蝕刻罩幕以圖案化上述閘材料層。
接著藉由離子佈值形成淺摻雜汲極(LDD)元件,並接著施行熱回火以活化之。藉由沈積與乾蝕刻等製程於閘堆疊物的側壁上形成閘間隔物。特別地,假閘堆疊物60(包括閘間隔物)係形成於淺溝槽隔離元件68之上並延伸以覆蓋鰭樣主動區54之末端部。於本實施例中,假閘堆疊物60覆蓋了位於一側之鰭樣主動區54a的末端部,以及覆蓋了 另一側之鰭樣主動區54b之末端部。
接著蝕刻半導體基板以形成數個凹口。於本實施例中,採用適當蝕刻化學品蝕刻矽基板。此些凹口係形成於矽基板內,並與淺溝槽隔離元件68之間藉由矽所分隔。淺溝槽隔離元件68之一邊與假閘堆疊物60之一邊之間的偏差值分別為ZL與ZR,如第2圖所示。經過設計,此些偏差值ZL與ZR需為足夠使得此些凹口不會碰觸與露出淺溝槽隔離元件68。
接著施行磊晶成長(或磊晶地成長)以形成一磊晶成長元件,其具有不同於基板之半導體材料,提供適當之應變效果以增強通道遷移率。於一實施例中,於此些凹口內磊晶地成長用於p型場效電晶體之矽鍺。於另一實施例中,於此些凹口內磊晶地成長用於n型場效電晶體之碳化矽。
上述磊晶成長可成長其表面大體水平於或高於矽表面之磊晶元件,因而使得磊晶元件可為突出。於磊晶成長之後可藉由離子佈值形成重度摻雜源極與汲極。
於另一實施例中,可移除凹口蝕刻所使用之閘間隔物,並於閘堆疊物的側壁上形成一第二閘間隔物。因此,可調整第二閘間隔物以偏移重度摻雜源極與汲極,而第一閘間隔物則可調整鰭樣端部與假閘堆疊物間之重疊偏差情形。
於又一實施例中,可於磊晶成長時形成重度摻雜源極與汲極,其中磊晶成長元件係於磊晶成長時臨場地(in-situ)被摻雜。磊晶成長的前驅物包括了於磊晶成長時可同時導入摻質之化學品。
於方法100的施行之前、之中或之後可施行其他製程步驟。於一實施例中,可於基板與閘堆疊物之上形成一層間介電層(ILD)。此層間介電層係藉由一適當技術沈積,例如化學氣相沈積。此層間介電層包括一介電材料,例如氧化矽、低介電常數介電材料或其組合。接著可施行一化學機械研磨以平坦化此層間介電層的表面。於一範例中,於上述化學機械研磨製程後將露出閘堆疊物,以用於後續製程步驟。於形成高介電常數金屬閘之一閘極最後製程中,於形成層間介電層之後,藉由一或多個金屬層以替代多晶矽層。更精確地說,於閘堆疊物內之多晶矽層係藉由蝕刻而移除,進而形成了閘溝槽。接著利用一或多個金屬材料以填滿此些閘溝槽,以形成金屬閘堆疊物。於本實施例中,於閘溝槽內沈積具有一適當功函數之一第一金屬,以及於第一金屬之上沈積一第二金屬以填滿閘溝槽。此第一金屬亦稱之為一功函數金屬。第二金屬可包括鋁或鎢。
於高介電常數最後(high-k last)製程中,係藉由蝕刻而同時移除閘介電材料與多晶矽。接著,填入高介電常數介電材料與金屬以形成高介電常數金屬閘堆疊物。
於另一範例中,可更於基板之上形成一內連結構,而此內連結構係用於耦接數個電晶體與其他元件以形成功能性電路。上述內連結構包括了多個導電元件,例如用於水平連接之金屬導線以及用於垂直連接之接觸物/內連物。此些內連元件可採用包括銅、鎢與矽化物之多種導電材料。於一範例中,可使用一鑲嵌製程以形成銅基多重膜層內連結構。於另一實施例中,係於接觸孔內使用鎢以形成鎢插 拴。
雖然未於圖式中顯示,可更形成用於接觸之矽化物以及多膜層內連物等多種裝置元件及其相關製作。於一範例中,矽化物之接觸膜層包括鎳矽化物、鈷矽化物、鎢矽化物、鉭矽化物、鈦矽化物、鉑矽化物、鉺矽化物、鈀矽化物或其組合。閘間隔物可具有一多層膜層夠且可包括氧化矽、氮化矽、氮氧化矽或其他介電材料。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此項技藝者,在不脫離本發明之精神和範圍內,當可作更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。舉例來說,半導體結構50包括鰭樣主動區。然而,本發明亦適用於二維電路,其中主動區與淺溝槽隔離元件為大體共平面的。如前所示,可採用假閘堆疊物與主動區之末端部的重疊情形以當於場效電晶體中使用用於應變效應之磊晶成長元件時降低晶面缺陷。於另一範例中,假閘堆疊物60具有不同於功能性閘堆疊物之一寬度,使得介於假閘堆疊物與主動區間之重疊情形為可調整以有效地避免晶面問題。於又一範例中,於功能性閘堆疊物包括高介電常數介電材料與金屬時,假閘堆疊物包括如氧化矽與多晶矽之不同材料。於另一實施例中,僅於p型場效電晶體內形成矽鍺磊晶元件,而n型場效電晶體具有形成於矽基板內之源極與汲極元件。
50‧‧‧半導體結構
52‧‧‧半導體基板
54‧‧‧鰭樣主動區
54a‧‧‧第一鰭樣主動區
54b‧‧‧第二鰭樣主動區
56‧‧‧第一閘堆疊物
58‧‧‧第二閘堆疊物
60‧‧‧假閘堆疊物
62‧‧‧主閘極
62a‧‧‧閘介電元件
62b‧‧‧閘電極
64‧‧‧閘間隔物
68‧‧‧隔離元件
70‧‧‧摻雜井區
70a‧‧‧第一井區
70b‧‧‧第二井區
72a、72b、72c、72d‧‧‧磊晶元件
74‧‧‧源極與汲極元件
100‧‧‧半導體結構之製造方法
102、104、106、108、112、114、116、118、120‧‧‧步驟
126‧‧‧鰭樣元件
128‧‧‧導線末端切割元件
ZL、ZR‧‧‧尺寸
X‧‧‧第一方向
Y‧‧‧第二方向
T‧‧‧厚度
第1圖為一上視圖,顯示了依據本發明之一實施例之 一種半導體結構;第2圖為一局部上視圖,顯示了依據本發明之一實施例之如第1圖所示之半導體結構;第3圖為一剖面圖,顯示了依據本發明之一實施例之第1圖內AA’線段所示之半導體結構;第4圖為一流程圖,顯示了第1圖所示之半導體結構之製造方法;第5圖為一流程圖,顯示了第1圖所示之半導體結構內之一鰭樣主動區之製造方法;以及第6圖為一示意圖,顯示了用於形成於第1圖所示半導體結構內之鰭樣主動區之一硬罩幕。
50‧‧‧半導體結構
52‧‧‧半導體基板
54a‧‧‧第一鰭樣主動區
54b‧‧‧第二鰭樣主動區
56‧‧‧第一閘堆疊物
58‧‧‧第二閘堆疊物
60‧‧‧假閘堆疊物
62‧‧‧主閘極
64‧‧‧閘間隔物

Claims (10)

  1. 一種半導體結構,包括:一半導體基板;一隔離元件,形成於該半導體基板內;一第一主動區與一第二主動區,形成於該半導體基板內,其中該第一主動區與該第二主動區沿一第一方向沿伸並為該隔離元件所相分隔;一第一井區形成於該第一主動區內與一第二井區形成於該第二主動區內,其中該第一井區與該第二井區包括不同類型之摻質;以及一假閘極,設置於該隔離元件上,其中該假閘極沿著該第一方向自一側延伸至該第一主動區處以及自另一側延伸至該第二主動區處。
  2. 如申請專利範圍第1項所述之半導體結構,更包括:一第一功能性閘極,設置於該第一主動區上以形成一第一場效電晶體;以及一第二功能性閘極,設置於該第二主動區上以形成一第二場效電晶體。
  3. 如申請專利範圍第2項所述之半導體結構,其中該第一功能性閘極與該第二功能性閘極包括一高介電常數介電材料層以及位於該高介電常數介電材料層上之一金屬層。
  4. 如申請專利範圍第1項所述之半導體結構,其中該隔離元件係為依該第一方向沿伸一第一尺寸S1之一淺溝槽隔離元件。
  5. 如申請專利範圍第4項所述之半導體結構,其中:該第一主動區與該第二主動區為對準該第一方向之鰭樣主動區;以及該假閘極對準於垂直於該第一方向之一第二方向且於該第一方向上跨越有一第二尺寸S2,其中該第二尺寸大於該第一尺寸。
  6. 如申請專利範圍第5項所述之半導體結構,其中:該假閘極沿伸至該第一主動區處並具有該第一方向上之一第一覆蓋尺寸Z1;以及該假閘極沿伸至該第二主動區處並具有該第一方向上之一第二覆蓋尺寸Z2,其中該S1、S2、Z1、Z2之間具有S2=S1+Z1+Z2之一關係。
  7. 一種半導體結構,包括:一矽基板;複數個第一鰭樣主動區,形成於該矽基板內並面向一第一方向;複數個第二鰭樣主動區,形成於該矽基板內且面向該第一方向;一第一井區形成於該些第一主動區內與一第二井區形成於該些第二主動區內,其中該第一井區與該第二井區包括不同類型之摻質;一淺溝槽隔離元件,形成於該矽基板內且設置於該些第一鰭樣主動區與該些第二鰭樣主動區之間;以及一假閘極,位於該淺溝槽隔離元件之上,其中該假閘極依該第一方向沿伸以自一側覆蓋該些第一鰭樣主動區及 自另一側覆蓋該些第二鰭樣主動區。
  8. 如申請專利範圍第7項所述之半導體結構,其中該些第一鰭樣主動區分別包括接觸該淺溝槽隔離元件之一第一端,而該些第二鰭樣主動區分別包括接觸該淺溝槽隔離元件之一第二端。
  9. 如申請專利範圍第8項所述之半導體結構,其中:該淺溝槽隔離元件具有一第一方向上之一第一尺寸S1;該些第一端與該些第二端具有該第一方向上之一第一距離,其中該第一距離係等於該第一尺寸;以及該假閘極於該第一方向上跨越了一第二尺寸S2,而S2大於S1。
  10. 一種半導體結構之製造方法,包括:形成一隔離元件於一半導體基板內;形成一第一鰭樣主動區與一第二鰭樣主動區於該半導體基板內並為該隔離元件所分隔;形成一第一井區於該第一主動區內與一第二井區於該第二主動區內,其中該第一井區與該第二井區包括不同類型之摻質;以及形成一假閘極於該隔離元件上,其中該假閘極自一側沿該第一方向沿伸至該第一鰭樣主動區處以及自另一側沿該第一方向沿伸至該第二鰭樣主動區處。
TW101123980A 2012-01-23 2012-07-04 半導體結構及其製造方法 TWI480958B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/356,235 US9324866B2 (en) 2012-01-23 2012-01-23 Structure and method for transistor with line end extension

Publications (2)

Publication Number Publication Date
TW201332021A TW201332021A (zh) 2013-08-01
TWI480958B true TWI480958B (zh) 2015-04-11

Family

ID=48742320

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101123980A TWI480958B (zh) 2012-01-23 2012-07-04 半導體結構及其製造方法

Country Status (5)

Country Link
US (2) US9324866B2 (zh)
KR (1) KR101374431B1 (zh)
CN (1) CN103219340B (zh)
DE (1) DE102012105738B4 (zh)
TW (1) TWI480958B (zh)

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8697515B2 (en) 2012-06-06 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8803241B2 (en) * 2012-06-29 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate electrode of semiconductor device
US9337318B2 (en) 2012-10-26 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with dummy gate on non-recessed shallow trench isolation (STI)
KR101983633B1 (ko) * 2012-11-30 2019-05-29 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9117669B2 (en) * 2012-12-20 2015-08-25 Taiwan Semiconductor Manufacturing, Ltd. Apparatus for ESD protection
US8859372B2 (en) * 2013-02-08 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Double channel doping in transistor formation
US9997617B2 (en) * 2013-03-13 2018-06-12 Qualcomm Incorporated Metal oxide semiconductor (MOS) isolation schemes with continuous active areas separated by dummy gates and related methods
KR102021768B1 (ko) 2013-03-15 2019-09-17 삼성전자 주식회사 반도체 장치의 제조 방법 및 그 방법에 의해 제조된 반도체 장치
KR101998666B1 (ko) 2013-06-25 2019-10-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR20150015187A (ko) * 2013-07-31 2015-02-10 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102072410B1 (ko) 2013-08-07 2020-02-03 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9379106B2 (en) 2013-08-22 2016-06-28 Samsung Electronics Co., Ltd. Semiconductor devices having 3D channels, and methods of fabricating semiconductor devices having 3D channels
KR102025309B1 (ko) * 2013-08-22 2019-09-25 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9991285B2 (en) * 2013-10-30 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming FinFET device
KR102085525B1 (ko) 2013-11-27 2020-03-09 삼성전자 주식회사 반도체 장치 및 그 제조 방법
CN107818943B (zh) 2013-11-28 2019-03-29 中国科学院微电子研究所 半导体装置及其制造方法
US10861748B2 (en) 2013-11-28 2020-12-08 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangement and method for manufacturing the same
US10825738B2 (en) 2013-11-28 2020-11-03 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangements and methods of manufacturing the same
KR102169629B1 (ko) * 2013-12-09 2020-10-26 삼성전자주식회사 반도체 소자의 테스트 패턴
KR102066000B1 (ko) 2013-12-11 2020-01-14 삼성전자주식회사 반도체 소자의 제조하는 방법
CN104733316B (zh) * 2013-12-20 2018-03-30 中芯国际集成电路制造(上海)有限公司 FinFET器件及其形成方法
US9076869B1 (en) * 2014-01-08 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method
US9515172B2 (en) 2014-01-28 2016-12-06 Samsung Electronics Co., Ltd. Semiconductor devices having isolation insulating layers and methods of manufacturing the same
KR102236560B1 (ko) * 2014-03-26 2021-04-06 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9209179B2 (en) 2014-04-15 2015-12-08 Samsung Electronics Co., Ltd. FinFET-based semiconductor device with dummy gates
KR102202754B1 (ko) * 2014-08-14 2021-01-15 삼성전자주식회사 반도체 장치
US9614088B2 (en) * 2014-08-20 2017-04-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9431513B2 (en) 2014-09-29 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate structure and methods thereof
TWI600159B (zh) 2014-10-01 2017-09-21 聯華電子股份有限公司 半導體元件及其製作方法
US9547741B2 (en) * 2014-10-20 2017-01-17 Globalfoundries Inc. Methods, apparatus, and system for using filler cells in design of integrated circuit devices
TWI610435B (zh) 2014-11-17 2018-01-01 聯華電子股份有限公司 具有橫向擴散金屬氧化物半導體結構之高壓鰭式場效電晶體元件及其製造方法
KR102150942B1 (ko) * 2014-12-01 2020-09-03 삼성전자주식회사 핀펫을 구비하는 반도체 장치
KR102214023B1 (ko) 2014-12-03 2021-02-09 삼성전자주식회사 반도체 장치
KR102233073B1 (ko) * 2014-12-03 2021-03-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9991384B2 (en) * 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
KR102245136B1 (ko) * 2015-02-24 2021-04-28 삼성전자 주식회사 반도체 소자 형성 방법
TWI638385B (zh) * 2015-03-31 2018-10-11 聯華電子股份有限公司 半導體裝置的圖案化結構及其製作方法
KR102389813B1 (ko) * 2015-05-19 2022-04-22 삼성전자주식회사 반도체 소자
CN106298916B (zh) 2015-05-26 2020-06-30 联华电子股份有限公司 半导体元件及其制作方法
CN106409748B (zh) 2015-08-03 2020-11-17 联华电子股份有限公司 半导体元件及其制作方法
US9583600B1 (en) 2015-10-08 2017-02-28 United Microelectronics Corp. Semiconductor device and method for fabricating the same
CN106653841A (zh) * 2015-10-28 2017-05-10 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
US9793406B2 (en) 2015-10-28 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9728642B2 (en) * 2015-11-04 2017-08-08 International Business Machines Corporation Retaining strain in finFET devices
KR102318131B1 (ko) 2015-12-03 2021-10-26 삼성전자주식회사 반도체 장치
CN106910685A (zh) * 2015-12-23 2017-06-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
CN106952818B (zh) * 2016-01-06 2019-11-05 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
TWI728966B (zh) 2016-01-20 2021-06-01 聯華電子股份有限公司 半導體元件及其製作方法
US10269793B2 (en) * 2016-04-28 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions in fin field effect transistors (FinFETs) and methods of forming same
US9899266B2 (en) * 2016-05-02 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10276377B2 (en) 2016-05-20 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for patterning interconnects
CN107481933B (zh) * 2016-06-08 2020-05-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其制造方法
CN107546119B (zh) 2016-06-24 2022-10-21 联华电子股份有限公司 半导体元件及其制作方法
US9917154B2 (en) 2016-06-29 2018-03-13 International Business Machines Corporation Strained and unstrained semiconductor device features formed on the same substrate
US9847418B1 (en) * 2016-07-26 2017-12-19 Globalfoundries Inc. Methods of forming fin cut regions by oxidizing fin portions
TWI692871B (zh) 2016-08-03 2020-05-01 聯華電子股份有限公司 半導體結構及其製作方法
TWI691076B (zh) 2016-08-03 2020-04-11 聯華電子股份有限公司 半導體結構及其製作方法
CN107689330B (zh) * 2016-08-04 2020-10-02 中芯国际集成电路制造(北京)有限公司 一种半导体器件及制备方法、电子装置
US9634138B1 (en) 2016-08-24 2017-04-25 Qualcomm Incorporated Field-effect transistor (FET) devices employing adjacent asymmetric active gate / dummy gate width layout
US11088033B2 (en) 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
CN108022843B (zh) * 2016-11-04 2020-08-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN106449641B (zh) * 2016-11-15 2019-04-12 中国科学院微电子研究所 具有连续侧墙的半导体设置及其制造方法
US10050028B2 (en) * 2016-11-28 2018-08-14 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with reduced leakage current
CN108574013B (zh) * 2017-03-13 2021-07-30 中芯国际集成电路制造(上海)有限公司 半导体装置及其操作方法
US10157800B2 (en) * 2017-04-24 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20180337033A1 (en) * 2017-05-16 2018-11-22 Globalfoundries Inc. Novel approach to improve sdb device performance
KR102369654B1 (ko) * 2017-06-21 2022-03-03 삼성전자주식회사 반도체 장치
US10803227B2 (en) * 2017-08-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layouts with line-end extensions
US10276445B2 (en) * 2017-08-31 2019-04-30 Taiwan Semiconductor Manfacturing Co., Ltd. Leakage reduction methods and structures thereof
US10510889B2 (en) 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. P-type strained channel in a fin field effect transistor (FinFET) device
US10734233B2 (en) * 2018-02-22 2020-08-04 Globalfoundries Inc. FinFET with high-k spacer and self-aligned contact capping layer
US10461078B2 (en) 2018-02-26 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Creating devices with multiple threshold voltage by cut-metal-gate process
KR102458311B1 (ko) * 2018-04-11 2022-10-24 삼성전자주식회사 집적회로 소자
KR102535087B1 (ko) 2018-04-20 2023-05-19 삼성전자주식회사 반도체 장치
KR102546305B1 (ko) * 2018-04-20 2023-06-21 삼성전자주식회사 집적회로 소자
US10529860B2 (en) * 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate
CN109244139B (zh) * 2018-09-19 2021-03-05 中国科学院微电子研究所 半导体装置及其制造方法
US10680107B2 (en) 2018-09-24 2020-06-09 International Business Machines Corporation Nanosheet transistor with stable structure
US11188703B2 (en) * 2018-09-28 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system, and method of forming the same
CN111816563A (zh) * 2019-04-12 2020-10-23 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR20210039772A (ko) * 2019-10-02 2021-04-12 삼성전자주식회사 집적회로 소자 및 그 제조 방법
CN110707041A (zh) * 2019-10-14 2020-01-17 芯盟科技有限公司 半导体结构及其形成方法
US11515212B2 (en) 2019-10-30 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices having controlled S/D epitaxial shape
US20210134783A1 (en) * 2019-10-30 2021-05-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure
DE102021104484A1 (de) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Schaltungsstruktur mit gatekonfiguration
CN115527860B (zh) * 2022-11-04 2023-04-07 合肥晶合集成电路股份有限公司 半导体结构的制作方法以及半导体结构

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080242024A1 (en) * 2007-03-27 2008-10-02 Elpida Memory, Inc. Method of manufacturing semiconductor device
US20090242995A1 (en) * 2007-11-16 2009-10-01 Panasonic Corporation Semiconductor device and method for fabricating the same

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100278994B1 (ko) 1998-12-04 2001-02-01 김영환 반도체장치의 콘택 형성방법
FR2838554B1 (fr) 2002-04-15 2004-07-09 St Microelectronics Sa Dispositif semiconducteur de memoire, non volatile, programmable et effacable electriquement, a une seule couche de materiau de grille, et plan memoire correspondant
US7348248B2 (en) * 2005-07-12 2008-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS transistor with high drive current and low sheet resistance
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
JP2008124189A (ja) * 2006-11-10 2008-05-29 Elpida Memory Inc 半導体装置及びその製造方法
US7534689B2 (en) * 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication
US20080237634A1 (en) * 2007-03-30 2008-10-02 International Business Machines Corporation Crystallographic recess etch for embedded semiconductor region
JP2009015195A (ja) 2007-07-09 2009-01-22 Seiko Epson Corp 反射スクリーン
JP2009105195A (ja) * 2007-10-23 2009-05-14 Elpida Memory Inc 半導体装置の構造および製造方法
US20090170270A1 (en) * 2007-12-27 2009-07-02 Texas Instruments Incorporated Integration schemes to avoid faceted sige
US7786518B2 (en) 2007-12-27 2010-08-31 Texas Instruments Incorporated Growth of unfaceted SiGe in MOS transistor fabrication
US20090224328A1 (en) * 2008-03-04 2009-09-10 Shyh-Fann Ting Semiconductor device
US20100059823A1 (en) * 2008-09-10 2010-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive device for high-k metal gate technology and method of making
US8003467B2 (en) * 2008-11-03 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a semiconductor device having metal gate stacks
US8053299B2 (en) * 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8232627B2 (en) * 2009-09-21 2012-07-31 International Business Machines Corporation Integrated circuit device with series-connected field effect transistors and integrated voltage equalization and method of forming the device
US8653608B2 (en) * 2009-10-27 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design with reduced current crowding
DE102009055435B4 (de) * 2009-12-31 2017-11-09 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verstärkter Einschluss von Metallgateelektrodenstrukturen mit großem ε durch Verringern der Materialerosion einer dielektrischen Deckschicht beim Erzeugen einer verformungsinduzierenden Halbleiterlegierung
US8212295B2 (en) * 2010-06-30 2012-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. ROM cell circuit for FinFET devices
US8097925B2 (en) 2010-03-26 2012-01-17 Altera Corporation Integrated circuit guard rings
US20120292637A1 (en) 2011-05-17 2012-11-22 Globalfoundries Inc. Dual Cavity Etch for Embedded Stressor Regions
US8557666B2 (en) * 2011-09-13 2013-10-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits
KR101700213B1 (ko) * 2011-12-21 2017-01-26 인텔 코포레이션 금속 산화물 반도체 소자 구조용 핀의 형성 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080242024A1 (en) * 2007-03-27 2008-10-02 Elpida Memory, Inc. Method of manufacturing semiconductor device
US20090242995A1 (en) * 2007-11-16 2009-10-01 Panasonic Corporation Semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
US20130187237A1 (en) 2013-07-25
TW201332021A (zh) 2013-08-01
DE102012105738A1 (de) 2013-07-25
KR101374431B1 (ko) 2014-03-17
KR20130086104A (ko) 2013-07-31
CN103219340B (zh) 2016-04-20
US9917192B2 (en) 2018-03-13
DE102012105738B4 (de) 2018-11-15
US20160240675A1 (en) 2016-08-18
CN103219340A (zh) 2013-07-24
US9324866B2 (en) 2016-04-26

Similar Documents

Publication Publication Date Title
TWI480958B (zh) 半導體結構及其製造方法
TWI723288B (zh) 半導體裝置及其製造方法
US9673328B2 (en) Structure and method for providing line end extensions for fin-type active regions
TWI670761B (zh) 半導體結構及半導體裝置的形成方法
US8497171B1 (en) FinFET method and structure with embedded underlying anti-punch through layer
US11721761B2 (en) Structure and method for providing line end extensions for fin-type active regions
US9711416B2 (en) Fin field effect transistor including a strained epitaxial semiconductor shell
US9954104B2 (en) Multiwidth finFET with channel cladding
TWI598947B (zh) 製造半導體裝置的方法及半導體場效電晶體裝置
TWI729539B (zh) 半導體裝置及其形成方法
US11804547B2 (en) Gate structure and method with enhanced gate contact and threshold voltage
TWI739178B (zh) 半導體裝置及其形成方法
US10861969B2 (en) Method of forming FinFET structure with reduced Fin buckling
TW201732937A (zh) 半導體元件及其製作方法
US9024391B2 (en) Semiconductor structure having stressor
US12094874B2 (en) Semiconductor devices and methods of manufacturing thereof
TWI521709B (zh) 半導體結構及積體電路之製造方法