US9793406B2 - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
US9793406B2
US9793406B2 US14/925,680 US201514925680A US9793406B2 US 9793406 B2 US9793406 B2 US 9793406B2 US 201514925680 A US201514925680 A US 201514925680A US 9793406 B2 US9793406 B2 US 9793406B2
Authority
US
United States
Prior art keywords
gate
dielectric layer
layer
semiconductor device
disposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US14/925,680
Other versions
US20170125593A1 (en
Inventor
Che-Cheng Chang
Chih-Han Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US14/925,680 priority Critical patent/US9793406B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, CHE-CHENG, LIN, CHIH-HAN
Priority to CN201610688858.0A priority patent/CN106653845B/en
Priority to TW105134439A priority patent/TWI633603B/en
Publication of US20170125593A1 publication Critical patent/US20170125593A1/en
Publication of US9793406B2 publication Critical patent/US9793406B2/en
Priority to US15/786,427 priority patent/US10164113B2/en
Application granted granted Critical
Priority to US16/226,875 priority patent/US10510897B2/en
Priority to US16/682,327 priority patent/US11322618B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • a FinFET includes an extended semiconductor fin that is elevated above a substrate in a direction normal to the plane of the substrate.
  • the channel of the FET is formed in this vertical fin.
  • a gate is provided over (e.g., wrapping) the fin.
  • the FinFETs further can reduce the short channel effect.
  • FIGS. 1A to 11A are top views of a method for manufacturing a semiconductor device at various stages in accordance with some embodiments of the presented disclosure.
  • FIGS. 1B to 11B are cross-sectional views respectively taking along line B-B of FIGS. 1A to 11A .
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • Embodiments of the presented disclosure provide some improved methods for the formation of semiconductor devices and the resulting structures. These embodiments are discussed below in the context of forming finFET transistors having a single fin or multiple fins on a bulk silicon substrate. One of ordinary skill in the art will realize that embodiments of the presented disclosure may be used with other configurations.
  • FIGS. 1A to 11A are top views of a method for manufacturing a semiconductor device at various stages in accordance with some embodiments of the presented disclosure, and FIGS. 1B to 11B are cross-sectional views respectively taking along line B-B of FIGS. 1A to 11A .
  • a substrate 110 is provided.
  • the substrate 110 includes a plurality of semiconductor fins 112 .
  • the semiconductor fins 112 include silicon. It is note that the number of the semiconductor fins 112 in FIGS. 1A and 1B is illustrative, and should not limit the claimed scope of the presented disclosure. A person having ordinary skill in the art may select suitable numbers for the semiconductor fins 112 according to actual situations.
  • the substrate 110 may be a semiconductor material and may include known structures including a graded layer or a buried oxide, for example.
  • the substrate 110 includes bulk silicon that may be undoped or doped (e.g., p-type, n-type, or combinations thereof).
  • the doped substrate may be doped with p-type dopants, such as boron or BF 2 ; n-type dopants, such as phosphorus or arsenic; and/or combinations thereof.
  • the doped substrate may be configured for an n-type FinFET, or alternatively configured for a p-type FinFET. Other materials that are suitable for semiconductor device formation may be used.
  • the substrate 110 may be an active layer of a semiconductor-on-insulator (SOI) substrate or a multi-layered structure such as a silicon-germanium layer formed on a bulk silicon layer.
  • SOI semiconductor-on-insulator
  • the semiconductors fins 112 may be formed, for example, by patterning and etching the substrate 110 using photolithography techniques.
  • a layer of photoresist material (not shown) is deposited over the substrate 110 .
  • the layer of photoresist material is irradiated (exposed) in accordance with a desired pattern (the semiconductor fins 112 in this case) and developed to remove a portion of the photoresist material.
  • the remaining photoresist material protects the underlying material from subsequent processing steps, such as etching.
  • other masks such as an oxide or silicon nitride mask, may also be used in the etching process.
  • the semiconductor fins 112 may be epitaxially grown to be strained for performance enhancement.
  • exposed portions of an underlying material such as an exposed portion of the substrate 110 , may be used in an epitaxial process to form the semiconductor fins 112 .
  • a mask may be used to control the shape of the semiconductor fins 112 during the epitaxial growth process.
  • a plurality of isolation structures 114 are formed on the substrate 110 and adjacent to the semiconductor fins 112 .
  • the isolation structures 114 which act as shallow trench isolations (STIs) around the semiconductor fins 112 , may be formed by chemical vapor deposition (CVD) techniques using tetra-ethyl-ortho-silicate (TEOS) and oxygen as a precursor.
  • the STIs may be formed using processes such as reactive ion etch (RIE) of the substrate 110 to form trenches which are then filled with insulator material using deposition processes followed by chemical mechanical polishing (CMP) process.
  • RIE reactive ion etch
  • the isolation structures 114 may be formed by implanting ions, such as oxygen, nitrogen, carbon, or the like, into the substrate 110 .
  • the isolation structures 114 (so called as implant isolation regions) are formed by implanting an impurity to portions of the substrate 110 , which portions surround active regions of the semiconductor fins 112 that are to be isolated.
  • the isolation structures 114 are insulator layers of a SOI wafer.
  • CVD is a technique of thin solid film deposition on substrates from the vapor species through chemical reactions.
  • the chemical reaction is one of distinctive features that CVD possesses compared with other film deposition techniques such as PVD.
  • a tube-furnace CVD system for may include a gas delivery system, a reactor, and a gas removal system. During the CVD process, reactive gas species are fed into the reactor by the gas delivery system through valves. A gas-mixing unit may mix the various gases before they are let in the reactor.
  • the reactor is where the chemical reaction takes place and the solid materials are deposited on substrates as the purpose of the reaction.
  • the heaters are placed surrounding the reactor to provide high temperatures for the reaction. The by-products of the reaction and non-reacted gases are removed by the gas removal system.
  • oxide liners are optionally formed beneath the isolation structures 114 .
  • the oxide liners may be thermal oxide having a thickness ranging from about 20 Angstroms to about 500 Angstroms.
  • the oxide liners may be formed using in-situ steam generation (ISSG) and the like. The formation of the oxide liners rounds corners of trenches of the isolation structures 114 , which reduces the electrical fields, and hence may improve the performance of the resulting semiconductor device.
  • ISSG in-situ steam generation
  • a gate dielectric layer 120 is formed on the semiconductor fins 112 and the isolation structures 114 . Therefore, the gate dielectric layer 120 covers the semiconductor fins 112 .
  • the gate dielectric layer 120 which prevents electron depletion, may include, for example, a dielectric material such as metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitrides of metals, metal aluminates, zirconium silicate, zirconium aluminate, or combinations thereof.
  • Some embodiments may include hafnium oxide (HfO 2 ) hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), hafnium tantalum oxide (HMO), hafnium titanium oxide (HfTiO), hafnium zirconium oxide (HfZrO), lanthanum oxide (LaO), zirconium oxide (ZrO), titanium oxide (TiO), tantalum oxide (Ta 2 O 5 ), yttrium oxide (Y 2 O 3 ), strontium titanium oxide (SrTiO 3 , STO), barium titanium oxide (BaTiO 3 , BTO), barium zirconium oxide (BaZrO), hafnium lanthanum oxide (HfLaO), lanthanum silicon oxide (LaSiO), aluminum silicon oxide (AlSiO), aluminum oxide (Al 2 O 3 ), silicon nitride (Si 3 N 4 ), oxynitrides
  • the gate dielectric layer 120 may have a multilayer structure such as one layer of silicon oxide (e.g., interfacial layer) and another layer of dielectric material.
  • the gate dielectric layer 120 may have a thickness T ranging from about 10 to about 30 Angstroms (A).
  • the gate dielectric layer 120 may be formed using CVD, physical vapor deposition (PVD), atomic layer deposition (ALD), thermal oxide, ozone oxidation, other suitable processes, or combinations thereof.
  • the gate dielectric layer 120 may include interfacial layer (not shown) to reduce damage between the gate dielectric layer 120 and the semiconductor fins 112 (i.e., channel region of the semiconductor device).
  • the interfacial layer may include silicon oxide.
  • PVD is a deposition method which involves physical processes such as a plasma sputter bombardment rather than involving a chemical reaction at the surface.
  • a plasma sputter process atoms or molecules are ejected from a target material by high-energy particle bombardment so that the ejected atoms or molecules can condense on a substrate as a thin film.
  • ALD is a gas phase chemical process and it is a self-limiting atomic layer-by-layer growth method.
  • the surface-controlled growth mechanism of ALD provides good step coverage and dense films with few (or no) pinholes.
  • the precision achieved with ALD allows processing of thin films in a controlled way in the nanometer scale.
  • a high dielectric constant (high- ⁇ ) dielectric film 130 is formed above the substrate 110 and on the gate dielectric layer 120 .
  • the gate dielectric layer 120 is disposed between the high- ⁇ dielectric film 130 and the substrate 110 . Therefore, the high- ⁇ dielectric film 130 covers the semiconductor fins 112 .
  • the high- ⁇ dielectric film 130 has a dielectric constant ( ⁇ ) higher than the dielectric constant of SiO 2 , i.e. ⁇ >3.9.
  • the high- ⁇ dielectric film 130 may include LaO, AlO, ZrO, TiO, Ta 2 O 5 , Y 2 O 3 , SrTiO 3 (STO), BaTiO 3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba,Sr)TiO 3 (BST), Al 2 O 3 , Si 3 N 4 , oxynitrides (SiON), or other suitable materials.
  • the high- ⁇ dielectric film 130 is deposited by suitable techniques, such as ALD, CVD, PVD, thermal oxidation, combinations thereof, or other suitable techniques.
  • a dummy layer 140 is formed above the substrate 110 to cover the high- ⁇ dielectric film 130 , the gate dielectric layer 120 , and the semiconductor fins 112 of the substrate 110 .
  • the high- ⁇ dielectric film 130 is disposed between the dummy layer 140 and the gate dielectric layer 120 .
  • the dummy layer 140 includes a semiconductor material such as polysilicon, amorphous silicon, or the like.
  • the dummy layer 140 may be deposited doped or undoped.
  • the dummy layer 140 includes polysilicon deposited undoped by low-pressure chemical vapor deposition (LPCVD).
  • silane SiH 4
  • the polysilicon may also be deposited, for example, by furnace deposition of an in-situ doped polysilicon.
  • the dummy layer 140 may includes other suitable materials.
  • a mask layer 210 is formed on the dummy layer 140 by suitable process(es) to a suitable thickness.
  • the mask layer 210 covers a portion of the dummy layer 140 while leaves other portions of the dummy layer 140 uncovered.
  • the mask layer 210 in some embodiments, is a hard mask layer which includes silicon oxide.
  • the mask layer 210 may include silicon nitride (SiN), silicon oxynitride (SiON), silicon carbide (SiC), SiOC, spin-on glass (SOG), a low- ⁇ film, tetraethylorthosilicate (TEOS), plasma enhanced CVD oxide (PE-oxide), high-aspect-ratio-process (HARP) formed oxide, amorphous carbon material, tetraethylorthosilicate (TEOS), other suitable materials, and/or combinations thereof.
  • the silicon oxide layer may be formed using methods such as CVD, PVD, or ALD and may have a thickness ranging from about 100 Angstroms to about 500 Angstroms.
  • the mask layer 210 may be a photo-resist layer.
  • the photo-resist layer is deposited on the dummy layer 140 , for example, by spin coating, and is used to form an intended pattern by way of irradiating, developing, drying, etching, and other suitable processes.
  • a removing (or etch) process is performed to remove portions other than the intended pattern of the dummy layer 140 (see FIGS. 3A and 3B ) (i.e., the portions uncovered by the mask layer 210 ) to form a dummy structure 142 .
  • portions of the high- ⁇ dielectric film 130 are exposed (or uncovered) by the mask layer 210 and the dummy structure 142 .
  • such an etch process may be performed multiple times.
  • the patterning process is not limited to a photolithography process using photo-resists, and may be performed by an immersion lithography, electron beam lithography, or other suitable processes. As a result, the pattern of the dummy structure 142 as shown in FIGS. 4A and 4B is obtained.
  • FIGS. 5A and 5B Another removing (or etch) process is performed to remove portions other than the intended pattern of the high- ⁇ dielectric film 130 (see FIGS. 4A and 4B ) to form a high- ⁇ dielectric layer 132 . That is, the dummy structure 142 is as a mask to pattern the high- ⁇ dielectric film 130 . Hence, portions of the gate dielectric layer 120 are exposed (or uncovered) by the mask layer 210 , the dummy structure 142 , and the high- ⁇ dielectric layer 132 . In some embodiments, such an etch process may be performed multiple times. However, the patterning process is not limited to an immersion lithography, and may be performed by an electron beam lithography, or other suitable processes. As a result, the pattern of the high- ⁇ dielectric layer 132 as shown in FIGS. 5A and 5B is obtained.
  • a plurality of gate spacers 150 are formed on opposing sides of the dummy structure 142 and the high- ⁇ dielectric layer 132 . Therefore, the gate dielectric layer 120 is disposed between at least one of the gate spacers 150 and the substrate 100 , and the gate spacers 150 are disposed on the portions of the gate dielectric layer 120 uncovered by the high- ⁇ dielectric layer 132 . That is, the gate spacers 150 are (directly) disposed on the gate dielectric layer 120 . In some embodiments, at least one of the gate spacers 150 includes single or multiple layers. The gate spacers 150 can be formed by blanket depositing one or more dielectric layer(s) (not shown) on the previously formed structure.
  • the dielectric layer(s) may include silicon nitride (SiN), oxynitride, silicion carbon (SiC), silicon oxynitride (SiON), oxide, and the like and may be formed by methods utilized to form such a layer, such as CVD, plasma enhanced CVD, sputter, and other methods known in the art.
  • the gate spacers 150 may include different materials with different etch characteristics than the dummy layer 140 so that the gate spacers 150 may be used as masks for the patterning of the dummy structure 142 (described below with references to FIGS. 7A and 7B ). The gate spacers 150 may then be patterned, such as by one or more etches to remove the portions of the gate spacers 150 from the horizontal surfaces of the structure.
  • FIGS. 7A and 7B Another mask layer (not shown) is formed over the dummy structure 142 , the high- ⁇ dielectric layer 132 , and the semiconductor fins 112 , such that the mask layer defines insulation areas between gate stacks 105 (see FIGS. 11A and 11B ), i.e., to define the ends of the gate stacks 105 .
  • the mask layer is a photoresist mask formed by depositing, exposing, and developing a layer of photoresist material.
  • the mask layer may be a hard mask. The mask layer forms the insulation area between the gate stacks 105 in subsequent processing steps as discussed in greater detail below.
  • the dummy structure 142 and the high- ⁇ dielectric layer 132 are partially removed (or patterned) in the regions exposed by the mask layer by an etching back process or other suitable process.
  • the dummy structure 142 and the high- ⁇ dielectric layer 132 may be selectively etched thereby forming through holes 143 between the gate spacers 150 (see FIG. 7A ) and adjacent to the dummy structure 142 and the high- ⁇ dielectric layer 132 (see FIG. 7B ).
  • the dummy structure 142 and the high- ⁇ dielectric layer 132 covers at least one of the semiconductor fins 112 . For example, in FIGS.
  • the dummy structure 142 and the high- ⁇ dielectric layer 132 covers two of the semiconductor fins 112 , and the claimed scope is not limited in this respect.
  • the portion of the dummy structure 142 and the high- ⁇ dielectric layer 132 exposed by the mask layer may be removed by a wet etch process that includes exposure to hydroxide containing solution (e.g., ammonium hydroxide), deionized water, and/or other suitable etchant solutions.
  • hydroxide containing solution e.g., ammonium hydroxide
  • FIGS. 8A and 8B The mask layer is removed by an ashing, stripping, or other suitable technique. Then, a plurality of insulating structures 160 are respectively disposed in the through holes 143 (see FIGS. 7A and 7B ).
  • the gate dielectric layer 120 is disposed between at least one of the insulating structures 160 and the substrate 110 , and the insulating structures 160 are disposed on some other portions of the gate dielectric layer 120 uncovered by the high- ⁇ dielectric layer 132 . That is, the insulating structures 160 are (directly) disposed on the gate dielectric layer 120 .
  • the insulating structure 160 may be a plug.
  • the dummy structure 142 and the high- ⁇ dielectric layer 132 are surrounded by the gate spacers 150 and the insulating structures 160 .
  • an inter-layer dielectric (ILD) (not shown) is formed on the dummy structure 142 and in the through holes 143 .
  • CMP chemical mechanical planarization
  • the ILD is formed of an oxide such as phospho-silicate glass (PSG), boro-silicate glass (BSG), boron-doped phospho-silicate glass (BPSG), TEOS, or the like.
  • FIGS. 9A and 9B The dummy structure 142 (see FIGS. 8A and 8B ) is removed by an etching back process or other suitable process to form an opening 146 between the gate spacers 150 and the insulating structures 160 .
  • the opening 146 exposes the high- ⁇ dielectric layer 132 .
  • At least one of the gate spacers 150 has a sidewall 152 facing the opening 146
  • at least one of the insulating structures 160 has a sidewall 162 facing the opening 146 .
  • the opening 146 also expose the sidewalls 152 of the gate spacers 150 and the sidewalls 162 of the insulating structures 160
  • the opening 146 has the sidewalls 152 and 162 .
  • the opening 146 has a bottom surface 133 (i.e., the top surface of the high- ⁇ dielectric layer 132 .
  • the dummy structure 142 may be removed by a wet etch process that includes exposure to hydroxide containing solution (e.g., ammonium hydroxide), deionized water, and/or other suitable etchant solutions.
  • hydroxide containing solution e.g., ammonium hydroxide
  • a metal layer 170 is conformally formed in the openings 146 and on the high- ⁇ dielectric layer 132 . In other words, the metal layer 170 covers the high- ⁇ dielectric layer 132 . Therefore, the metal layer 170 attaches to the sidewalls 152 of the gate spacers 150 and the sidewalls 162 of the insulating structures 160 .
  • the metal layer 170 may be a work-function (WF) metal layer.
  • the WF metal layer can include impurities.
  • the impurity used in providing an N-type work-function shift is an element from the Lanthanide group.
  • Examples of WF metal layers that may be deposited include P-type metal materials and N-type metal materials.
  • P-type WF metal layer may include, but not limited to, Re, Fe, Ru, Co, Rh, Ir, Ni, Pd, and Pt. Pd can be used as an impurity in a P-type WF layer.
  • the metal layer 170 may be formed by depositing WF metal materials in the opening 146 .
  • the metal layer 170 may include a single layer or multi layers, such as a WF layer, a liner layer, a wetting layer, and an adhesion layer.
  • the metal layer 170 may include Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, WN, or any suitable materials.
  • the metal layer 170 may be formed by ALD, PVD, CVD, or other suitable process.
  • a gate electrode 180 is formed on the metal layer 170 and fills the opening 146 .
  • the gate electrode 180 may include aluminum (Al), copper (Cu), AlCu, tungsten (W), titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), cobalt (Co), copper (Cu), nickel (Ni), combinations thereof, and/or other suitable conductive materials.
  • the gate electrode 180 is deposited by ALD, PVD, CVD, plating, or other suitable process. With the gate electrode 180 , the metal layer 170 , and the high- ⁇ dielectric layer 132 , a gate stack 105 is formed.
  • a CMP process is applied to remove excessive the gate electrode 180 to provide a substantially planar top surface for the gate stack 105 , the insulating structures 160 , and the gate spacers 150 .
  • the gate stack 105 and at least one of the semiconductor fins 112 can form a fin field effect transistor (finFET).
  • the process from FIGS. 9A to 11B is referred as a replacement gate loop process.
  • the process from FIGS. 9A to 11B is referred as a replacement polysilicon gate (RPG) loop process. That is, the dummy polysilicon layer is removed and then at least one metal layer (or metal gate layer) is filled into the opening 146 for a transistor of the semiconductor device.
  • RPG replacement polysilicon gate
  • the gate stack is disposed between two adjacent insulating structures, such that the gate stack can be isolated from other gate stacks. Since the formation of the high- ⁇ dielectric layer is performed before the formation of the insulating structures and the gate spacers, the high- ⁇ dielectric layer leaves the sidewalls of the insulating structures and the gate spacers uncovered. Hence, the aperture of the opening defined by the insulating structures and the gate spacers is enlarged. Therefore, the deposition window of the metal layer and the gate electrode is enlarged, reducing the probability of formation of void in the opening. With this configuration, the voltage performance of the gate stack can be improved.
  • a semiconductor device includes a substrate, at least two gate spacers, and a gate stack.
  • the substrate has at least one semiconductor fin.
  • the gate spacers are disposed on the substrate. At least one of the gate spacers has a sidewall facing another of the gate spacers.
  • the gate stack is disposed between the gate spacers.
  • the gate stack includes a high- ⁇ dielectric layer and a gate electrode.
  • the high- ⁇ dielectric layer is disposed on the substrate and covers at least a portion of the semiconductor fin while leaving the sidewall of said at least one gate spacer uncovered.
  • the gate electrode is disposed on the high- ⁇ dielectric layer.
  • a semiconductor device includes a substrate, at least two gate spacers, at least two insulating structures, and a gate stack.
  • the substrate has at least one semiconductor fin.
  • the gate spacers are disposed on the substrate.
  • the insulating structures are disposed between the two gate spacers.
  • the insulating structures and the gate spacers together define an opening therebetween.
  • the opening has a bottom surface and at least one sidewall.
  • the gate stack is disposed in the opening, and the gate stack includes a high- ⁇ dielectric layer and a gate electrode.
  • the high- ⁇ dielectric layer covers the bottom surface of the opening while leaves the sidewall of the opening uncovered.
  • the gate electrode is disposed on the high- ⁇ dielectric layer.
  • a method for manufacturing a semiconductor device includes forming a high- ⁇ dielectric film on a substrate.
  • the substrate has at least one semiconductor fin.
  • a dummy layer is formed on the high-k dielectric film.
  • the dummy layer and the high- ⁇ dielectric film are patterned to form a dummy structure and a high- ⁇ dielectric layer.
  • At least two gate spacers are formed on opposite sides of the dummy structure and the high- ⁇ dielectric layer.
  • the dummy structure is removed to form an opening.
  • a gate electrode is formed in the opening.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

A semiconductor device includes a substrate, at least two gate spacers, and a gate stack. The substrate has at least one semiconductor fin. The gate spacers are disposed on the substrate. At least one of the gate spacers has a sidewall facing to another of the gate spacers. The gate stack is disposed between the gate spacers. The gate stack includes a high-κ dielectric layer and a gate electrode. The high-κ dielectric layer is disposed on the substrate and covers at least a portion of the semiconductor fin while leaving the sidewall of said at least one gate spacer uncovered. The gate electrode is disposed on the high-κ dielectric layer.

Description

BACKGROUND
As the semiconductor industry has progressed into nanometer technology process nodes in pursuit of higher device density, higher performance, and lower costs, challenges from both fabrication and design issues have resulted in the development of three dimensional designs, such as a fin-like field effect transistor (FinFET). A FinFET includes an extended semiconductor fin that is elevated above a substrate in a direction normal to the plane of the substrate. The channel of the FET is formed in this vertical fin. A gate is provided over (e.g., wrapping) the fin. The FinFETs further can reduce the short channel effect.
BRIEF DESCRIPTION OF THE DRAWINGS
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
FIGS. 1A to 11A are top views of a method for manufacturing a semiconductor device at various stages in accordance with some embodiments of the presented disclosure.
FIGS. 1B to 11B are cross-sectional views respectively taking along line B-B of FIGS. 1A to 11A.
DETAILED DESCRIPTION
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
Embodiments of the presented disclosure provide some improved methods for the formation of semiconductor devices and the resulting structures. These embodiments are discussed below in the context of forming finFET transistors having a single fin or multiple fins on a bulk silicon substrate. One of ordinary skill in the art will realize that embodiments of the presented disclosure may be used with other configurations.
FIGS. 1A to 11A are top views of a method for manufacturing a semiconductor device at various stages in accordance with some embodiments of the presented disclosure, and FIGS. 1B to 11B are cross-sectional views respectively taking along line B-B of FIGS. 1A to 11A. Reference is made to FIGS. 1A and 1B. A substrate 110 is provided. The substrate 110 includes a plurality of semiconductor fins 112. In some embodiments, the semiconductor fins 112 include silicon. It is note that the number of the semiconductor fins 112 in FIGS. 1A and 1B is illustrative, and should not limit the claimed scope of the presented disclosure. A person having ordinary skill in the art may select suitable numbers for the semiconductor fins 112 according to actual situations.
In some embodiments, the substrate 110 may be a semiconductor material and may include known structures including a graded layer or a buried oxide, for example. In some embodiments, the substrate 110 includes bulk silicon that may be undoped or doped (e.g., p-type, n-type, or combinations thereof). For example, the doped substrate may be doped with p-type dopants, such as boron or BF2; n-type dopants, such as phosphorus or arsenic; and/or combinations thereof. The doped substrate may be configured for an n-type FinFET, or alternatively configured for a p-type FinFET. Other materials that are suitable for semiconductor device formation may be used. Other materials, such as diamond or germanium; a suitable compound semiconductor, such as gallium arsenide, silicon carbide, indium arsenide, or indium phosphide; or a suitable alloy semiconductor, such as silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide, or other semiconductor materials, such as quartz, sapphire, and glass could alternatively be used for the substrate 110. Alternatively, the substrate 110 may be an active layer of a semiconductor-on-insulator (SOI) substrate or a multi-layered structure such as a silicon-germanium layer formed on a bulk silicon layer.
The semiconductors fins 112 may be formed, for example, by patterning and etching the substrate 110 using photolithography techniques. In some embodiments, a layer of photoresist material (not shown) is deposited over the substrate 110. The layer of photoresist material is irradiated (exposed) in accordance with a desired pattern (the semiconductor fins 112 in this case) and developed to remove a portion of the photoresist material. The remaining photoresist material protects the underlying material from subsequent processing steps, such as etching. It should be noted that other masks, such as an oxide or silicon nitride mask, may also be used in the etching process.
In some other embodiments, the semiconductor fins 112 may be epitaxially grown to be strained for performance enhancement. For example, exposed portions of an underlying material, such as an exposed portion of the substrate 110, may be used in an epitaxial process to form the semiconductor fins 112. A mask may be used to control the shape of the semiconductor fins 112 during the epitaxial growth process.
In FIG. 1B, a plurality of isolation structures 114 are formed on the substrate 110 and adjacent to the semiconductor fins 112. The isolation structures 114, which act as shallow trench isolations (STIs) around the semiconductor fins 112, may be formed by chemical vapor deposition (CVD) techniques using tetra-ethyl-ortho-silicate (TEOS) and oxygen as a precursor. In some other embodiments, the STIs may be formed using processes such as reactive ion etch (RIE) of the substrate 110 to form trenches which are then filled with insulator material using deposition processes followed by chemical mechanical polishing (CMP) process. In some other embodiments, the isolation structures 114 may be formed by implanting ions, such as oxygen, nitrogen, carbon, or the like, into the substrate 110. The isolation structures 114 (so called as implant isolation regions) are formed by implanting an impurity to portions of the substrate 110, which portions surround active regions of the semiconductor fins 112 that are to be isolated. In yet some other embodiments, the isolation structures 114 are insulator layers of a SOI wafer.
CVD is a technique of thin solid film deposition on substrates from the vapor species through chemical reactions. The chemical reaction is one of distinctive features that CVD possesses compared with other film deposition techniques such as PVD. A tube-furnace CVD system for may include a gas delivery system, a reactor, and a gas removal system. During the CVD process, reactive gas species are fed into the reactor by the gas delivery system through valves. A gas-mixing unit may mix the various gases before they are let in the reactor. The reactor is where the chemical reaction takes place and the solid materials are deposited on substrates as the purpose of the reaction. The heaters are placed surrounding the reactor to provide high temperatures for the reaction. The by-products of the reaction and non-reacted gases are removed by the gas removal system.
In some embodiments, oxide liners (not shown) are optionally formed beneath the isolation structures 114. In some embodiments, the oxide liners may be thermal oxide having a thickness ranging from about 20 Angstroms to about 500 Angstroms. In some embodiments, the oxide liners may be formed using in-situ steam generation (ISSG) and the like. The formation of the oxide liners rounds corners of trenches of the isolation structures 114, which reduces the electrical fields, and hence may improve the performance of the resulting semiconductor device.
In FIG. 1B, a gate dielectric layer 120 is formed on the semiconductor fins 112 and the isolation structures 114. Therefore, the gate dielectric layer 120 covers the semiconductor fins 112. The gate dielectric layer 120, which prevents electron depletion, may include, for example, a dielectric material such as metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitrides of metals, metal aluminates, zirconium silicate, zirconium aluminate, or combinations thereof. Some embodiments may include hafnium oxide (HfO2) hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), hafnium tantalum oxide (HMO), hafnium titanium oxide (HfTiO), hafnium zirconium oxide (HfZrO), lanthanum oxide (LaO), zirconium oxide (ZrO), titanium oxide (TiO), tantalum oxide (Ta2O5), yttrium oxide (Y2O3), strontium titanium oxide (SrTiO3, STO), barium titanium oxide (BaTiO3, BTO), barium zirconium oxide (BaZrO), hafnium lanthanum oxide (HfLaO), lanthanum silicon oxide (LaSiO), aluminum silicon oxide (AlSiO), aluminum oxide (Al2O3), silicon nitride (Si3N4), oxynitrides (SiON), and combinations thereof. The gate dielectric layer 120 may have a multilayer structure such as one layer of silicon oxide (e.g., interfacial layer) and another layer of dielectric material. The gate dielectric layer 120 may have a thickness T ranging from about 10 to about 30 Angstroms (A). The gate dielectric layer 120 may be formed using CVD, physical vapor deposition (PVD), atomic layer deposition (ALD), thermal oxide, ozone oxidation, other suitable processes, or combinations thereof. In some embodiments, the gate dielectric layer 120 may include interfacial layer (not shown) to reduce damage between the gate dielectric layer 120 and the semiconductor fins 112 (i.e., channel region of the semiconductor device). The interfacial layer may include silicon oxide.
PVD is a deposition method which involves physical processes such as a plasma sputter bombardment rather than involving a chemical reaction at the surface. In the plasma sputter process, atoms or molecules are ejected from a target material by high-energy particle bombardment so that the ejected atoms or molecules can condense on a substrate as a thin film. ALD is a gas phase chemical process and it is a self-limiting atomic layer-by-layer growth method. The surface-controlled growth mechanism of ALD provides good step coverage and dense films with few (or no) pinholes. The precision achieved with ALD allows processing of thin films in a controlled way in the nanometer scale.
A high dielectric constant (high-κ) dielectric film 130 is formed above the substrate 110 and on the gate dielectric layer 120. In other words, the gate dielectric layer 120 is disposed between the high-κ dielectric film 130 and the substrate 110. Therefore, the high-κ dielectric film 130 covers the semiconductor fins 112. The high-κ dielectric film 130 has a dielectric constant (κ) higher than the dielectric constant of SiO2, i.e. κ>3.9. The high-κ dielectric film 130 may include LaO, AlO, ZrO, TiO, Ta2O5, Y2O3, SrTiO3 (STO), BaTiO3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba,Sr)TiO3 (BST), Al2O3, Si3N4, oxynitrides (SiON), or other suitable materials. The high-κ dielectric film 130 is deposited by suitable techniques, such as ALD, CVD, PVD, thermal oxidation, combinations thereof, or other suitable techniques.
Reference is made to FIGS. 2A and 2B. A dummy layer 140 is formed above the substrate 110 to cover the high-κ dielectric film 130, the gate dielectric layer 120, and the semiconductor fins 112 of the substrate 110. In other words, the high-κ dielectric film 130 is disposed between the dummy layer 140 and the gate dielectric layer 120. In some embodiments, the dummy layer 140 includes a semiconductor material such as polysilicon, amorphous silicon, or the like. The dummy layer 140 may be deposited doped or undoped. For example, in some embodiments, the dummy layer 140 includes polysilicon deposited undoped by low-pressure chemical vapor deposition (LPCVD). For example, silane (SiH4) may be used as a chemical gas in the CVD process to form the dummy layer 140. The polysilicon may also be deposited, for example, by furnace deposition of an in-situ doped polysilicon. Alternatively, the dummy layer 140 may includes other suitable materials.
Reference is made to FIGS. 3A and 3B. A mask layer 210 is formed on the dummy layer 140 by suitable process(es) to a suitable thickness. The mask layer 210 covers a portion of the dummy layer 140 while leaves other portions of the dummy layer 140 uncovered. The mask layer 210, in some embodiments, is a hard mask layer which includes silicon oxide. The mask layer 210, in some other embodiments, may include silicon nitride (SiN), silicon oxynitride (SiON), silicon carbide (SiC), SiOC, spin-on glass (SOG), a low-κ film, tetraethylorthosilicate (TEOS), plasma enhanced CVD oxide (PE-oxide), high-aspect-ratio-process (HARP) formed oxide, amorphous carbon material, tetraethylorthosilicate (TEOS), other suitable materials, and/or combinations thereof. The silicon oxide layer may be formed using methods such as CVD, PVD, or ALD and may have a thickness ranging from about 100 Angstroms to about 500 Angstroms. In some other embodiments, the mask layer 210 may be a photo-resist layer. The photo-resist layer is deposited on the dummy layer 140, for example, by spin coating, and is used to form an intended pattern by way of irradiating, developing, drying, etching, and other suitable processes.
Reference is made to FIGS. 4A and 4B. A removing (or etch) process is performed to remove portions other than the intended pattern of the dummy layer 140 (see FIGS. 3A and 3B) (i.e., the portions uncovered by the mask layer 210) to form a dummy structure 142. Hence, portions of the high-κ dielectric film 130 are exposed (or uncovered) by the mask layer 210 and the dummy structure 142. In some embodiments, such an etch process may be performed multiple times. However, the patterning process is not limited to a photolithography process using photo-resists, and may be performed by an immersion lithography, electron beam lithography, or other suitable processes. As a result, the pattern of the dummy structure 142 as shown in FIGS. 4A and 4B is obtained.
Reference is made to FIGS. 5A and 5B. Another removing (or etch) process is performed to remove portions other than the intended pattern of the high-κ dielectric film 130 (see FIGS. 4A and 4B) to form a high-κ dielectric layer 132. That is, the dummy structure 142 is as a mask to pattern the high-κ dielectric film 130. Hence, portions of the gate dielectric layer 120 are exposed (or uncovered) by the mask layer 210, the dummy structure 142, and the high-κ dielectric layer 132. In some embodiments, such an etch process may be performed multiple times. However, the patterning process is not limited to an immersion lithography, and may be performed by an electron beam lithography, or other suitable processes. As a result, the pattern of the high-κ dielectric layer 132 as shown in FIGS. 5A and 5B is obtained.
Reference is made to FIGS. 6A and 6B. A plurality of gate spacers 150 are formed on opposing sides of the dummy structure 142 and the high-κ dielectric layer 132. Therefore, the gate dielectric layer 120 is disposed between at least one of the gate spacers 150 and the substrate 100, and the gate spacers 150 are disposed on the portions of the gate dielectric layer 120 uncovered by the high-κ dielectric layer 132. That is, the gate spacers 150 are (directly) disposed on the gate dielectric layer 120. In some embodiments, at least one of the gate spacers 150 includes single or multiple layers. The gate spacers 150 can be formed by blanket depositing one or more dielectric layer(s) (not shown) on the previously formed structure. The dielectric layer(s) may include silicon nitride (SiN), oxynitride, silicion carbon (SiC), silicon oxynitride (SiON), oxide, and the like and may be formed by methods utilized to form such a layer, such as CVD, plasma enhanced CVD, sputter, and other methods known in the art. The gate spacers 150 may include different materials with different etch characteristics than the dummy layer 140 so that the gate spacers 150 may be used as masks for the patterning of the dummy structure 142 (described below with references to FIGS. 7A and 7B). The gate spacers 150 may then be patterned, such as by one or more etches to remove the portions of the gate spacers 150 from the horizontal surfaces of the structure.
Reference is made to FIGS. 7A and 7B. Another mask layer (not shown) is formed over the dummy structure 142, the high-κ dielectric layer 132, and the semiconductor fins 112, such that the mask layer defines insulation areas between gate stacks 105 (see FIGS. 11A and 11B), i.e., to define the ends of the gate stacks 105. In some embodiments, the mask layer is a photoresist mask formed by depositing, exposing, and developing a layer of photoresist material. In some other embodiments, the mask layer may be a hard mask. The mask layer forms the insulation area between the gate stacks 105 in subsequent processing steps as discussed in greater detail below.
Subsequently, the dummy structure 142 and the high-κ dielectric layer 132 are partially removed (or patterned) in the regions exposed by the mask layer by an etching back process or other suitable process. For example, the dummy structure 142 and the high-κ dielectric layer 132 may be selectively etched thereby forming through holes 143 between the gate spacers 150 (see FIG. 7A) and adjacent to the dummy structure 142 and the high-κ dielectric layer 132 (see FIG. 7B). The dummy structure 142 and the high-κ dielectric layer 132 covers at least one of the semiconductor fins 112. For example, in FIGS. 7A and 7B, the dummy structure 142 and the high-κ dielectric layer 132 covers two of the semiconductor fins 112, and the claimed scope is not limited in this respect. The portion of the dummy structure 142 and the high-κ dielectric layer 132 exposed by the mask layer may be removed by a wet etch process that includes exposure to hydroxide containing solution (e.g., ammonium hydroxide), deionized water, and/or other suitable etchant solutions.
Reference is made to FIGS. 8A and 8B. The mask layer is removed by an ashing, stripping, or other suitable technique. Then, a plurality of insulating structures 160 are respectively disposed in the through holes 143 (see FIGS. 7A and 7B). The gate dielectric layer 120 is disposed between at least one of the insulating structures 160 and the substrate 110, and the insulating structures 160 are disposed on some other portions of the gate dielectric layer 120 uncovered by the high-κ dielectric layer 132. That is, the insulating structures 160 are (directly) disposed on the gate dielectric layer 120. The insulating structure 160 may be a plug. The dummy structure 142 and the high-κ dielectric layer 132 are surrounded by the gate spacers 150 and the insulating structures 160. In some embodiments, an inter-layer dielectric (ILD) (not shown) is formed on the dummy structure 142 and in the through holes 143. A chemical mechanical planarization (CMP) process may then be performed to etch back and planarize the ILD to form the insulating structures 160. In some embodiments, the ILD is formed of an oxide such as phospho-silicate glass (PSG), boro-silicate glass (BSG), boron-doped phospho-silicate glass (BPSG), TEOS, or the like.
Reference is made to FIGS. 9A and 9B. The dummy structure 142 (see FIGS. 8A and 8B) is removed by an etching back process or other suitable process to form an opening 146 between the gate spacers 150 and the insulating structures 160. The opening 146 exposes the high-κ dielectric layer 132. At least one of the gate spacers 150 has a sidewall 152 facing the opening 146, and at least one of the insulating structures 160 has a sidewall 162 facing the opening 146. In other words, the opening 146 also expose the sidewalls 152 of the gate spacers 150 and the sidewalls 162 of the insulating structures 160, and the opening 146 has the sidewalls 152 and 162. Furthermore, the opening 146 has a bottom surface 133 (i.e., the top surface of the high-κ dielectric layer 132. The dummy structure 142 may be removed by a wet etch process that includes exposure to hydroxide containing solution (e.g., ammonium hydroxide), deionized water, and/or other suitable etchant solutions.
Reference is made to FIGS. 10A and 10B. A metal layer 170 is conformally formed in the openings 146 and on the high-κ dielectric layer 132. In other words, the metal layer 170 covers the high-κ dielectric layer 132. Therefore, the metal layer 170 attaches to the sidewalls 152 of the gate spacers 150 and the sidewalls 162 of the insulating structures 160. The metal layer 170 may be a work-function (WF) metal layer. In some embodiments, the WF metal layer can include impurities. For example, the impurity used in providing an N-type work-function shift is an element from the Lanthanide group. Examples of WF metal layers that may be deposited include P-type metal materials and N-type metal materials. Examples of P-type WF metal layer may include, but not limited to, Re, Fe, Ru, Co, Rh, Ir, Ni, Pd, and Pt. Pd can be used as an impurity in a P-type WF layer. The metal layer 170 may be formed by depositing WF metal materials in the opening 146. The metal layer 170 may include a single layer or multi layers, such as a WF layer, a liner layer, a wetting layer, and an adhesion layer. The metal layer 170 may include Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, WN, or any suitable materials. The metal layer 170 may be formed by ALD, PVD, CVD, or other suitable process.
Reference is made to FIGS. 11A and 11B. A gate electrode 180 is formed on the metal layer 170 and fills the opening 146. The gate electrode 180 may include aluminum (Al), copper (Cu), AlCu, tungsten (W), titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), cobalt (Co), copper (Cu), nickel (Ni), combinations thereof, and/or other suitable conductive materials. The gate electrode 180 is deposited by ALD, PVD, CVD, plating, or other suitable process. With the gate electrode 180, the metal layer 170, and the high-κ dielectric layer 132, a gate stack 105 is formed. In some embodiments, a CMP process is applied to remove excessive the gate electrode 180 to provide a substantially planar top surface for the gate stack 105, the insulating structures 160, and the gate spacers 150. Hence, the gate stack 105 and at least one of the semiconductor fins 112 can form a fin field effect transistor (finFET). The process from FIGS. 9A to 11B is referred as a replacement gate loop process. Furthermore, if the dummy structure 142 of FIGS. 8A and 8B is made of polysilicon, the process from FIGS. 9A to 11B is referred as a replacement polysilicon gate (RPG) loop process. That is, the dummy polysilicon layer is removed and then at least one metal layer (or metal gate layer) is filled into the opening 146 for a transistor of the semiconductor device.
According to the aforementioned embodiments, the gate stack is disposed between two adjacent insulating structures, such that the gate stack can be isolated from other gate stacks. Since the formation of the high-κ dielectric layer is performed before the formation of the insulating structures and the gate spacers, the high-κ dielectric layer leaves the sidewalls of the insulating structures and the gate spacers uncovered. Hence, the aperture of the opening defined by the insulating structures and the gate spacers is enlarged. Therefore, the deposition window of the metal layer and the gate electrode is enlarged, reducing the probability of formation of void in the opening. With this configuration, the voltage performance of the gate stack can be improved.
According to some embodiments, a semiconductor device includes a substrate, at least two gate spacers, and a gate stack. The substrate has at least one semiconductor fin. The gate spacers are disposed on the substrate. At least one of the gate spacers has a sidewall facing another of the gate spacers. The gate stack is disposed between the gate spacers. The gate stack includes a high-κ dielectric layer and a gate electrode. The high-κ dielectric layer is disposed on the substrate and covers at least a portion of the semiconductor fin while leaving the sidewall of said at least one gate spacer uncovered. The gate electrode is disposed on the high-κ dielectric layer.
According to some embodiments, a semiconductor device includes a substrate, at least two gate spacers, at least two insulating structures, and a gate stack. The substrate has at least one semiconductor fin. The gate spacers are disposed on the substrate. The insulating structures are disposed between the two gate spacers. The insulating structures and the gate spacers together define an opening therebetween. The opening has a bottom surface and at least one sidewall. The gate stack is disposed in the opening, and the gate stack includes a high-κ dielectric layer and a gate electrode. The high-κ dielectric layer covers the bottom surface of the opening while leaves the sidewall of the opening uncovered. The gate electrode is disposed on the high-κ dielectric layer.
According to some embodiments, a method for manufacturing a semiconductor device includes forming a high-κ dielectric film on a substrate. The substrate has at least one semiconductor fin. A dummy layer is formed on the high-k dielectric film. The dummy layer and the high-κ dielectric film are patterned to form a dummy structure and a high-κ dielectric layer. At least two gate spacers are formed on opposite sides of the dummy structure and the high-κ dielectric layer. The dummy structure is removed to form an opening. A gate electrode is formed in the opening.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A semiconductor device, comprising:
a substrate having at least one semiconductor fin;
at least two gate spacers disposed on the substrate, wherein at least one of the gate spacers has a sidewall facing another of the gate spacers; and
a gate stack disposed between the gate spacers, the gate stack comprising:
a high-κ dielectric layer disposed on the substrate and covering at least a portion of the semiconductor fin while leaving the sidewall of said at least one the gate spacers uncovered;
a gate dielectric layer separate from the high-κ dielectric layer, the gate dielectric layer extending under the gate spacers;
wherein the gate stack is rectangular in shape from a top down view, and wherein the at least two gate spacers are formed only on a first pair of two opposing sides of the gate stack and wherein an insulating structure, separate from the at least two gate spacers, is formed on a second pair of two opposing sides of the gate stack; and
a gate electrode disposed on the high-κ dielectric layer.
2. The semiconductor device of claim 1, wherein the gate stack further comprises:
a metal layer disposed between the high-κ dielectric layer and the gate electrode.
3. The semiconductor device of claim 2, wherein the metal layer covers the sidewall of said at least one gate spacer.
4. The semiconductor device of claim 1, further comprising:
an insulating structure disposed between the gate spacers and adjacent to the gate stack.
5. The semiconductor device of claim 4, wherein the insulating structure is disposed on a portion of the gate dielectric layer uncovered by the high-κ dielectric layer.
6. The semiconductor device of claim 4, wherein at least one of the insulating structures has a sidewall facing the gate stack, and the high-κ dielectric layer of the gate stack leaves the sidewall of said at least one of the insulating structures uncovered.
7. The semiconductor device of claim 1, wherein at least one of the gate spacers is disposed on a portion of the gate dielectric layer uncovered by the high-κ dielectric layer.
8. The semiconductor device of claim 1, wherein the gate dielectric layer is an oxide and is between the gate electrode and the high-κ dielectric layer.
9. A semiconductor device, comprising:
a substrate having at least one semiconductor fin;
at least two gate spacers disposed on the substrate;
at least two insulating structures disposed between the two gate spacers, wherein the insulating structures and the gate spacers together define an opening therebetween and wherein the insulating structures and the gate spacers have respective bottommost surfaces that are substantially level with one another, the opening has a bottom surface and at least one sidewall; and
a gate stack disposed in the opening, comprising:
a dielectric layer covering the bottom surface of the opening while leaving the sidewall of the opening uncovered; and
a gate electrode disposed on the dielectric layer.
10. The semiconductor device of claim 9, wherein the gate stack further comprises:
a metal layer disposed on the dielectric layer, conformally covers the opening, and surrounding the gate electrode.
11. The semiconductor device of claim 10, wherein the metal layer attaches to the sidewall of the opening.
12. The semiconductor device of claim 9, further comprising:
an interfacial layer between the dielectric layer and the semiconductor fin.
13. The semiconductor device of claim 9, wherein the a portion of the dielectric layer is further disposed between at least one of the insulating structures and the substrate.
14. The semiconductor device of claim 9, wherein a first portion of the dielectric layer terminates at the sidewall of the opening and a second portion of the dielectric layer continues past the sidewall and extends between the gate spacers and the semiconductor fin.
15. A method for manufacturing a semiconductor device, comprising:
forming a high-κ dielectric film on a substrate, wherein the substrate has at least one semiconductor fin;
forming a dummy layer on the high-κ dielectric film;
patterning the dummy layer and the high-κ dielectric film to form a dummy structure and a high-κ dielectric layer;
forming at least two gate spacers on opposite sides of the dummy structure and the high-κ dielectric layer;
patterning the dummy structure and the high-κ dielectric layer to form a dummy gate stack having a first end with a first space adjacent thereto and a second end with a second space adjacent thereto, the dummy gate stack including a dummy structure portion overlying a high-κ portion;
filling the first space and the second space with an insulating structure;
removing the dummy structure to form an opening; and
forming a gate electrode in the opening.
16. The method of claim 15, further comprising:
forming a metal layer between the gate electrode and the high-κ dielectric layer and in the opening.
17. The method of claim 15, wherein the high-κ dielectric film is pattered by using the dummy structure as a mask.
18. The method of claim 15, further comprising:
forming an insulating structure in the dummy structure.
19. The method of claim 15, further comprising:
forming a gate dielectric layer between the high-κ dielectric film and the substrate.
20. The method of claim 15, further comprising:
forming at least one isolation structure between the high-κ dielectric film and the substrate and adjacent to the semiconductor fin.
US14/925,680 2015-10-28 2015-10-28 Semiconductor device and manufacturing method thereof Active US9793406B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US14/925,680 US9793406B2 (en) 2015-10-28 2015-10-28 Semiconductor device and manufacturing method thereof
CN201610688858.0A CN106653845B (en) 2015-10-28 2016-08-19 Semiconductor device and method for manufacturing the same
TW105134439A TWI633603B (en) 2015-10-28 2016-10-25 Semiconductor device and manufacturing method thereof
US15/786,427 US10164113B2 (en) 2015-10-28 2017-10-17 Semiconductor device and manufacturing method thereof
US16/226,875 US10510897B2 (en) 2015-10-28 2018-12-20 Semiconductor device and manufacturing method thereof
US16/682,327 US11322618B2 (en) 2015-10-28 2019-11-13 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/925,680 US9793406B2 (en) 2015-10-28 2015-10-28 Semiconductor device and manufacturing method thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/786,427 Continuation US10164113B2 (en) 2015-10-28 2017-10-17 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
US20170125593A1 US20170125593A1 (en) 2017-05-04
US9793406B2 true US9793406B2 (en) 2017-10-17

Family

ID=58637436

Family Applications (4)

Application Number Title Priority Date Filing Date
US14/925,680 Active US9793406B2 (en) 2015-10-28 2015-10-28 Semiconductor device and manufacturing method thereof
US15/786,427 Active US10164113B2 (en) 2015-10-28 2017-10-17 Semiconductor device and manufacturing method thereof
US16/226,875 Active US10510897B2 (en) 2015-10-28 2018-12-20 Semiconductor device and manufacturing method thereof
US16/682,327 Active 2035-11-21 US11322618B2 (en) 2015-10-28 2019-11-13 Semiconductor device and manufacturing method thereof

Family Applications After (3)

Application Number Title Priority Date Filing Date
US15/786,427 Active US10164113B2 (en) 2015-10-28 2017-10-17 Semiconductor device and manufacturing method thereof
US16/226,875 Active US10510897B2 (en) 2015-10-28 2018-12-20 Semiconductor device and manufacturing method thereof
US16/682,327 Active 2035-11-21 US11322618B2 (en) 2015-10-28 2019-11-13 Semiconductor device and manufacturing method thereof

Country Status (3)

Country Link
US (4) US9793406B2 (en)
CN (1) CN106653845B (en)
TW (1) TWI633603B (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793406B2 (en) * 2015-10-28 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
TWI612674B (en) * 2016-03-24 2018-01-21 台灣積體電路製造股份有限公司 Finfet and the methods of fabricating the same
WO2018063270A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Quantum dot devices with single electron transistor detectors
US10903109B2 (en) * 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
US10629492B2 (en) * 2018-04-27 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure having a dielectric gate and methods thereof
US11289583B2 (en) * 2018-09-28 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gate structure formation
CN112997318A (en) * 2018-10-31 2021-06-18 华为技术有限公司 Preparation method of negative-capacitance fin type field effect transistor and negative-capacitance fin type field effect transistor

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110147858A1 (en) * 2009-12-21 2011-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a field effect transistor
US20140110767A1 (en) * 2012-10-24 2014-04-24 International Business Machines Corporation Bulk finfet well contacts with fin pattern uniformity
US20140327055A1 (en) * 2013-05-03 2014-11-06 United Microelectronics Corp. Replacement gate process and device manufactured using the same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324866B2 (en) * 2012-01-23 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for transistor with line end extension
KR102212267B1 (en) 2014-03-19 2021-02-04 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9379185B2 (en) * 2014-04-24 2016-06-28 International Business Machines Corporation Method of forming channel region dopant control in fin field effect transistor
US9112032B1 (en) * 2014-06-16 2015-08-18 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices
US9793406B2 (en) * 2015-10-28 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110147858A1 (en) * 2009-12-21 2011-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a field effect transistor
US20140110767A1 (en) * 2012-10-24 2014-04-24 International Business Machines Corporation Bulk finfet well contacts with fin pattern uniformity
US20140327055A1 (en) * 2013-05-03 2014-11-06 United Microelectronics Corp. Replacement gate process and device manufactured using the same

Also Published As

Publication number Publication date
TW201727744A (en) 2017-08-01
US20170125593A1 (en) 2017-05-04
US11322618B2 (en) 2022-05-03
US10510897B2 (en) 2019-12-17
US20190123202A1 (en) 2019-04-25
CN106653845A (en) 2017-05-10
US10164113B2 (en) 2018-12-25
US20200083378A1 (en) 2020-03-12
US20180053855A1 (en) 2018-02-22
CN106653845B (en) 2020-01-14
TWI633603B (en) 2018-08-21

Similar Documents

Publication Publication Date Title
US11322618B2 (en) Semiconductor device and manufacturing method thereof
US10629491B2 (en) Semiconductor manufacturing method
US10868189B2 (en) Semiconductor device and manufacturing method thereof
US11817503B2 (en) Semiconductor device
US11296236B2 (en) Semiconductor device and manufacturing method thereof
TWI728530B (en) Semiconductor device and method of forming the same
US11264270B2 (en) Air-replaced spacer for self-aligned contact scheme
US11211472B2 (en) Semiconductor device and method of forming the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIW

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHANG, CHE-CHENG;LIN, CHIH-HAN;REEL/FRAME:036925/0346

Effective date: 20151022

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4