TWI739178B - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TWI739178B
TWI739178B TW108137994A TW108137994A TWI739178B TW I739178 B TWI739178 B TW I739178B TW 108137994 A TW108137994 A TW 108137994A TW 108137994 A TW108137994 A TW 108137994A TW I739178 B TWI739178 B TW I739178B
Authority
TW
Taiwan
Prior art keywords
layer
work function
recess
function layer
forming
Prior art date
Application number
TW108137994A
Other languages
English (en)
Other versions
TW202030838A (zh
Inventor
吳少均
潘昇良
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202030838A publication Critical patent/TW202030838A/zh
Application granted granted Critical
Publication of TWI739178B publication Critical patent/TWI739178B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

半導體裝置的形成方法包含在鰭上方形成第一虛設閘極結構和第二虛設閘極結構;形成第一介電層圍繞第一虛設閘極結構和第二虛設閘極結構;移除第一虛設閘極結構和第二虛設閘極結構,以在第一介電層中分別形成第一凹口和第二凹口;在第一凹口和第二凹口中形成閘極介電層;在第一凹口和第二凹口中的閘極介電層上方形成第一功函數層;移除第一凹口中的第一功函數層;將第二凹口中的第一功函數層的表面層轉變為氧化物;以及在第一凹口中的閘極介電層上方和第二凹口中的氧化物上方形成第二功函數層。

Description

半導體裝置及其形成方法
本發明實施例係有關於半導體技術,且特別是有關於半導體裝置及其形成方法。
半導體工業由於各種電子組件(例如電晶體、二極體、電阻、電容等)的集成密度的持續改善的緣故已經歷了快速成長。在大部分情況下,集成密度的改善來自於最小部件尺寸不斷縮小,使得更多的組件能夠集成於既定區域中。
鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)裝置正逐漸用於積體電路中。鰭式場效電晶體裝置具有包括從基底突出的半導體鰭的三維結構。被配置為控制鰭式場效電晶體裝置的導電通道中的電荷載子的流動的閘極結構環繞半導體鰭。舉例來說,在三閘極鰭式場效電晶體裝置中,閘極結構環繞半導體鰭的三面,進而在半導體鰭的三面上形成導電通道。
在一些實施例中,提供半導體裝置的形成方法,此方法包含在鰭上方形成第一虛設閘極結構和第二虛設閘極結構;形成第一介電層圍繞第一虛設閘極結構和第二虛設閘極結構;移除第一虛設閘極結構和第二虛設閘極結構,以在第一介電層中分別形成第一凹口和第二凹口;在第一凹口和第二凹口中形成閘極介電層;在第一凹口和第二凹口中的閘極介電層上方形成第一功函數層;移除第一凹口中的第一功函數層;將第二凹口中的第一功函數層的表面層轉變為氧化物;以及在第一凹口中的閘極介電層上方和第二凹口中的氧化物上方形成第二功函數層。
在一些其他實施例中,提供半導體裝置的形成方法,此方法包含在鰭上方形成第一虛設閘極和第二虛設閘極;形成層間介電層圍繞第一虛設閘極和第二虛設閘極;分別以第一金屬閘極和第二金屬閘極取代第一虛設閘極和第二虛設閘極,其中取代步驟包含:移除第一虛設閘極和第二虛設閘極,以在層間介電層中分別形成第一凹口和第二凹口;在第一凹口和第二凹口中形成閘極介電層;在第二凹口中的閘極介電層上方形成第一功函數層,其中第一凹口中的閘極介電層暴露出第一功函數層;使用相同的沉積製程在第一凹口和第二凹口中形成第二功函數層,其中第二凹口中的第二功函數層比第一凹口中的第二功函數層更厚;以及以導電材料填充第一凹口和第二凹口。
在另外一些實施例中,提供半導體裝置,半導體裝置包含第一金屬閘極結構,位於鰭上方,其中第一金屬閘極結構包含在鰭上方的閘極介電層、閘極介電層上方並接觸閘極介電層的第一功函數層、第一功函數層上方的氧化物、氧化物上方的第二功函數層以及第二功函數層上方的填充金屬;第二金屬閘極結構,位於鰭上方並與第一金屬閘極結構相鄰,其中第二金屬閘極結構包含鰭上方的閘極介電層、閘極介電層上方並接觸閘極介電層的第二功函數層以及第二功函數層上方的填充金屬,其中第一金屬閘極結構的第二功函數層比第二金屬閘極結構的第二功函數層更厚;以及源極/汲極區,位於鰭上方且在第一金屬閘極結構與第二金屬閘極結構之間。
要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,以下的揭露內容敘述了將一第一部件形成於一第二部件之上或上方,即表示其包含了所形成的上述第一部件與上述第二部件是直接接觸的實施例,亦包含了尚可將附加的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與上述第二部件可能未直接接觸的實施例。
再者,為了方便描述圖式中一元件或部件與另一(複數)元件或(複數)部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語也涵蓋裝置在使用或操作中的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。在討論中,除非特別註明,否則不同圖式中的相同參考符號代表使用相同或相似的材料透過相同或相似的製程形成的相同或相似的元件。
在形成半導體裝置的背景中,且特別為在製造裝置期間形成鰭式場效電晶體的功函數層的背景中來討論本發明實施例。本發明實施例也可用於其他類型的裝置,例如平面裝置。
在一實施例中,在鰭上方形成第一虛設閘極結構和第二虛設閘極結構,且形成介電層(例如層間介電層)圍繞第一虛設閘極結構和第二虛設閘極結構。接著,移除第一虛設閘極結構和第二虛設閘極結構,以在介電層中分別形成第一凹口和第二凹口。順應性形成第一功函數層(例如p型功函數層)作為第一凹口和第二凹口的側壁和底部的襯墊。接著,形成圖案化遮罩層(例如聚合物層(例如底部抗反射塗佈(bottom anti-reflective coating,BARC)層))以覆蓋第二凹口中的第一功函數層並暴露出第一凹口中的第一功函數層。接著,透過蝕刻製程移除暴露的第一凹口中的第一功函數層。接著,第二凹口中的圖案化遮罩層透過電漿製程移除。在顯示的實施例中,圖案化遮罩層的組成包括氧,其中氧透過電漿製程轉變為活性氧物種。接著,氧物種與第二凹口中的第一功函數層的表面層反應並將表面層轉變為氧化物(例如氧化矽)。接著,在第一凹口和第二凹口中形成第二功函數層(例如n型功函數層)。在顯示的實施例中,形成於第二凹口中的第二功函數層比形成於第一凹口中的第二功函數層更厚,因為第二凹口中的氧化物有助於第二功函數層的沉積。接著,形成填充金屬以填充第一凹口和第二凹口,以分別形成第一金屬閘極和第二金屬閘極。在一些實施例中,透過改變電漿製程的持續時間或改變電漿製程中使用的氮的流量來改變氧化層的厚度,其最終導致改變了氧化層上方的第二功函數層的厚度。因此,第一金屬閘極和第二金屬閘極具有不同厚度的第二功函數層,且第一金屬閘極和第二金屬閘極有著不同的結構,導致第一金屬閘極和第二金屬閘極有著不同的臨界電壓。
第1圖顯示鰭式場效電晶體30的範例的透視圖。鰭式場效電晶體30包含基底50和突出於基底50之上的鰭64(有時被稱為半導體鰭)。隔離區62(有時也被稱為淺溝槽隔離區)形成於鰭64的兩側,有著鰭64突出於隔離區62之上。閘極介電質66係沿鰭64的側壁和頂表面,且閘極68在閘極介電質66上方。源極/汲極區80(有時被稱為磊晶源極/汲極區)在鰭64中且在閘極介電質66和閘極68的兩側。第1圖更顯示用於之後圖式的參考剖面。剖面B-B沿鰭式場效電晶體30的閘極68的縱軸延伸。剖面A-A垂直於剖面B-B且沿鰭64的縱軸,且在例如源極/汲極區80之間的電流方向。剖面C-C平行於剖面B-B且橫跨源極/汲極區80。剖面D-D平行於剖面A-A且在鰭64之外。為了清楚起見,後續圖式參考這些參考剖面。
第2-5、6A-6C、7-13、14A、14B、15、16A、16B、17A、17B、18A和18B圖顯示依據一些實施例之鰭式場效電晶體裝置100的各個階段的各種視圖(例如剖面示意圖、平面圖)。在本說明書中,有著相同數字但是不同字母的圖式(例如14A、14B)係指在相同製程階段中的鰭式場效電晶體裝置100的不同視圖。鰭式場效電晶體裝置100相似於第1圖中的鰭式場效電晶體30,但是鰭式場效電晶體裝置100有著多個鰭和多個閘極結構。第2-5圖顯示沿剖面B-B的鰭式場效電晶體裝置100的剖面示意圖。第6A、7-13、14A圖顯示沿剖面A-A的鰭式場效電晶體裝置100的剖面示意圖。第6B和6C圖顯示沿剖面C-C的鰭式場效電晶體裝置100的剖面示意圖的各種實施例。第14B圖顯示沿剖面D-D的鰭式場效電晶體裝置100的剖面示意圖。第15圖為鰭式場效電晶體裝置100的平面圖。第16A和17A圖顯示沿剖面D-D的鰭式場效電晶體裝置100的剖面示意圖,而第16B和17B圖顯示沿剖面B-B的鰭式場效電晶體裝置100的剖面示意圖。第18A和18B圖分別顯示沿剖面A-A和剖面B-B的鰭式場效電晶體裝置100的剖面示意圖。
第2圖顯示基底50的剖面示意圖。基底50可為半導體基底,例如塊狀(bulk)半導體、絕緣層上覆半導體(semiconductor-on-insulator,SOI)基底或類似物,基底50可為摻雜(例如摻雜p型或n型摻雜物)或未摻雜。基底50可為晶圓,例如矽晶圓。一般來說,絕緣層上覆半導體基底包含形成於絕緣層上的半導體材料層。絕緣層可為例如埋置氧化(buried oxide,BOX)層、氧化矽層或類似物。絕緣層提供於基底上,一般為矽基底或玻璃基底。也可使用其他基底,例如多層或漸變(gradient)基底。在一些實施例中,基底50的半導體材料可包含矽、鍺、化合物半導體(包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP)或前述之組合。
如第2圖所示,基底50包含區域200和區域300,區域200和區域300可用於形成不同類型(例如n型或p型)的半導體裝置。舉例來說,區域200可用以形成n型電晶體,且可被稱為n型裝置區(例如n型金屬氧化物半導體(n-type metal oxide semiconductor,NMOS)區),而區域300可用以形成p型電晶體,且可被稱為p型裝置區(例如p型金屬氧化物半導體(p-type metal oxide semiconductor,PMOS)區)。
請參照第3圖,使用例如光微影和蝕刻技術將第2圖所示的基底50圖案化。舉例來說,遮罩層(例如墊氧化層52和上方的墊氮化層56)形成於基底50上方。墊氧化層52可為例如使用熱氧化製程形成的包括氧化矽的薄膜。墊氧化層52可作為基底50與上方的墊氮化層56之間的黏著層,且可作為用於蝕刻墊氮化層56的蝕刻停止層。在一些實施例中,墊氮化層56由氮化矽、氮氧化矽、氮碳化矽、類似物或前述之組合形成,且可例如透過使用低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)或電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)形成。
遮罩層可透過使用光微影技術圖案化。一般來說,光微影技術使用沉積光阻(未顯示)、照射(曝光)光阻並將光阻顯影以移除光阻材料的一部分。剩下的光阻材料保護下方材料(例如在此範例中的遮罩層)免受後續製程步驟(例如蝕刻)損壞。在此範例中,使用光阻材料來保護墊氧化層52和墊氮化層56,以形成圖案化遮罩58,如第3圖所示。
圖案化遮罩58後續用於將基底50的暴露部分圖案化以形成溝槽61,進而定義第3圖所示之相鄰溝槽61之間的鰭64。在一些實施例中,鰭64透過使用例如反應性離子蝕刻(reactive ion etch,RIE)、中子束蝕刻(neutral beam etch,NBE)、類似方法或前述之組合在基底50中蝕刻溝槽來形成。蝕刻製程可為非等向性。在一些實施例中,溝槽61(從上視圖來看)可為彼此平行的條狀,且彼此緊密間隔。在一些實施例中,溝槽61可為連續的並圍繞鰭64。在形成鰭64之後,圖案化遮罩58可透過蝕刻或任何合適的方法移除。
鰭64可透過合適的方法圖案化。舉例來說,鰭64可透過使用一個或多個光微影製程(包含雙重圖案化或多重圖案化製程)來圖案化。一般來說,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,以創造具有較小間距的圖案,舉例來說,此圖案具有比使用單一直接光微影製程可獲得的間距更小的圖案。舉例來說,在一實施例中,犧牲層形成於基底上方並透過使用光微影製程圖案化。間隔物透過使用自對準製程形成於圖案化犧牲層旁邊。接著,移除犧牲層,且可接著使用剩下的間隔物或心軸(mandrel)將鰭圖案化。
第4圖顯示絕緣材料形成於相鄰鰭64之間,以形成隔離區62。絕緣材料可為氧化物(例如氧化矽)、氮化物、類似物或前述之組合,且可透過高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、可流動化學氣相沉積(flowable CVD,FCVD)(例如在遠端電漿系統中的基於化學氣相沉積的材料沉積,並後固化使其轉變為另一材料,例如氧化物)、類似方法或前述之組合形成。可使用其他絕緣材料及/或其他形成製程。在顯示的實施例中,絕緣材料為透過可流動化學氣相沉積製程形成的氧化矽。在形成絕緣材料之後,可進行退火製程。平坦化製程(例如化學機械研磨(chemical mechanical polish,CMP))可移除任何多餘的絕緣材料(以及如果還在的圖案化遮罩58),且形成共平面(未顯示)的隔離區62的頂表面和鰭64的頂表面。
在一些實施例中,隔離區62包含襯墊例如襯墊氧化物(未顯示)在隔離區62與基底50/鰭64之間的界面。在一些實施例中,形成襯墊氧化物以降低基底50與隔離區62之間的界面處的結晶缺陷。相似地,襯墊氧化物也可用於降低鰭64與隔離區62之間的界面處的結晶缺陷。襯墊氧化物(例如氧化矽)可為透過將基底50的表面層熱氧化而形成的熱氧化物,但是也可使用其他合適的方法來形成襯墊氧化物。
接著,將隔離區62凹陷以形成淺溝槽隔離(STI)區。將隔離區62凹陷使得鰭64的上部從相鄰的隔離區62之間突出。隔離區62的頂表面可具有平坦表面(如圖所示)、凸面、凹面(例如凹陷)或前述之組合。隔離區62的頂表面可透過合適的蝕刻形成平坦、凸形及/或凹形。隔離區62可透過使用合適的蝕刻製程凹陷,例如對隔離區62的材料有選擇性的蝕刻製程。舉例來說,可進行使用稀釋氫氟酸(dilute hydrofluoric,dHF)的濕蝕刻製程。
第2-4圖顯示形成鰭64的實施例,但是鰭可在各種不同製程中形成。在一範例中,介電層可形成於基底的頂表面上方;可蝕刻出通過介電層的溝槽;同質磊晶結構可磊晶成長於溝槽中;以及可將介電層凹陷,使得同質磊晶結構突出介電層以形成鰭。在另一範例中,異質磊晶結構可用於鰭。舉例來說,可將半導體鰭凹陷,且與半導體鰭不同的材料可磊晶成長於凹陷的位置。
在另一範例中,介電層可形成於基底的頂表面上方;可蝕刻出通過介電層的溝槽;異質磊晶結構可透過使用不同於基底的材料磊晶成長於溝槽中;以及可將介電層凹陷,使得異質磊晶結構突出介電層以形成鰭。
在磊晶成長同質磊晶結構或異質磊晶結構的一些實施例中,可在成長期間原位摻雜成長材料,其可免除之前或後續的佈植,但是可一起使用原位摻雜和佈植摻雜。再者,在N型金屬氧化物半導體區中磊晶成長的材料不同於在P型金屬氧化物半導體區中的材料可為有利的。在各種實施例中,鰭64可包括矽鍺(Six Ge1-x ,其中x可在0與1之間)、碳化矽、純鍺或大致純鍺、第III-V族化合物半導體、第II-VI族化合物半導體或類似物。舉例來說,可用於形成第III-V族化合物半導體的材料包含InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP和類似物,但不限於此。
第5圖顯示虛設閘極結構75形成於鰭64上方。在一些實施例中,虛設閘極結構75包含閘極介電質66和閘極68。虛設閘極結構75可透過將遮罩層、閘極層和閘極介電層圖案化來形成,其中遮罩層、閘極層和閘極介電層分別包括與遮罩70、閘極68和閘極介電質66相同的材料。為了形成虛設閘極結構75,閘極介電層形成於鰭64和隔離區62上。閘極介電層可例如為氧化矽、氮化矽、前述之多層或類似物,且可透過合適的技術沉積或熱成長。
閘極層形成於閘極介電層上方,且遮罩層形成於閘極層上方。閘極層可沉積於閘極介電層上方,且接著例如透過化學機械研磨來平坦化。遮罩層可沉積於閘極層上方。閘極層可例如由多晶矽形成,但是也可使用其他材料。遮罩層可例如由氮化矽或類似物形成。
在形成閘極介電層、閘極層和遮罩層之後,遮罩層可透過使用合適的光微影和蝕刻技術圖案化,以形成遮罩70。接著,遮罩70的圖案可透過合適的蝕刻技術轉移至閘極層和閘極介電層,以分別形成閘極68和閘極介電質66。閘極68和閘極介電質66覆蓋鰭64的個別通道區。閘極68也可具有長度方向大致垂直於個別鰭64的長度方向。
雖然第5圖的剖面示意圖中顯示一個虛設閘極結構75,但是多於一個虛設閘極結構75可形成於鰭64上方。舉例來說,第6A圖顯示兩個虛設閘極結構75(例如虛設閘極結構75A和75B)。本文顯示的虛設閘極結構的數量僅為顯示目的且非限制性,也可能有其他數量的虛設閘極結構,這些變化完全地被包含在本發明實施例的範圍中。
第6A圖顯示沿剖面A-A(沿鰭的縱軸)的鰭式場效電晶體裝置100的進一步加工的剖面示意圖。如第6A圖所示,輕摻雜汲極(lightly doped drain,LDD)區65形成於鰭64中。輕摻雜汲極區65可透過佈植製程形成。佈植製程可將n型或p型雜質植入鰭64中,以形成輕摻雜汲極區65。在一些實施例中,輕摻雜汲極區65鄰接鰭式場效電晶體裝置100的通道區。輕摻雜汲極區65的一部分可延伸至閘極68下方,並延伸至鰭式場效電晶體裝置100的通道區中。第6A圖顯示輕摻雜汲極區65的非限制性範例。輕摻雜汲極區65的其他配置、形狀和形成方法也為可能的且完全地被包含在本發明實施例的範圍中。舉例來說,輕摻雜汲極區65可在形成閘極間隙壁87之後形成。
請參照第6A圖,在形成輕摻雜汲極區65之後,閘極間隙壁87形成於閘極結構上。在第6A圖的範例中,閘極間隙壁87形成於閘極68的兩側側壁上以及閘極介電質66的兩側側壁上。閘極間隙壁87可由氮化物(例如氮化矽)、氮氧化矽、碳化矽、氮碳化矽、類似物或前述之組合形成,且可透過使用例如熱氧化、化學氣相沉積或其他合適的沉積製程形成。閘極間隙壁87也可延伸至鰭64的上表面以及隔離區62的上表面上方。
第6A圖所示的閘極間隙壁87的形狀和形成方法僅為非限制性的範例,且可能有其他形狀和形成方法。舉例來說,閘極間隙壁87可包含第一閘極間隙壁(未顯示)和第二閘極間隙壁(未顯示)。第一閘極間隙壁可形成於虛設閘極結構75的兩側側壁上。第二閘極間隙壁可形成於第一閘極間隙壁上,第一閘極間隙壁設置於個別的閘極結構與個別的第二閘極間隙壁之間。第一閘極間隙壁在剖面示意圖中可具有L形。作為另一範例,閘極間隙壁87可在源極/汲極區80形成之後形成。在一些實施例中,在源極/汲極區80的磊晶製程之前,虛設閘極間隙壁形成於第一閘極間隙壁(未顯示)上,且在形成源極/汲極區80之後,移除虛設閘極間隙壁並以第二閘極間隙壁取代。所有這些實施例完全地被包含在本發明實施例的範圍中。
接著,如第6A圖所示,形成源極/汲極區80。源極/汲極區80透過蝕刻鰭64以形成凹口,並在凹口中磊晶成長材料來形成,且透過使用合適方法例如金屬有機化學氣相沉積(metal-organic CVD,MOCVD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性磊晶成長(selective epitaxial growth,SEG)、類似方法或前述之組合形成。
如第6A圖所示,源極/汲極區80可具有從鰭64的個別表面突起的表面(例如突出於鰭64的未凹陷部分之上),且可具有刻面(facet)。如第6B圖所示,相鄰鰭64(例如第6B圖中的區域200中的鰭64或區域300中的鰭64)的源極/汲極區80可合併以形成連續的源極/汲極區80。在一些實施例中,如第6C圖所示,相鄰鰭64的源極/汲極區80不合併在一起且保持為隔開的源極/汲極區80。在一些實施例中,在n型裝置區(例如區域200)中的源極/汲極區80包括碳化矽(SiC)、矽磷(SiP)、磷摻雜矽碳(SiCP)或類似物。在一些實施例中,在p型裝置區(例如區域300)中的源極/汲極區80包括SiGe,且p型雜質例如硼或銦。
可將源極/汲極區80佈植摻雜物以形成源極/汲極區,接著進行退火製程。佈植製程可包含形成並圖案化遮罩(例如光阻)以覆蓋將受保護免受佈植製程影響的鰭式場效電晶體的區域。舉例來說,可形成圖案化遮罩層以暴露區域200並遮蔽區域300免受區域200的佈植製程影響,且可形成另一圖案化遮罩層以暴露區域300並遮蔽區域200免受區域300的佈植製程影響。源極/汲極區80可具有雜質(例如摻雜物)濃度在約1019 cm-3 至約1021 cm-3 之間的範圍中。在一些實施例中,磊晶源極/汲極區可在成長期間原位摻雜。
接著,如第6A圖所示,第一層間介電質(interlayer dielectric,ILD)90形成於虛設閘極結構75、閘極間隙壁87和源極/汲極區80上方。在一些實施例中,第一層間介電質90由介電材料形成,例如氧化矽(SiO)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼摻雜磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)、未摻雜矽酸鹽玻璃(undoped silicate glass,USG)或類似物,且可透過任何合適的方法沉積,例如化學氣相沉積、電漿輔助化學氣相沉積或可流動化學氣相沉積。可進行平坦化製程(例如化學機械研磨製程)來移除遮罩70以將第一層間介電質90的頂表面平坦化,使得在化學機械研磨製程之後,第一層間介電質90的頂表面與閘極68的頂表面齊平(未顯示)。因此,在一些實施例中,在化學機械研磨製程之後,閘極68的頂表面暴露出來。
接著,如第7-13、14A和14B圖所示,進行閘極後製製程(有時被稱為取代閘極製程)來以取代閘極取代虛設閘極結構75。在閘極後製製程中,閘極68和閘極介電質66(請參照第5圖)可分別被視為虛設閘極和虛設閘極介電質,且移除虛設閘極和虛設閘極介電質並以主動閘極和主動閘極介電質取代,且可被統稱為取代閘極。取代閘極也可被稱為金屬閘極或金屬閘極結構。
在第7-13、14A和14B圖中,在第一取代閘極製程中以金屬閘極結構(例如金屬閘極結構97A_1、97B_1)取代虛設閘極結構75在區域200中的部分,而以第一遮罩層(例如圖案化光阻,未顯示)遮蔽區域300免受第一取代閘極製程影響。換句話說,虛設閘極結構75在區域300中的部分不透過第一取代閘極製程移除。在第一取代閘極製程之後,移除第一遮罩層,且進行第二取代閘極製程(其相同或相似於第一取代閘極製程)以金屬閘極結構(例如金屬閘極結構97A_2、97B_2)取代虛設閘極結構75在區域300中的部分,而以第二遮罩層(例如圖案化光阻,未顯示)遮蔽區域200免受第二取代閘極製程影響。接著,在第二取代閘極製程之後,可移除第二遮罩層。
第7-13、14A和14B圖顯示上述的第一取代閘極製程的加工,以金屬閘極結構取代虛設閘極結構75在區域200中的部分。本發明所屬技術領域中具通常知識者透過閱讀本發明實施例將理解上述的第二取代閘極製程的加工,因此不重複細節。因此,僅參考第7-13、14A和14B圖討論第一取代閘極製程。
第7-13、14A和14B圖顯示沿區域200(例如n型裝置區)中的鰭64B(請參照第15圖)的剖面A-A的鰭式場效電晶體裝置100的剖面示意圖。因此,在以下參考第7-13、14A和14B圖的討論中,虛設閘極結構75(例如虛設閘極結構75A、75B)和金屬閘極結構(例如金屬閘極結構97A、97B)代表個別結構(例如虛設閘極結構75和金屬閘極結構97)在區域200中的部分。
請參照第7圖,移除虛設閘極結構75(請參照第6A圖)以在第一層間介電質90中形成凹口(例如凹口91A和91B)。依據一些實施例,在一蝕刻步驟中移除閘極68和閘極68正下方的閘極介電質66,因此形成凹口。每個凹口暴露出個別鰭64的通道區。每個通道區可設置於相鄰的一對源極/汲極區80之間。在虛設閘極移除期間,閘極介電質66可用作當蝕刻閘極68時的蝕刻停止層。在移除閘極68之後,可接著移除閘極介電質66。
接著,在第8圖中,閘極介電層82(例如順應性地)形成於凹口中以及第一層間介電質上方。如第8圖所示,閘極介電層82作為凹口的側壁和底部的襯墊,並延伸至閘極間隙壁87的上表面和第一層間介電質90的上表面。依據一些實施例,閘極介電層82包括氧化矽、氮化矽或前述之多層。在顯示的實施例中,閘極介電層82包含高介電常數介電材料,且可具有介電常數值大於約7.0,且可包含Hf、Al、Zr、La、Mg、Ba、Ti、Pb的金屬氧化物或矽酸鹽和前述之組合。閘極介電層82的形成方法可包含分子束沉積(molecular beam deposition,MBD)、原子層沉積(atomic layer deposition,ALD)、電漿輔助化學氣相沉積和類似方法。
接著,在第9圖中,第一功函數層84’(順應性地)形成於閘極介電層82上方。在顯示的實施例中,第一功函數層84’為p型功函數層且包括p型功函數金屬,例如氮化鈦矽(例如TiSiN),且透過合適的方法形成,例如原子層沉積。雖然使用TiSiN作為範例,但是也可使用其他合適的p型功函數金屬作為第一功函數層84’的材料,例如TiN、TaN、Ru、Mo、Al、WN、ZrSi2 、MoSi2 、TaSi­2 、NiSi2 、WN或前述之組合。
接著,在第10圖中,圖案化遮罩層83形成於第一功函數層84’上方。圖案化遮罩層83填充凹口91B(第9圖)且延伸至(例如覆蓋)靠近凹口91B的第一功函數層84’的上表面上方。如第10圖所示,圖案化遮罩層83不填充91A,且靠近凹口91A的第一功函數層84’的上表面暴露出來。
在一實施例中,為了形成圖案化遮罩層83,底部抗反射塗佈(BARC)層和光阻依序地毯覆式沉積於第9圖所示的鰭式場效電晶體裝置100上方。接著,透過將光阻暴露於圖案化能量源並以顯影劑將光阻顯影來將光阻圖案化。在將光阻顯影之後,光阻的剩下部分具有依照將形成的圖案化遮罩層83的形狀。接著,圖案化光阻的圖案透過使用例如非等向性蝕刻製程轉移至底部抗反射塗佈層,且在非等向性蝕刻製程之後,底部抗反射塗佈層的剩下部分形成圖案化遮罩層83。底部抗反射塗佈層可由合適的介電材料形成,例如聚合物。在一範例實施例中,底部抗反射塗佈層包含氧,且因此圖案化遮罩層83也包含氧。在一些實施例中,用以將底部抗反射塗佈層圖案化的非等向性蝕刻製程為乾蝕刻製程,此乾蝕刻製程使用包括氮(例如N2 )和氫(例如H2 )的蝕刻氣體。
接著,在第11圖中,移除第一功函數層84’的暴露部分(例如未被圖案化遮罩層83覆蓋的部分),且將第一功函數層84’的剩下部分標註為第一功函數層84。在一些實施例中,使用對第一功函數層84’的材料有選擇性的蝕刻劑來進行濕蝕刻製程以移除第一功函數層84’的暴露部分。在顯示的實施例中,第一功函數層84’包括氮化鈦矽,且用於濕蝕刻製程的蝕刻劑包括氨(例如NH3 )。如第11圖所示,濕蝕刻製程移除第一功函數層84’的一部分,並暴露出下方的閘極介電層82。
接著,在第12圖中,圖案化遮罩層83(例如包括氧的聚合物層)透過電漿製程移除。在一實施例中,電漿製程透過使用氮(例如N2 )、氫(例如H2 )和氦(例如He)的氣體混合物進行。注意到在顯示的實施例中,氣體混合物不包含氧。在一些實施例中,當將氣體混合物活化為電漿,氫的H自由基與圖案化遮罩層83反應以移除圖案化遮罩層83。氣體混合物中的氦可協助產生H自由基,且氣體混合物中的氮可改善電漿製程的蝕刻速率。
在一些實施例中,電漿製程透過使用氮、氫和氦的氣體混合物進行,且在溫度約240ºC與約260ºC之間以及壓力約0.8Torr與約1.1Torr之間進行。在一些實施例中,氦的流量在約800標準立方厘米/每分鐘(standard cubic centimeters per minute,sccm)與約6000sccm之間,氫的流量在約3000sccm與約5000sccm之間,氮的流量在約0sccm與約6000sccm之間。
依據一些實施例中,圖案化遮罩層83為包括氧的聚合物層,在電漿製程期間將氧活化為活性氧物種。活性氧物種與第一功函數層84反應,並將第一功函數層84的表面層(例如遠離基底50的第一功函數層84的上部)轉變為氧化層85。在第12圖的顯示範例中,第一功函數層84包括氮化鈦矽,且氧化層85包括氧化矽。
接著,在第13圖中,第二功函數層86(順應性地)形成於第12圖顯示的結構上方。特別來說,第二功函數層86包含作為凹口91A的側壁和底部的襯墊以及沿閘極介電層82的暴露部分的上表面延伸(例如物理接觸閘極介電層82的暴露部分的上表面)的第一部分86A。此外,第二功函數層86也包含作為凹口91B的側壁和底部的襯墊以及沿氧化層85的上表面延伸(例如物理接觸氧化層85的上表面)的第二部分86B。在一範例實施例中,第二功函數層86包括n型功函數金屬,例如透過合適的沉積方法(例如原子層沉積)形成的鋁摻雜碳化鈦(例如TiAlC)。雖然使用TiAlC作為範例,但是也可使用其他合適的n型功函數金屬作為第二功函數層86的材料,例如TaAl、TaAlC、TiAlN、Mn、Zr或前述之組合。
如第13圖所示,第二功函數層86的第一部分86A和第二部分86B具有不同的厚度。特別來說,第一部分86A具有厚度T1小於第二部分86B的厚度T2。不受限於特定理論,可以相信的是氧化層85(例如氧化矽)為疏水性,其有助於形成第二功函數層86(例如TiAlC)。因此,在顯示的實施例中,雖然進行相同的沉積製程(例如原子層沉積製程)以同時在閘極介電層82和氧化層85上方形成第二功函數層86,但是第二功函數層86在氧化層85上方形成較快(例如具有較高的沉積速率)。舉例來說,在八個沉積循環的原子層沉積製程之後,第一部分86A的厚度T1可在約10Å與約15Å之間,第二部分86B的厚度T2可大於厚度T1約2Å至約3Å。
在一些實施例中,氧化層85的形成改變(例如增加)鋁從第二功函數層86到閘極介電層82的擴散速率。舉例來說,使用X射線螢光(X-ray fluorescence,XRF)技術測量的閘極介電層82的鋁強度大於7.38千次/每秒(kilo counts per second,kcps)的基準值,例如在約7.57kcps與約7.84kcps之間,其中基準值7.38 kcps對應至當圖案化遮罩層83未透過上述的電漿製程加工(例如使用包括氮的氣體混合物的電漿製程)時之閘極介電層82的鋁強度。
在一些實施例中,調整(例如增加或減少)用以移除圖案化遮罩層83所進行的電漿製程中的氮的流量,以控制第二功函數層86的第二部分86B的厚度T2。舉例來說,增加電漿製程中氮的流量可增加氧化層85的厚度,其最終導致氧化層85上方形成的第二部分86B的厚度T2的增加,且反之亦然。在一些實施例中,調整(例如增加或減少)電漿製程的持續時間以控制第二功函數層86的第二部分86B的厚度T2。舉例來說,可增加電漿製程的持續時間以增加氧化層85的厚度,其最終導致氧化層85上方形成的第二部分86B的厚度T2的增加,且反之亦然。在一些實施例中,第二功函數層86的第一部分86A的厚度T1不受電漿製程的氮的流量或持續時間影響。
透過調整電漿製程中的氮的流量及/或電漿製程的持續時間,在相同沉積製程(例如相同的原子層沉積製程)中的鰭式場效電晶體裝置100中的不同區域中可形成不同厚度(例如厚度T1、T2)的第二功函數層86。為了理解本發明實施例方法的優點,考慮一種參考方法,其中透過使用不同沉積製程來實現有著不同厚度的第二功函數層86。舉例來說,參考方法可使用具有不同數量的沉積循環的兩個不同的原子層沉積製程來實現不同厚度。兩個原子層沉積製程可在兩個個別的製程步驟中進行,使用第一圖案化遮罩的第一原子層沉積製程(具有第一數量的沉積循環)覆蓋鰭式場效電晶體裝置100的第一區,而第二功函數層86沉積於第二區,且使用第二圖案化遮罩的第二原子層沉積製程(具有第一數量的沉積循環)覆蓋鰭式場效電晶體裝置100的第二區,而第二功函數層86沉積於第一區。因此,參考方法需要有著不同圖案化遮罩的較多製程步驟,其增加製造時間和成本。相較之下,本發明實施例方法透過在單一沉積製程(例如原子層沉積製程)中在不同區形成有著不同厚度的第二功函數層86來節省製程時間和成本。
接著,在第14A圖中,填充金屬88形成於第二功函數層86上方並填充凹口91A和91B。填充金屬88可由含金屬材料製成,例如Cu、Al、W、類似物、前述之組合或前述之多層,且可透過例如電鍍、無電電鍍、物理氣相沉積、化學氣相沉積或其他合適的方法形成。在一些實施例中,在形成填充金屬88之前,晶種層(未顯示)(例如銅層)透過合適的沉積製程(例如原子層沉積)形成於第二功函數層86上方,接著填充金屬88形成於晶種層上方。接著,可進行平坦化製程(例如化學機械研磨)來移除第二功函數層86、閘極介電層82、氧化層85和第一功函數層84設置於第一層間介電質90的上表面上方的部分。在平坦化製程之後,在凹口91A中的填充金屬88、第二功函數層86和閘極介電層82的剩下部分形成金屬閘極結構97A(例如區域200中的金屬閘極結構97A的部分),在凹口91B中的填充金屬88、第二功函數層86、氧化層85、第一功函數層84和閘極介電層82的剩下部分形成金屬閘極結構97B(例如區域200中的金屬閘極結構97B的部分)。
第14B圖顯示第14A圖的鰭式場效電晶體裝置100,但是係沿剖面D-D(請參照第15圖)。如第14B圖所示,金屬閘極結構(例如金屬閘極結構97A、97B)設置於隔離區62和基底50上方。閘極間隙壁87在每個金屬閘極結構的兩側側壁上。第一層間介電質90圍繞金屬閘極結構和閘極間隙壁87。由於剖面D-D在鰭64之外,第14B圖的剖面示意圖中可能看不到例如鰭64、源極/汲極區80和輕摻雜汲極區65的部件。
在第14A圖的範例中,金屬閘極結構97A具有有著厚度T1的第二功函數層86的第一部分86A,且金屬閘極結構97B具有第一功函數層84和有著厚度T2的第二功函數層86的第二部分86B。由於功函數層(例如第一功函數層84、第二功函數層86)的不同結構和不同厚度,金屬閘極結構97A和97B所屬的電晶體具有不同的臨界電壓。透過調整用以移除圖案化遮罩層83的電漿製程的參數(例如氮的流量及/或持續時間),可輕易改變第二功函數層86的第二部分86B的厚度T2,其使得依據設計規格可輕易調整對應電晶體的臨界電壓Vt。此外,由於氧化層85透過用以移除圖案化遮罩層83的電漿製程來形成,因此不須額外的製程步驟來形成氧化層85。換句話說,氧化層85的形成和圖案化遮罩層83的移除在相同製程步驟(例如透過電漿製程)中進行,其有利地節省製造成本和時間。
如上所述,在第一取代閘極製程之後,以遮罩層覆蓋區域200,可進行第二取代閘極製程來取代虛設閘極結構75在區域300中的部分。由於區域300(例如p型裝置區)可為不同於區域200(例如n型裝置區)的裝置區,因此可調整將形成於區域300中的裝置類型的功函數層的數量、功函數層的材料及/或功函數層的厚度。因此,相同的金屬閘極結構(例如第15圖的金屬閘極結構97A或97B)在區域200和300中可具有不同的結構。換句話說,金屬閘極結構在區域200中的部分(例如金屬閘極結構97A_1或97B_1)可不同於金屬閘極結構在區域300中的部分(例如金屬閘極結構97A_2或97B_2)。作為範例,金屬閘極結構在區域200中的部分和金屬閘極結構在區域300中的部分可具有不同數量的功函數層或不同材料的功函數層。作為另一範例,金屬閘極結構在區域200中的部分和金屬閘極結構在區域300中的部分可具有相同數量的功函數層,且每個功函數層由相同功函數金屬形成,但是至少一個功函數層在區域200和區域300中具有不同厚度。在其他實施例中,金屬閘極結構(例如第15圖的金屬閘極結構97A或97B)在區域200(例如n型裝置區)和區域300(例如p型裝置區)中可具有相同結構(如第14A圖所示),但是在區域200和300中的鰭64的材料可不同以達成不同裝置類型(例如n型或p型)的形成裝置的不同臨界電壓,在此情況中,區域200和區域300中的金屬閘極結構可透過單一取代閘極製程而非兩個個別的閘極取代製程形成。
請參照第15圖,其顯示在第14A和14B圖的製程步驟之後的鰭式場效電晶體裝置100的平面圖。為了簡單起見,並未顯示鰭式場效電晶體裝置100的所有部件。舉例來說,閘極間隙壁87、隔離區62和源極/汲極區80未顯示於第15圖中。
如第15圖所示,金屬閘極結構(例如金屬閘極結構97A/97B)跨過鰭64(例如鰭64A/64B/64C/64D)。在後續製程中,進行金屬閘極切割製程以將每個金屬閘極結構(例如金屬閘極結構97B)切割為兩個隔開的金屬閘極結構(請參照第17B圖中的金屬閘極結構97B_1和97B_2)。在顯示的實施例中,移除金屬閘極結構97A/97B在切割區55中的部分,進而將每個金屬閘極結構97A和97B隔開為兩個隔開的金屬閘極結構。舉例來說,在金屬閘極切割製程之後,金屬閘極結構97B在鰭64A和64B上方的部分形成金屬閘極結構97B_1,且金屬閘極結構97B在鰭64C和64D上方的部分形成金屬閘極結構97B_2。金屬閘極結構97B_1和金屬閘極結構97B_2可透過將不同控制電壓施加至金屬閘極結構97B_1和金屬閘極結構97B_2來獨立地控制。
第15圖顯示切割區55的非限制性範例。可改變切割區55的數量、切割區55的尺寸和切割區55的位置以實現不同的切割圖案以及形成有著不同尺寸和圖案的金屬閘極結構。切割區55的這些變化和其他變化完全地被包含在本發明實施例的範圍中。以下討論使用第15圖中顯示的一個切割區55的範例,可以理解的是,任何數量的切割區可用於製造鰭式場效電晶體裝置100。
第16A-18B圖顯示依據一些實施例之在後續金屬閘極切割製程中的鰭式場效電晶體裝置100的剖面示意圖。請參照第16A和16B圖,遮罩層123(其可包含第一硬遮罩層122和第二硬遮罩層124)形成於鰭式場效電晶體裝置100上方。
在一些實施例中,第一硬遮罩層122為金屬硬遮罩層,且第二硬遮罩層124為介電硬遮罩層。第一硬遮罩層122可為遮罩材料,例如氮化鈦、氧化鈦、類似物或前述之組合。第一硬遮罩層122可透過使用例如原子層沉積、化學氣相沉積、物理氣相沉積、類似方法或前述之組合形成。第二硬遮罩層124沉積於第一硬遮罩層122上方。第二硬遮罩層124可用作第一硬遮罩層122的遮罩圖案。在後續的製程步驟中,將第二硬遮罩層124圖案化以形成可接著轉移至第一硬遮罩層122的圖案。第二硬遮罩層124可為遮罩材料,例如氮化矽、氧化矽、四乙氧基矽烷(tetraethyl orthosilicate,TEOS)、SiOx Cy 、類似物或前述之組合。第二硬遮罩層124可透過使用例如化學氣相沉積、原子層沉積、類似方法或前述之組合形成。在一實施例中,第一硬遮罩層122包括氮化鈦,第二硬遮罩層124包括氮化矽。
接著,光阻(未顯示)形成於遮罩層123上方並將光阻圖案化。接著,圖案化光阻的圖案透過使用合適的方法(例如一個或多個非等向性蝕刻製程)轉移至遮罩層123。因此,圖案141(例如開口)形成於第一硬遮罩層122和第二硬遮罩層124中。圖案141對應至第15圖中的切割區55,且暴露出金屬閘極結構97A/97B在切割區55(請參照第15圖)中的部分。如第16A圖所示,圖案141也暴露出圍繞金屬閘極結構97A/97B的閘極間隙壁87。
接著,如第16A和16B圖所示,移除金屬閘極結構97A/97B在切割區55(請參照第15圖)中且透過圖案141暴露的部分。可進行合適的蝕刻製程(例如非等向性蝕刻製程)來移除金屬閘極結構97A/97B的暴露部分。在移除金屬閘極結構97A/97B在切割區55中的部分之後,凹口140(例如開口)形成於金屬閘極結構97A/97B曾在的位置。如第16B圖所示,凹口140延伸通過金屬閘極結構並暴露出隔離區62的一部分。
接著,如第17A和17B圖所示,以介電材料142填充凹口140。用於介電材料142的合適材料可包含氮化矽、氮氧化矽、碳化矽、前述之組合或類似物,且可透過物理氣相沉積、化學氣相沉積、原子層沉積或其他合適的沉積方法形成。在介電材料142填充凹口140之後,每個金屬閘極結構97A/97B分隔為兩個隔開的金屬閘極結構。第17B圖顯示透過切割金屬閘極97B形成的兩個隔開的金屬閘極結構97B_1和97B_2。如上所述,區域200中的金屬閘極結構97B_1可具有不同於區域300中的功函數層。在第17B圖顯示的範例中,金屬閘極結構97B_1和97B_2具有相同結構,但是區域200和300中的鰭64可具有不同的材料以實現不同的臨界電壓。舉例來說,金屬閘極結構97B_1和97B_2皆具有閘極介電層82、第一功函數層84、氧化層85和第二功函數層86。然而,區域200中的鰭64的材料(例如Si)可不同於區域300中的鰭64的材料(例如SiGe)。
接著,進行平坦化製程(例如化學機械研磨)以移除第一硬遮罩層122、第二硬遮罩層124和介電材料142在第二硬遮罩層124的上表面上方的部分。
接著,如第18A和18B圖所示,接點102形成於金屬閘極結構和源極/汲極區80上方並電性連接至金屬閘極結構和源極/汲極區80。為了形成接點102,第二層間介電質95形成於第一層間介電質90上方。在一些實施例中,第二層間介電質95為可流動膜且透過可流動化學氣相沉積方法形成。在一些實施例中,第二層間介電質95由介電材料形成,例如磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼摻雜磷矽酸鹽玻璃、未摻雜矽酸鹽玻璃或類似物,且可透過任何合適的方法沉積,例如化學氣相沉積和電漿輔助化學氣相沉積。接著,形成接觸開口通過第一層間介電質90及/或第二層間介電質95以暴露出源極/汲極區80和金屬閘極結構,接著以導電材料填充接觸開口以形成接點102。在一些實施例中,在填充接觸開口之前,矽化物區81形成於源極/汲極區80上方。以下討論形成接點102的細節。
在一些實施例中,區域81形成於源極/汲極區80上方。區域81可透過先在源極/汲極區80上方沉積能夠與半導體材料(例如矽、鍺)反應的金屬(例如鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬、其他耐火金屬、稀土金屬或前述之合金)以形成矽化物或鍺化物區,接著進行熱退火製程來形成。接著,例如透過蝕刻製程來移除沉積金屬的未反應部分。雖然區域81被稱為矽化物區,但是區域81也可為鍺化物區或矽鍺區(例如包括矽化物和鍺化物的區域)。
接著,阻障層104形成作為接觸開口的側壁和底部的襯墊,且在第二層間介電層95的上表面上方。阻障層104可包括氮化鈦、氮化鉭、鈦、鉭、類似物,且可由原子層沉積、物理氣相沉積、化學氣相沉積或其他合適的沉積方法形成。接著,晶種層109形成於阻障層104上方。晶種層109可透過物理氣相沉積、原子層沉積或化學氣相沉積來沉積,且可由鎢、銅或銅合金形成,但是也可替代地使用其他合適的方法和材料。當已形成晶種層109之後,導電材料110可形成於晶種層108上,並填充及過填充接觸開口。導電材料110包括鎢,但是可替代地使用其他合適的材料,例如鋁、銅、氮化鎢、釕、銀、金、銠、鉬、鎳、鈷、鎘、鋅、前述之合金、前述之組合和類似物。可使用任何合適的方法(例如物理氣相沉積、化學氣相沉積、原子層沉積、鍍覆(例如電鍍)和回焊)以形成導電材料110。
當已形成接觸開口之後,可透過平坦化製程(例如化學機械研磨)移除接觸開口之外的阻障層104、晶種層109和導電材料110的多餘部分,但是可使用任何合適的移除製程。因此,接點102形成於接觸開口中。在單一剖面中顯示接點102作為範例,但是接點102可在不同剖面中。此外,在第18B圖中,顯示一個接點102連接至兩個金屬閘極結構97B_1和97B_2的任一者作為範例。可在不脫離本發明實施例的精神下改變連接至每個金屬閘極結構97B_1和97B_2的接點102的數量和位置,這些變化和其他變化完全地被包含在本發明實施例的範圍中。
本發明實施例可能有其他變化,且這些變化完全地被包含在本發明實施例的範圍中。舉例來說,雖然第14A圖顯示兩個金屬閘極結構(例如金屬閘極結構97A、97B),多於或少於兩個金屬閘極結構可形成於鰭64上方,且透過以不同參數(例如電漿製程的氮的流量、持續時間)進行每個金屬閘極結構的電漿製程,同時遮蔽另一個金屬閘極結構免受電漿製程影響,每個金屬閘極結構中的第二功函數層86的厚度可為不同。作為另一範例,氧化層85可透過在第一功函數層84上方沉積(例如化學氣相沉積、物理氣相沉積、原子層沉積或類似方法)來形成,而非將第一功函數層84的表面層轉變為氧化物,此方法可在圖案化遮罩層83的材料不含氧的情況下使用。
第19圖顯示依據一些實施例之半導體裝置的製造方法1000的流程圖。應當理解的是,第19圖所示的實施例方法僅為許多實施例方法的一範例。本發明所屬技術領域中具通常知識者將理解許多變化、替代和修改。舉例來說,可增加、移除、取代、重排列和重複第19圖所示的各個步驟。
請參照第19圖,在步驟1010中,在鰭上方形成第一虛設閘極結構和第二虛設閘極結構。在步驟1020中,形成第一介電層圍繞第一虛設閘極結構和第二虛設閘極結構。在步驟1030中,移除第一虛設閘極結構和第二虛設閘極結構,以在第一介電層中分別形成第一凹口和第二凹口。在步驟1040中,在第一凹口和第二凹口中形成閘極介電層。在步驟1050中,在第一凹口和第二凹口中的閘極介電層上方形成第一功函數層。在步驟1060中,移除第一凹口中的第一功函數層。在步驟1070中,將第二凹口中的第一功函數層的表面層轉變為氧化物。在步驟1080中,在第一凹口中的閘極介電層上方和第二凹口中的氧化物上方形成第二功函數層。
本發明實施例可達成許多優點。透過控制半導體裝置中的功函數層(例如第二功函數層86)的厚度,本發明實施例提供可輕易調整形成的半導體裝置的臨界電壓的機制。在一些實施例中,控制功函數層的厚度透過調整用以移除圖案化遮罩層(圖案化遮罩層83)的電漿製程來達成,且不需要額外的製程步驟來控制半導體裝置中的功函數層的厚度,進而達到節省製造成本和時間。
在一實施例中,半導體裝置的形成方法包含在鰭上方形成第一虛設閘極結構和第二虛設閘極結構;形成第一介電層圍繞第一虛設閘極結構和第二虛設閘極結構;移除第一虛設閘極結構和第二虛設閘極結構,以在第一介電層中分別形成第一凹口和第二凹口;在第一凹口和第二凹口中形成閘極介電層;在第一凹口和第二凹口中的閘極介電層上方形成第一功函數層;移除第一凹口中的第一功函數層;將第二凹口中的第一功函數層的表面層轉變為氧化物;以及在第一凹口中的閘極介電層上方和第二凹口中的氧化物上方形成第二功函數層。在一實施例中,第二凹口中的氧化物上方的第二功函數層比第一凹口中的閘極介電層上方的第二功函數層更厚。在一實施例中,此方法更包括以導電材料填充第一凹口和第二凹口,以分別形成第一金屬閘極和第二金屬閘極。在一實施例中,移除第一凹口中的第一功函數層的步驟包括:在第二凹口中形成圖案化遮罩層以覆蓋第二凹口中的第一功函數層,其中第一凹口中的第一功函數層被圖案化遮罩層暴露;進行蝕刻製程以移除暴露的第一凹口中的第一功函數層;以及在蝕刻製程之後,進行電漿製程以移除第二凹口中的圖案化遮罩層。在一實施例中,進行蝕刻製程的步驟包括進行濕蝕刻製程,濕蝕刻製程使用對第一功函數層的材料有選擇性的蝕刻劑。在一實施例中,圖案化遮罩層包括氧,且電漿製程從圖案化遮罩層產生氧物種,其中將第一功函數層的表面層轉變的步驟包括透過使用圖案化遮罩層的氧物種將第一功函數層的表面層轉變為氧化物。在一實施例中,將第一功函數層的表面層轉變和進行電漿製程在相同製程步驟中進行。在一實施例中,形成第一功函數層的步驟包括形成p型功函數層。在一實施例中,形成第二功函數層的步驟包括形成n型功函數層。在一實施例中,第一凹口中的第二功函數層具有第一厚度不同於第二凹口中的第二功函數層的一第二厚度。在一實施例中,第一功函數層由氮化鈦矽形成,第二功函數層由鋁摻雜碳化鈦形成,且氧化物為氧化矽。
在一實施例中,半導體裝置的形成方法包含在鰭上方形成第一虛設閘極和第二虛設閘極;形成層間介電層(ILD)圍繞第一虛設閘極和第二虛設閘極;分別以第一金屬閘極和第二金屬閘極取代第一虛設閘極和第二虛設閘極,其中取代步驟包括:移除第一虛設閘極和第二虛設閘極,以在層間介電層中分別形成第一凹口和第二凹口;在第一凹口和第二凹口中形成閘極介電層;在第二凹口中的閘極介電層上方形成第一功函數層,其中第一凹口中的閘極介電層被第一功函數層暴露;使用相同的沉積製程在第一凹口和第二凹口中形成第二功函數層,其中第二凹口中的第二功函數層比第一凹口中的第二功函數層更厚;以及以導電材料填充第一凹口和第二凹口。在一實施例中,在第二凹口中形成第一功函數層的步驟包括:在第一凹口和第二凹口中沉積第一功函數層;形成圖案化遮罩層以覆蓋第二凹口中的第一功函數層;移除第一凹口中的第一功函數層;以及移除圖案化遮罩層。在一實施例中,圖案化遮罩層包括氧,其中移除圖案化遮罩層的步驟包括進行電漿製程以移除圖案化遮罩層,其中電漿製程將第一功函數層的表面層轉變為氧化物。在一實施例中,電漿製程中使用的氣體不含氧。在一實施例中,使用包括氮的氣體進行電漿製程,其中此方法更包括透過改變電漿製程中的氮的流量或改變電漿製程的持續時間來改變第二凹口中的第二功函數層的厚度。
在一實施例中,半導體裝置包含第一金屬閘極結構位於鰭上方,其中第一金屬閘極結構包括在鰭上方的閘極介電層、閘極介電層上方並接觸閘極介電層的第一功函數層、第一功函數層上方的氧化物、氧化物上方的第二功函數層以及第二功函數層上方的填充金屬;第二金屬閘極結構位於鰭上方並與第一金屬閘極結構相鄰,其中第二金屬閘極結構包括鰭上方的閘極介電層、閘極介電層上方並接觸閘極介電層的第二功函數層以及第二功函數層上方的填充金屬,其中第一金屬閘極結構的第二功函數層比第二金屬閘極結構的第二功函數層更厚;以及源極/汲極區位於鰭上方且在第一金屬閘極結構與第二金屬閘極結構之間。在一實施例中,第一功函數層包括氮化鈦矽,第二功函數層包括鋁摻雜碳化鈦。在一實施例中,第一金屬閘極結構的第二功函數層具有第一厚度,且第二金屬閘極結構的第二功函數層具有第二厚度,第一厚度大於第二厚度約2Å至約3Å。在一實施例中,第一金屬閘極結構和第二金屬閘極結構在相同的p型裝置區或相同的n型裝置區中。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
30:鰭式場效電晶體 50:基底 52:墊氧化層 55:切割區 56:墊氮化層 58:圖案化遮罩 61:溝槽 62:隔離區 64、64A、64B、64C、64D:鰭 65:輕摻雜汲極區 66:閘極介電質 68:閘極 70:遮罩 75、75A、75B:虛設閘極結構 80:源極/汲極區 82:閘極介電層 83:圖案化遮罩層 84、84’:第一功函數層 85:氧化層 86:第二功函數層 86A:第一部分 86B:第二部分 87:閘極間隙壁 88:填充金屬 90:第一層間介電質 91A、91B、140:凹口 95:第二層間介電質 97A、97B、97A_1、97A_2、97B_1、97B_2: 金屬閘極結構 100:鰭式場效電晶體裝置 102:接點 104:阻障層 109:晶種層 110:導電材料 122:第一硬遮罩層 123:遮罩層 124:第二硬遮罩層 141:圖案 142:介電材料 81、200、300:區域 1000:方法 1010、1020、1030、1040、1050、1060、1070、1080:步驟 T1、T2:厚度
根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件(feature)並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1圖顯示依據一些實施例之鰭式場效電晶體(FinFET)的透視圖。 第2-5、6A-6C、7-13、14A、14B、15、16A、16B、17A、17B、18A和18B圖顯示依據一些實施例之鰭式場效電晶體的各個階段的各種視圖(例如剖面示意圖、平面圖)。 第19圖顯示依據一些實施例之半導體裝置的製造方法的流程圖。
1000:方法
1010、1020、1030、1040、1050、1060、1070、1080:步驟

Claims (10)

  1. 一種半導體裝置的形成方法,包括:在一鰭上方形成一第一虛設閘極結構和一第二虛設閘極結構;形成一第一介電層圍繞該第一虛設閘極結構和該第二虛設閘極結構;移除該第一虛設閘極結構和該第二虛設閘極結構,以在該第一介電層中分別形成一第一凹口和一第二凹口;在該第一凹口和該第二凹口中形成一閘極介電層;在該第一凹口和該第二凹口中的該閘極介電層上方形成一第一功函數層;移除該第一凹口中的該第一功函數層,其中移除該第一凹口中的該第一功函數層的步驟包括:在該第二凹口中形成一圖案化遮罩層以覆蓋該第二凹口中的該第一功函數層,其中該第一凹口中的該第一功函數層被該圖案化遮罩層暴露;進行一蝕刻製程以移除暴露的該第一凹口中的該第一功函數層;以及在該蝕刻製程之後,進行一電漿製程以移除該第二凹口中的該圖案化遮罩層,其中該圖案化遮罩層包括氧,且該電漿製程從該圖案化遮罩層產生一氧物種,其中將該第一功函數層的該表面層轉變的步驟包括透過使用該圖案化遮罩層的該氧物種將該第一功函數層的該表面層轉變為該氧化物;將該第二凹口中的該第一功函數層的一表面層轉變為一氧化物;以及在該第一凹口中的該閘極介電層上方和該第二凹口中的該氧化物上方形成一第二功函數層。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第二凹口中的該氧化物上方的該第二功函數層比該第一凹口中的該閘極介電層上方 的該第二功函數層更厚。
  3. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中進行該蝕刻製程的步驟包括進行一濕蝕刻製程,該濕蝕刻製程使用對該第一功函數層的材料有選擇性的蝕刻劑。
  4. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中將該第一功函數層的該表面層轉變和進行該電漿製程在相同製程步驟中進行。
  5. 如申請專利範圍第1或2項所述之半導體裝置的形成方法,其中形成該第一功函數層的步驟包括形成一p型功函數層。
  6. 如申請專利範圍第5項所述之半導體裝置的形成方法,其中形成該第二功函數層的步驟包括形成一n型功函數層。
  7. 一種半導體裝置的形成方法,包括:在一鰭上方形成一第一虛設閘極和一第二虛設閘極;形成一層間介電層圍繞該第一虛設閘極和該第二虛設閘極;分別以一第一金屬閘極和一第二金屬閘極取代該第一虛設閘極和該第二虛設閘極,其中取代步驟包括:移除該第一虛設閘極和該第二虛設閘極,以在該層間介電層中分別形成一第一凹口和一第二凹口;在該第一凹口和該第二凹口中形成一閘極介電層;在該第二凹口中的該閘極介電層上方形成一第一功函數層,其中該第一凹口中的該閘極介電層被該第一功函數層暴露,其中在該第二凹口中形成該第一功函數層的步驟包括:在該第一凹口和該第二凹口中沉積一第一功函數層; 形成一圖案化遮罩層以覆蓋該第二凹口中的該第一功函數層,其中該圖案化遮罩層包括氧;移除該第一凹口中的該第一功函數層;以及移除該圖案化遮罩層,其中移除該圖案化遮罩層的步驟包括進行一電漿製程以移除該圖案化遮罩層,其中該電漿製程將該第一功函數層的一表面層轉變為一氧化物;使用一相同的沉積製程在該第一凹口和該第二凹口中形成一第二功函數層,其中該第二凹口中的該第二功函數層比該第一凹口中的該第二功函數層更厚;以及以一導電材料填充該第一凹口和該第二凹口。
  8. 如申請專利範圍第7項所述之半導體裝置的形成方法,其中該電漿製程中使用的氣體不含氧。
  9. 如申請專利範圍第7或8項所述之半導體裝置的形成方法,其中使用包括氮的氣體進行該電漿製程,其中該半導體裝置的形成方法更包括透過改變該電漿製程中的氮的流量或改變該電漿製程的持續時間來改變該第二凹口中的該第二功函數層的厚度。
  10. 一種半導體裝置,包括:一第一金屬閘極結構,位於一鰭上方,其中該第一金屬閘極結構包括在該鰭上方的一閘極介電層、該閘極介電層上方並接觸該閘極介電層的一第一功函數層、該第一功函數層上方的一氧化物、該氧化物上方的一第二功函數層以及該第二功函數層上方的一填充金屬,其中該氧化物直接接觸該第一功函數層和該第二功函數層; 一第二金屬閘極結構,位於該鰭上方並與該第一金屬閘極結構相鄰,其中該第二金屬閘極結構包括該鰭上方的該閘極介電層、該閘極介電層上方並接觸該閘極介電層的該第二功函數層以及該第二功函數層上方的該填充金屬,其中該第一金屬閘極結構的該第二功函數層比該第二金屬閘極結構的該第二功函數層更厚;以及一源極/汲極區,位於該鰭上方且在該第一金屬閘極結構與該第二金屬閘極結構之間。
TW108137994A 2018-10-31 2019-10-22 半導體裝置及其形成方法 TWI739178B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862753682P 2018-10-31 2018-10-31
US62/753,682 2018-10-31
US16/252,282 US10872826B2 (en) 2018-10-31 2019-01-18 Fin field-effect transistor device and method
US16/252,282 2019-01-18

Publications (2)

Publication Number Publication Date
TW202030838A TW202030838A (zh) 2020-08-16
TWI739178B true TWI739178B (zh) 2021-09-11

Family

ID=70328382

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108137994A TWI739178B (zh) 2018-10-31 2019-10-22 半導體裝置及其形成方法

Country Status (5)

Country Link
US (3) US10872826B2 (zh)
KR (1) KR102187713B1 (zh)
CN (1) CN111128732B (zh)
DE (1) DE102019102135B4 (zh)
TW (1) TWI739178B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11164956B2 (en) * 2019-08-23 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Capping layer for gate electrodes
US11488873B2 (en) 2020-06-22 2022-11-01 Taiwan Semiconductor Manufacturing Company Metal gates and methods of forming thereby
US11430700B2 (en) * 2020-06-26 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Trench isolation with conductive structures
US11848239B2 (en) * 2020-07-10 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning method and structures resulting therefrom
US11640983B2 (en) * 2020-08-14 2023-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11411079B1 (en) * 2021-01-21 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201436047A (zh) * 2013-03-06 2014-09-16 United Microelectronics Corp 具有金屬閘極之半導體元件及其製作方法
US20160225868A1 (en) * 2015-02-03 2016-08-04 Wan-Don Kim Semiconductor devices having work function metal films and tuning materials
TW201642326A (en) * 2015-05-29 2016-12-01 Taiwan Semiconductor Mfg Co Ltd Structure and formation method of semiconductor device structure
US20160351569A1 (en) * 2015-05-28 2016-12-01 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same
TW201705238A (zh) * 2015-07-31 2017-02-01 台灣積體電路製造股份有限公司 半導體結構形成方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8609495B2 (en) * 2010-04-08 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gate process for fabricating finfet device
US8729627B2 (en) * 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8637359B2 (en) * 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
US9281201B2 (en) * 2013-09-18 2016-03-08 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
CN104517842B (zh) * 2013-09-27 2018-02-06 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
US9105662B1 (en) 2014-01-23 2015-08-11 International Business Machines Corporation Method and structure to enhance gate induced strain effect in multigate device
US9330938B2 (en) * 2014-07-24 2016-05-03 International Business Machines Corporation Method of patterning dopant films in high-k dielectrics in a soft mask integration scheme
US9583485B2 (en) * 2015-05-15 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with uneven gate structure and method for forming the same
KR101785803B1 (ko) 2015-05-29 2017-10-16 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 구조체의 형성 방법
US10483160B2 (en) * 2015-09-23 2019-11-19 Intel Corporation Ultra thin helmet dielectric layer for maskless air gap and replacement ILD processes
US9978601B2 (en) 2015-10-20 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for pre-deposition treatment of a work-function metal layer
US9520482B1 (en) * 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10068901B2 (en) * 2016-01-25 2018-09-04 Samsung Electronics Co., Ltd. Semiconductor device including transistors with different threshold voltages
CN107958872B (zh) * 2016-10-17 2020-09-08 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10170555B1 (en) * 2017-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Intermetallic doping film with diffusion in source/drain
KR102516266B1 (ko) * 2017-11-10 2023-03-31 삼성전자주식회사 반도체 소자
US10347540B1 (en) * 2017-12-14 2019-07-09 International Business Machines Corporation Gate cut using selective deposition to prevent oxide loss
KR102589667B1 (ko) * 2017-12-22 2023-10-17 삼성전자주식회사 반도체 장치

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201436047A (zh) * 2013-03-06 2014-09-16 United Microelectronics Corp 具有金屬閘極之半導體元件及其製作方法
US20160225868A1 (en) * 2015-02-03 2016-08-04 Wan-Don Kim Semiconductor devices having work function metal films and tuning materials
US20160351569A1 (en) * 2015-05-28 2016-12-01 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same
TW201642326A (en) * 2015-05-29 2016-12-01 Taiwan Semiconductor Mfg Co Ltd Structure and formation method of semiconductor device structure
TW201705238A (zh) * 2015-07-31 2017-02-01 台灣積體電路製造股份有限公司 半導體結構形成方法

Also Published As

Publication number Publication date
DE102019102135B4 (de) 2024-05-29
US20200135588A1 (en) 2020-04-30
US11527447B2 (en) 2022-12-13
US10872826B2 (en) 2020-12-22
CN111128732B (zh) 2023-05-23
US12002718B2 (en) 2024-06-04
TW202030838A (zh) 2020-08-16
US20230108214A1 (en) 2023-04-06
KR102187713B1 (ko) 2020-12-08
CN111128732A (zh) 2020-05-08
KR20200050324A (ko) 2020-05-11
US20210104443A1 (en) 2021-04-08
DE102019102135A1 (de) 2020-04-30

Similar Documents

Publication Publication Date Title
US11735430B2 (en) Fin field-effect transistor device and method
US11804488B2 (en) Semiconductor device and method
TWI821415B (zh) 半導體裝置及其形成方法
TWI739178B (zh) 半導體裝置及其形成方法
TWI786077B (zh) 半導體裝置的製造方法及鰭式場效電晶體裝置的製造方法
US20180145131A1 (en) Semiconductor Device and Method
US10672886B2 (en) Structure and method for high-k metal gate
US10867848B2 (en) Semiconductor device and method
KR102270503B1 (ko) 반도체 디바이스 및 방법
TW202109674A (zh) 半導體裝置及其形成方法
KR102400370B1 (ko) 핀 전계효과 트랜지스터 디바이스 및 그 형성 방법
US10665513B2 (en) Fin field-effect transistor device and method
US11398477B2 (en) Semiconductor device and method
US20240087947A1 (en) Semiconductor device and method of manufacturing