TW202109674A - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TW202109674A
TW202109674A TW109128577A TW109128577A TW202109674A TW 202109674 A TW202109674 A TW 202109674A TW 109128577 A TW109128577 A TW 109128577A TW 109128577 A TW109128577 A TW 109128577A TW 202109674 A TW202109674 A TW 202109674A
Authority
TW
Taiwan
Prior art keywords
layer
groove
forming
gate
dielectric layer
Prior art date
Application number
TW109128577A
Other languages
English (en)
Other versions
TWI765321B (zh
Inventor
陳玠瑋
連建洲
林群能
江子昂
葉明熙
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202109674A publication Critical patent/TW202109674A/zh
Application granted granted Critical
Publication of TWI765321B publication Critical patent/TWI765321B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

半導體裝置的形成方法包含在突出高於基底的鰭片上方形成第一虛設閘極結構和第二虛設閘極結構,其中介電層環繞第一虛設閘極結構和第二虛設閘極結構;以及分別以第一金屬閘極和第二金屬閘極取代第一虛設閘極結構和第二虛設閘極結構,其中所述取代步驟包含:移除第一虛設閘極結構和第二虛設閘極結構,以分別在介電層中形成第一凹槽和第二凹槽;在第一凹槽和第二凹槽中形成閘極介電層;在第二凹槽中而不在第一凹槽中的閘極介電層上方依序形成N型功函數層和蓋層;以及用導電材料填充第一凹槽和第二凹槽。

Description

半導體裝置及其形成方法
本發明實施例是關於半導體製造技術,特別是關於半導體裝置及其形成方法。
由於持續提升各種電子組件(例如電晶體、二極體、電阻器、電容器等)的整合密度,半導體產業經歷了快速的增長。在大部分情況下,整合密度的提升來自持續縮減最小部件尺寸,這使得更多組件可以整合到給定區域中。
鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)裝置正變得普遍用於積體電路中。鰭式場效電晶體裝置具有三維結構,此三維結構包含從基底突出的半導體鰭片。配置以控制鰭式場效電晶體裝置的導電通道內的電荷載子流動的閘極結構環繞半導體鰭片。舉例來說,在三閘極鰭式場效電晶體裝置中,閘極結構環繞半導體鰭片的三個側面,藉此在半導體鰭片的三個側面上形成導電通道。
根據一些實施例提供半導體裝置的形成方法。此方法包含在突出高於基底的鰭片上方形成第一虛設閘極結構和第二虛設閘極結構;在第一虛設閘極結構和第二虛設閘極結構周圍形成介電層;移除第一虛設閘極結構和第二虛設閘極結構,以在介電層中分別形成第一凹槽和第二凹槽;在第一凹槽和第二凹槽中依序形成閘極介電層、N型功函數層和蓋層;在蓋層上方形成圖案化的遮罩層,其中圖案化的遮罩層的開口暴露出第一凹槽中的蓋層;使用第一濕式蝕刻製程來選擇性地移除第一凹槽中的蓋層,以暴露出第一凹槽中的N型功函數層;以及使用不同於第一濕式蝕刻製程的第二濕式蝕刻製程來選擇性地移除第一凹槽中的N型功函數層,以暴露出第一凹槽中的閘極介電層。
根據另一些實施例提供半導體裝置的形成方法。此方法包含在突出高於基底的鰭片上方形成第一虛設閘極結構和第二虛設閘極結構,其中第一虛設閘極結構和第二虛設閘極結構被介電層環繞;以及分別用第一金屬閘極和第二金屬閘極取代第一虛設閘極結構和第二虛設閘極結構,其中所述取代包含:移除第一虛設閘極結構和第二虛設閘極結構,以在介電層中分別形成第一凹槽和第二凹槽;在第一凹槽和第二凹槽中形成閘極介電層;在第二凹槽中而不在第一凹槽中的閘極介電層上方依序形成N型功函數層和蓋層;以及用導電材料填充第一凹槽和第二凹槽。
根據又另一些實施例提供半導體裝置。此半導體裝置包含在基底上方的鰭片;在鰭片上方的第一金屬閘極,其中第一金屬閘極包含鰭片上方的閘極介電層、在閘極介電層上方並與閘極介電層接觸的膠層、及在膠層上方並與膠層接觸的填充金屬;以及在鰭片上方且與第一金屬閘極相鄰的第二金屬閘極,其中第二金屬閘極包含在鰭片上方的閘極介電層、在閘極介電層上方並與閘極介電層接觸的N型功函數層、在N型功函數層上方並與N型功函數層接觸的蓋層、在蓋層上方並與蓋層接觸的膠層、及在膠層上方並與膠層接觸的填充金屬。
以下內容提供許多不同實施例或範例,用於實施本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用於限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件上或上方,可能包含形成第一部件和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一部件和第二部件之間,使得第一部件和第二部件不直接接觸的實施例。
此外,本文可能使用空間相對用語,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」及類似的用詞,這些空間相對用語係為了便於描述如圖所示之一個(些)元件或部件與另一個(些)元件或部件之間的關係。這些空間相對用語包含使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則在此所使用的空間相對形容詞也將依轉向後的方位來解釋。在本文的整個討論中,除非另有說明,否則不同圖式中的相同或相似圖式標記是關於藉由相同或相似形成方法所形成的相同或相似組件。
在形成鰭式場效電晶體裝置的內文中,特別是在形成鰭式場效電晶體裝置的功函數層的內文中,討論了本發明實施例中的一些實施例。雖然使用鰭式場效電晶體裝置作為範例來討論所揭示的實施例,但所揭示之方法也可以用於其他類型的裝置中,例如平面裝置。
第1圖以透視圖繪示鰭式場效電晶體30的範例。鰭式場效電晶體30包含基底50和突出高於基底50的鰭片64。在鰭片64的兩側上形成隔離區62,鰭片64突出高於隔離區62。閘極介電質66沿著側壁並在鰭片64的頂表面上方,以及閘極68在閘極介電質66上方。源極/汲極區80在鰭片64中並在閘極介電質66和閘極68的兩側上。第1圖進一步繪示用於後圖的參考剖面。剖面B-B沿著鰭式場效電晶體30的閘極68的縱軸延伸。剖面A-A垂直於剖面BB,並沿著鰭片64的縱軸,並且在例如源極/汲極區80之間的電流的方向上。剖面C-C平行於剖面B-B,並跨過源極/汲極區80。為清楚起見,後續圖式參照這些參考剖面。
第2~6、7A、8~19圖是根據一實施例之在製造的各個階段的鰭式場效電晶體裝置100的剖面示意圖。鰭式場效電晶體裝置100類似於第1圖中的鰭式場效電晶體30,但具有多個鰭片和多個閘極結構。第2~5圖繪示沿著剖面B-B的鰭式場效電晶體裝置100的剖面示意圖。第6、7A和8~19圖繪示沿著剖面A-A的鰭式場效電晶體裝置100的剖面示意圖。第7B和7C圖繪示第7A圖的鰭式場效電晶體裝置100的不同實施例剖面示意圖,但沿著剖面C-C。
在第2圖中,提供基底50。基底50可以是半導體基底,例如塊體(bulk)半導體、絕緣體上覆半導體(semiconductor-on-insulator,SOI)基底或類似的基底,並且可以被摻雜(例如以P型或N型摻質)或不被摻雜。基底50可以是晶圓,例如矽晶圓。通常而言,絕緣體上覆半導體基底是形成於絕緣層上的半導體材料層。絕緣層可以是例如埋入式氧化物(buried oxide,BOX)層、氧化矽層或類似的膜層。絕緣層設置在通常是矽基底或玻璃基底的基底上。也可以使用其他基底,例如多層基底或漸變的(gradient)基底。在一些實施例中,基底50的半導體材料可以包含矽;鍺;化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或前述之組合。
參照第3圖,使用例如光學微影(photolithography)和蝕刻技術來將第2圖所示之基底50圖案化。舉例來說,在基底50上方形成遮罩層,例如墊氧化物(pad oxide)層52和上覆的墊氮化物層56。墊氧化物層52可以是包含例如使用熱氧化製程形成之氧化矽的薄膜。墊氧化物層52可以作為基底50與上覆的墊氮化物層56之間的黏著層。在一些實施例中,作為範例,墊氮化物層56是由氮化矽、氮氧化矽、氮碳化矽、類似的材料或前述之組合形成,並且可以使用低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)或電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)形成。
可以使用光學微影技術將遮罩層圖案化。通常而言,光學微影技術利用光阻材料,光阻材料被沉積、照射(曝光)以及顯影以移除光阻材料的一部分。剩餘的光阻材料保護下方的材料,例如在此範例中為遮罩層,使下方的材料免於受到後續製程步驟(例如蝕刻)的影響。在此範例中,光阻材料用於將墊氧化物層52和墊氮化物層56圖案化以形成圖案化的遮罩58,如第3圖所示。
圖案化的遮罩58隨後用於將基底50的露出部分圖案化以形成溝槽61,藉此在相鄰溝槽61之間界定半導體鰭片64(例如64A和64B),如第3圖所示。在一些實施例中,藉由使用例如反應離子蝕刻(reactive ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)、類似的製程或前述之組合在基底50中蝕刻出溝槽來形成半導體鰭片64。蝕刻可以是非等向性的。在一些實施例中,溝槽61可以是彼此平行並且相對彼此緊密間隔的條狀物(從頂部看)。在一些實施例中,溝槽61可以是連續的並環繞半導體鰭片64。半導體鰭片64在下文中也可以被稱為鰭片64。
可以藉由任何合適的方法將鰭片64圖案化。舉例來說,可以使用一或多個光學微影製程來將鰭片64圖案化,光學微影製程包含雙重圖案化或多重圖案化製程。通常而言,雙重圖案化或多重圖案化製程結合光學微影和自對準製程,其允許產生的圖案的例如節距(pitches)小於使用單一、直接微影製程可獲得的圖案的節距。舉例來說,在一實施例中,在基底上方形成犧牲層,並使用光學微影製程將犧牲層圖案化。使用自對準製程在圖案化的犧牲層旁形成間隔物。然後移除犧牲層,接著可以使用剩餘的間隔物或心軸(mandrels)將鰭片64圖案化。
第4圖繪示在相鄰的半導體鰭片64之間形成絕緣材料以形成隔離區62。絕緣材料可以是氧化物,例如氧化矽、氮化物、類似的材料或前述之組合,並且可以由高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、可流動式化學氣相沉積(flowable CVD,FCVD)(例如在遠程電漿系統中進行之以化學氣相沉積為主的材料沉積,以及後固化以使此材料轉化為其他材料,例如氧化物)、類似的形成製程或前述之組合形成。可以使用其他絕緣材料及/或其他形成製程。在繪示的實施例中,絕緣材料是藉由可流動式化學氣相沉積製程形成的氧化矽。一旦形成絕緣材料,就可以進行退火製程。例如化學機械研磨(chemical mechanical polish,CMP)的平坦化製程可以移除任何多餘的絕緣材料並形成共平面的隔離區62的頂表面和半導體鰭片64的頂表面(未繪示)。也可以藉由平坦化製程移除圖案化的遮罩58(見第3圖)。
在一些實施例中,隔離區62在隔離區62與基底50/半導體鰭片64之間的界面處包含襯層(liner),例如襯氧化物(未繪示)。在一些實施例中,形成襯氧化物以降低基底50和隔離區62之間的界面處的晶體缺陷。類似地,襯氧化物也可用於降低半導體鰭片64和隔離區62之間的界面處的晶體缺陷。襯氧化物(例如氧化矽)可以是經由熱氧化基底50的表面層所形成的熱氧化物,但也可以使用其他合適的方法來形成襯氧化物。
接下來,凹蝕隔離區62以形成淺溝槽隔離(shallow trench isolation,STI)區62。凹蝕隔離區62使得半導體鰭片64的上部從相鄰的淺溝槽隔離區62之間突出。淺溝槽隔離區62的頂表面可以具有平坦表面(如圖所示)、凸表面、凹表面(例如碟狀(dishing))或前述之組合。淺溝槽隔離區62的頂表面可以藉由適當的蝕刻形成為平坦的、凸的及/或凹的。可以使用合適的蝕刻製程來凹蝕隔離區62,例如對隔離區62的材料具有選擇性的蝕刻製程。舉例來說,可以進行使用稀氫氟酸(dilute hydrofluoric,dHF)的濕式蝕刻或乾式蝕刻以凹蝕隔離區62。
第2至4圖繪示形成鰭片64的實施例,但可以使用各種不同的製程來形成鰭片。舉例來說,可以由合適的材料替換基底50的頂部,例如適合於要形成的半導體裝置的預期類型(例如N型或P型)的磊晶材料。之後,將在頂部具有磊晶材料的基底50圖案化,以形成包含磊晶材料的半導體鰭片64。
作為另一範例,可以在基底的頂表面上方形成介電層;可以蝕刻出穿過介電層的溝槽;可以在溝槽中磊晶成長同質磊晶結構;以及可以凹蝕介電層,使得同質磊晶結構從介電層突出以形成鰭片。
在又一範例中,可以在基底的頂表面上方形成介電層;可以蝕刻出穿過介電層的溝槽;可以使用與基底不同的材料在溝槽中磊晶成長異質磊晶結構;以及可以凹蝕介電層,使得異質磊晶結構從介電層突出以形成鰭片。
在成長磊晶材料或磊晶結構(例如異質磊晶結構或同質磊晶結構)的實施例中,可以在成長期間原位(in situ)摻雜成長的材料或結構,這可以免除之前和之後的佈植,但可以一起使用原位和佈植摻雜。更進一步,在N型金屬氧化物半導體(NMOS)區中磊晶成長與P型金屬氧化物半導體(PMOS)區中的材料不同的材料可以具有優勢。在不同實施例中,鰭片64可以包含矽鍺(Six Ge1-x ,其中x可以為0至1)、碳化矽、純或大致上純的鍺、III-V族化合物半導體、II-VI族化合物半導體或類似的材料。舉例來說,用於形成III-V族化合物半導體的合適材料包含但不限於InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP和類似的材料。
第5圖繪示在半導體鰭片64上方形成虛設閘極結構75。在一些實施例中,虛設閘極結構75包含閘極介電質66和閘極68。可以在虛設閘極結構75上方形成遮罩70。為了形成虛設閘極結構75,在半導體鰭片64上形成介電層。介電層可以是例如氧化矽、氮化矽、前述之多層結構或類似的材料,並且可以被沉積或熱成長。
在介電層上方形成閘極層,並且在閘極層上方形成遮罩層。可以在介電層上方沉積閘極層,然後例如藉由化學機械研磨將閘極層平坦化。可以在閘極層上方沉積遮罩層。閘極層可以由例如多晶矽形成,但也可以使用其他材料。遮罩層可以由例如氮化矽或類似的材料形成。
在形成層(例如介電層、閘極層和遮罩層)之後,可以使用合適的光學微影和蝕刻技術來將遮罩層圖案化以形成遮罩70。然後,可以藉由合適的蝕刻技術將遮罩70的圖案轉移到閘極層和介電層,以分別形成閘極68和閘極介電質66。閘極68和閘極介電質66覆蓋半導體鰭片64之相應的通道區。閘極68的長度方向也可以大致垂直於相應的半導體鰭片64的長度方向。
在第5圖的範例中,閘極介電質66顯示為形成於鰭片64上方(例如鰭片64的頂表面和側壁上方)以及淺溝槽隔離區62上方。在其他實施例中,可以藉由例如鰭片64的材料的熱氧化來形成閘極介電質66,因此閘極介電質66可以形成於鰭片64上方而非在淺溝槽隔離區62上方。這些和其他變化完全意圖包含在本發明實施例的範圍內。
第6、7A和8~19圖沿著剖面A-A(沿著鰭片64的縱軸)繪示對鰭式場效電晶體裝置100進行進一步處理的剖面示意圖。第7B和7C圖繪示第7A圖的鰭式場效電晶體裝置100的不同實施例剖面示意圖,但沿著剖面C-C。應注意的是,在第6、7A和8圖中,在鰭片64上方形成四個虛設閘極結構75(例如75A、75B、75C和75D)。本技術領域中具有通常知識者將理解,可以在鰭片64上方形成多於或少於四個閘極結構,這些和其他變化完全意圖包含在本發明實施例的範圍內。
如第6圖所示,在鰭片64中形成輕摻雜汲極(lightly doped drain,LDD)區65。可以藉由電漿摻雜製程形成輕摻雜汲極區65。電漿摻雜製程可以包含形成和圖案化例如光阻的遮罩,以覆蓋鰭式場效電晶體之將被保護免受電漿摻雜製程影響的區域。電漿摻雜製程可以在鰭片64中佈植N型或P型雜質以形成輕摻雜汲極區65。舉例來說,可以在鰭片64中佈植例如硼的P型雜質,以形成用於P型裝置的輕摻雜汲極區65。作為另一範例,可以在鰭片64中佈植例如磷的N型雜質,以形成用於N型裝置的輕摻雜汲極區65。在一些實施例中,輕摻雜汲極區65抵接(abut)鰭式場效電晶體裝置100的通道區。輕摻雜汲極區65的一部分可以在閘極68下方延伸並進入鰭式場效電晶體裝置100的通道區。第6圖繪示輕摻雜汲極區65的非限制性範例。輕摻雜汲極區65的其他配置、形狀和形成方法也是可能的,並且完全意圖包含在本發明實施例的範圍內。舉例來說,可以在形成閘極間隔物76之後形成輕摻雜汲極區65。在一些實施例中,省略輕摻雜汲極區65。
仍然參照第6圖,在形成輕摻雜汲極區65之後,在閘極結構上形成閘極間隔物76。閘極間隔物76可以包含第一閘極間隔物72和第二閘極間隔物74。舉例來說,第一閘極間隔物72可以是閘極密封間隔物並形成於閘極68的兩側壁上以及閘極介電質66的兩側壁上。第二閘極間隔物74形成於第一閘極間隔物72上。第一閘極間隔物72可以由氮化矽、氧氮化矽、碳化矽、氮碳化矽、類似的材料或前述之組合形成,並且可以使用例如熱氧化、化學氣相沉積(chemical vapor deposition,CVD)或其他合適的沉積製程。第二閘極間隔物74可以使用適當的沉積方法由氮化矽、氮碳化矽、前述之組合或類似的材料形成。
在示範實施例中,閘極間隔層76的形成藉由先在鰭式場效電晶體裝置100上方順應性地(conformally)沉積第一閘極間隔層,然後在沉積的第一閘極間隔層上方順應性地沉積第二閘極間隔層。接下來,進行非等向性蝕刻製程,例如乾式蝕刻製程,以移除設置在鰭式場效電晶體裝置100的上表面(例如遮罩70的上表面)上的第二閘極間隔層的第一部分,而保留沿著虛設閘極結構75的側壁設置的第二閘極間隔層的第二部分。在非等向性蝕刻製程之後留下的第二閘極間隔層的第二部分形成第二閘極間隔物74。非等向性蝕刻製程也移除了設置於第二閘極間隔物74的側壁的外側之第一閘極間隔層的一部分,並且第一閘極間隔層的剩餘部分形成第一閘極間隔物72。
如第6圖所示之閘極間隔物76的形狀和形成方法僅是非限制性範例,並且其他形狀和形成方法也是可能的。這些和其他變化完全意圖包含在本發明實施例的範圍內。
接下來,如第7A圖所示,形成源極/汲極區80。源極/汲極區80的形成藉由蝕刻鰭片64(例如在輕摻雜汲極區65中)以形成凹槽,並使用合適方法在凹槽中磊晶成長材料,例如金屬有機化學氣相沉積(metal-organic CVD,MOCVD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性磊晶成長(selective epitaxial growth,SEG)、類似的方法或前述之組合。
如第7A圖所示,磊晶源極/汲極區80可以具有從鰭片64的相應表面凸起的表面(例如凸起高於鰭片64的非凹陷部分),並且可以具有刻面(facet)。相鄰鰭片64的源極/汲極區80可以合併以形成連續的磊晶源極/汲極區80(見第7B圖)。在一些實施例中,對於相鄰鰭片64的源極/汲極區80不合併在一起,而是保持分開的源極/汲極區80(見第7C圖)。第7A和7B圖還繪示沿著鰭片64的兩側壁的間隔物76’,間隔物76’可以具有與閘極間隔物76相同或相似的結構,並且可以在與閘極間隔物76相同的製程步驟中形成。
可以用摻質佈植磊晶源極/汲極區80以形成源極/汲極區80,隨後進行退火製程。佈植製程可以包含形成和圖案化例如光阻的遮罩,以覆蓋鰭式場效電晶體之將被保護免受佈植製程影響的區域。源極/汲極區80可以具有在約1E19 cm-3 至約1E21 cm-3 的範圍的雜質(例如摻質)濃度。可以將例如硼或銦的P型雜質佈植到P型電晶體的源極/汲極區80中。可以將例如磷或砷化物的N型雜質佈植到N型電晶體的源極/汲極區80中。在一些實施例中,可以在成長期間原位摻雜磊晶源極/汲極區。
接下來,在第8圖中,在基底50上方和虛設閘極結構75(例如75A、75B、75C和75D)上方形成第一層間介電質(interlayer dielectric,ILD)90。在一些實施例中,第一層間介電質90是由介電材料形成,例如氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)或類似的材料,並且可以藉由任何合適的方法沉積,例如化學氣相沉積、電漿輔助化學氣相沉積或可流動式化學氣相沉積。可以進行例如化學機械研磨製程的平坦化製程以移除遮罩70(參見第7A圖)。在平坦化製程之後,第一層間介電質90的頂表面與閘極68的頂表面齊平。
隨後進行實施例的閘極後製(gate-last)製程(有時稱為取代閘極製程),以用主動閘極(也可以稱為替代閘極或金屬閘極)和一(些)主動閘極介電材料取代閘極68和閘極介電質66。在一些實施例中,主動閘極可以是金屬閘極。因此,在閘極後製製程中,閘極68和閘極介電質66被視為虛設閘極結構。
參照第9圖,在第一層間介電質90中移除虛設閘極結構75A、75B、75C和75D以分別形成凹槽69A、69B、69C和69D。根據一些實施例,在一或多個蝕刻步驟中移除閘極68和閘極68正下方的閘極介電質66,使得在閘極間隔物76之間形成凹槽69(例如69A、69B、69C和69D)。每個凹槽69暴露出各個鰭片64的通道區。在移除虛設閘極期間,當蝕刻虛設閘極68時,虛設閘極介電質66可作為蝕刻停止層。然後可以在移除虛設閘極68之後移除虛設閘極介電質66。由於取代閘極形成於凹槽69中,所以凹槽69也稱為閘極溝槽。
接下來,在第10圖中,閘極介電層82順應性地沉積在凹槽69中,例如在鰭片64的頂表面和側壁上、在閘極間隔物76的側壁上以及在第一層間介電質90的頂表面上。根據一些實施例,閘極介電層82包含氧化矽、氮化矽或前述之多層結構。在其他實施例中,閘極介電層82包含高介電常數介電材料,並且在這些實施例中,閘極介電層82可以具有大於約7.0的介電常數值,並且可以包含金屬氧化物或Hf、Al、Zr、La、Mg、Ba、Ti、Pb及前述之組合的矽化物。閘極介電層82的形成方法可以包含分子束沉積(molecular beam deposition,MBD)、原子層沉積(atomic layer deposition,ALD)、電漿輔助化學氣相沉積或類似的製程。
在第10圖的範例中,鰭片64具有區域200(例如N型裝置區)和區域300(例如P型裝置區),用於形成不同類型的裝置(例如N型電晶體或P型電晶體)。如第10圖所示,在區域200和300中順應性地形成閘極介電層82之後,在區域300中形成第一P型功函數層83A和第二P型功函數層83B。然後,第一P型功函數層83A順應性地形成在凹槽69C中以及第一層間介電質90的上表面之與凹槽69C相鄰的部分上方。第二P型功函數層83B順應性地形成在凹槽69D中以及在第一層間介電質90的上表面之與凹槽69D相鄰的部分上方。在本文的討論中,P型功函數層也可以稱為P型功函數金屬,並且N型功函數層也可以稱為N型功函數金屬。在繪示的實施例中,第二P型功函數層83B由與第一P型功函數層83A不同的材料(例如P型功函數金屬)形成。
例示性P型功函數金屬包含TiN、TaN、Ru、Mo、Al、WN、ZrSi2 、MoSi2 、TaSi2 、NiSi2 、WN、其他合適的P型功函數材料或前述之組合。例示性N型功函數金屬包含Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合適的N型功函數材料或前述之組合。功函數值與功函數層的材料組成有關,因此選擇功函數層的材料以調節其功函數值,使得在要形成的裝置中達到目標臨界電壓Vt。功函數層的沉積可以藉由化學氣相沉積、物理氣相沉積(physical vapor deposition,PVD)及/或其他合適的製程。
第10圖中的第一P型功函數層83A和第二P型功函數層83B中的每一個的形成可以藉由沉積功函數金屬並圖案化所沉積的功函數金屬。舉例來說,第一P型功函數層83A的形成可以藉由在閘極介電層82上方(例如在區域200和300中)順應性地沉積P型功函數金屬,形成圖案化的遮罩層以暴露出要移除的P型功函數金屬的部分,進行蝕刻製程以移除暴露出的P型功函數金屬,並移除圖案化的遮罩層。在移除圖案化的遮罩層之後,剩餘的P型功函數金屬形成第一P型功函數層83A。可以進行類似的製程以形成第二P型功函數層83B。
接下來,在第11圖中,在區域200和300中(例如順應性地)形成N型功函數層84。N型功函數層84可以由任何合適的N型功函數材料形成,例如鈦鋁碳(TiAlC)。N型功函數層84的形成可以使用任何合適的形成方法,例如化學氣相沉積、物理氣相沉積、原子層沉積、類似的方法或前述之組合。在第11圖中,N型功函數層84沿著區域200中的閘極介電層82延伸並與其物理接觸,並且沿著區域300中的第一P型功函數層83A和第二P型功函數層83B延伸並與其物理接觸。由於區域300中的N型功函數層84下方的區域300中的第一P型功函數層83A和第二P型功函數層83B,區域200中的N型功函數層84的上表面可以低於(例如更靠近基底50)區域300中的N型功函數層84的上表面。
接下來,在區域200和300中的N型功函數層84上順應性地形成蓋層85。在一些實施例中,蓋層85是由氮化鈦、矽、氧化矽、氧氮化矽或前述之組合形成,並使用合適的形成方法,例如物理氣相沉積、化學氣相沉積、原子層沉積、類似的方法或前述之組合。蓋層85保護下方的功函數層(例如84、83A、83B)不被環境中的氧氣氧化。另外,在隨後的熱驅入(thermal drive-in)製程中,蓋層85有助於將功函數金屬驅入閘極介電層82中,藉此改善熱驅入製程的效率。
接下來,在第12圖中,在第11圖的鰭式場效電晶體裝置100上方形成三層光阻,其包含底部抗反射塗(bottom anti-reflective coating,BARC)層86、中間層87(例如遮罩層)和頂部光阻88。三層光阻在形成於蓋層85上方之後,也可以填充凹槽69。三層光阻的頂部光阻88接著被圖案化成開口89,開口89在區域200中的凹槽69B(參見第11圖)上方(例如正上方)。在一實施例中,藉由將頂部光阻88暴露於穿過例如光罩(reticle)之圖案化的能量源(例如光)來將頂部光阻88圖案化。能量的衝擊將在感光材料的受圖案化能量源影響的那些部分中引起化學反應,藉此改變光阻曝光部分的物理性質,使得頂部光阻88的曝光部分的物理性質與頂部光阻88的未曝光部分的物理性質不同。然後,可以用例如顯影劑使頂部光阻88顯影,以將頂部光阻88的曝光部分與頂部光阻88的未曝光部分分離。
接下來,在第13圖中,例如使用蝕刻製程,使頂部光阻88中的開口89延伸穿過中間層87和底部抗反射塗層86。蝕刻製程可以使用圖案化的頂部光阻88作為蝕刻遮罩。可以使用例如乾式蝕刻之適當蝕刻製程來將頂部光阻88的圖案轉移到中間層87和底部抗反射塗層86。在蝕刻製程中使用的蝕刻劑可以是對中間層87和底部抗反射塗層86的材料具有選擇性的(例如具有較高的蝕刻速率),使得移除在開口89下方的中間層87和底部抗反射塗層86的部分,而大致上不侵蝕蓋層85。在蝕刻製程之後,暴露出設置在凹槽69B(參見第11圖)中的蓋層85的部分以及第一層間介電質90的上表面之與凹槽69B相鄰的部分。在蝕刻製程之後,可以藉由例如灰化(ashing)製程來移除頂部光阻88。
接下來,在第14圖中,進行第一蝕刻製程以選擇性地移除由開口89暴露出的蓋層85的一部分。在一些實施例中,第一蝕刻製程是使用對蓋層85的材料具有選擇性(例如具有較高的蝕刻速率)的化學物質進行的第一濕式蝕刻製程,使得移除蓋層85而大致上不侵蝕下方的N型功函數層84。在一些實施例中,在第一蝕刻製程之後暴露出N型功函數層84。在範例實施例中,藉由使用含氟化物的化學物質之第一濕式蝕刻製程來移除蓋層85。在一些實施例中,含氟化合物是氫氟酸(HF)和水(例如去離子水(DIW))的混合物。舉例來說,含氟化物之氫氟酸的體積與去離子水的體積之間的混合比為約1:100至約1:500。
在一些實施例中,在約20°C至約25°C的溫度(例如室溫)下進行第一濕式蝕刻製程,並且預定的持續時間可以為例如約1分鐘至約3分鐘,取決於蓋層85的厚度。蝕刻溫度高於上述揭示範圍可能造成蓋層85的過度蝕刻(例如蝕刻掉N型功函數層84的一部分),並且可能會導致無法控制選擇性蝕刻製程。蝕刻溫度低於上述揭示範圍可能不會在預定持續時間內移除蓋層85的目標量(例如目標厚度)。
接下來,在第15圖中,進行第二蝕刻製程以選擇性地移除由開口89暴露出的N型功函數層84的部分。在一些實施例中,第二蝕刻製程是使用對N型功函數層84的材料具有選擇性的化學物質來進行的第二濕式蝕刻製程,使得移除N型功函數層84而大致上不侵蝕下方的閘極介電層82。在一些實施例中,在第二蝕刻製程之後,暴露出閘極介電層82。
在一實施例中,藉由使用包含酸(例如氯化氫(HCl))和氧化劑(例如過氧化氫(H2 O2 )或臭氧(O3 ))的化學物質之第二濕式蝕刻製程來移除N型功函數層84。舉例來說,化學物質可以是酸(例如HCl)、氧化劑(例如H2 O2 或O3 )和去離子水的混合物。混合物中的酸的體積百分比可以為約1%至約10%,混合物中的氧化劑的體積百分比可以為約1%至約10%,並且混合物中的去離子水的體積百分比可以為約80%至約98%。
在另一實施例中,藉由使用包含鹼(例如氨(例如NH4 OH))和氧化劑(例如過氧化氫(H2 O2 )或臭氧(O3 ))的化學物質之第二濕式蝕刻製程來移除N型功函數層84。舉例來說,化學物質可以是鹼(例如NH4 OH)、氧化劑(例如H2 O2 或O3 )和去離子水的混合物。混合物中的鹼的體積百分比可以為約1%至約10%,混合物中的氧化劑的體積百分比可以為約1%至約10%,並且混合物中去離子水的體積百分比可以為約80%至約98%。
在一些實施例中,在約50°C至約70°C的溫度下進行第二濕式蝕刻製程,並且預定的持續時間可以例如為約3分鐘至約5分鐘,取決於N型功函數層84的厚度。蝕刻溫度高於上述揭示範圍可能造成N型功函數層84的過度蝕刻並可能損壞下方的閘極介電層82。蝕刻溫度低於上述揭示範圍可能不會在預定持續時間內移除暴露出的N型功函數層84的目標量(例如目標厚度)。在第二濕式蝕刻製程之後,可以藉由合適的方法,例如對中間層87的材料具有選擇性的選擇性蝕刻及/或化學機械研磨,來移除三層光阻的中間層87。
在第14和15圖的範例中,藉由一次進行第一濕式蝕刻製程來移除蓋層85,接著藉由一次進行第二濕式蝕刻製程來移除N型功函數層84。在其他實施例中,藉由進行多個蝕刻循環來移除蓋層85和N型功函數層84,其中每個蝕刻循環包含第一濕式蝕刻製程,隨後是第二濕式蝕刻製程,其中第一濕式蝕刻製程和第二濕式蝕刻製程分別參照第14和15圖的前述討論。第20~26圖繪示藉由進行例如三個蝕刻循環來移除蓋層85和N型功函數層84的實施例。
臨時參照第20~26圖,其繪示在一實施例中的蝕刻循環的各個階段之半導體裝置100的一部分的剖面示意圖。為了簡化,第20~26圖僅繪示閘極溝槽69B周圍的半導體裝置(又稱為鰭式場效電晶體裝置)100的一部分,並且未繪示半導體裝置100的所有組件。舉例來說,第20~26圖僅繪示在對應於第13~15圖的製程步驟(例如第一蝕刻製程和第二蝕刻製程)期間的閘極介電層82、N型功函數層84和蓋層85。換句話說,在一實施例中,第20~26圖所示之製程可以取代第13~15圖所示之製程。
第20圖繪示由光阻中的開口89(參見第13圖)暴露出的蓋層85的一部分以及位於蓋層85的這部分正下方的層(例如84、82)。接下來,在第21圖中,在第一蝕刻循環中進行第一濕式蝕刻製程以選擇性地移除蓋層85。如第21圖所示,在第一濕式蝕刻製程之後,降低蓋層85的厚度。第21圖還繪示沿著閘極溝槽69B的側壁設置之蓋層85的剩餘部分的厚度不均勻。由於蝕刻化學物質難以進入小間隙,在小間隙(例如閘極溝槽69B)中的第一濕式蝕刻製程的效率降低,可能造成蓋層85的厚度不均勻。如第21圖所示,閘極溝槽69B中的蓋層85的底部的厚度大於蓋層85的上部的厚度。應注意的是,在第21圖的範例中,在第一蝕刻循環的濕式蝕刻製程之後,留下蓋層85的一部分。
接下來,在第22圖中,進行第一蝕刻循環的第二濕式蝕刻製程。然而,由於第二濕式蝕刻製程的化學物質對N型功函數層84的材料具有選擇性,並且由於蓋層85的剩餘部分設置於N型功函數層84上方(例如覆蓋),第二濕式蝕刻製程幾乎不(即使有)移除蓋層85的剩餘部分。
接下來,在第23圖中,進行第二蝕刻循環的第一濕式蝕刻製程,其移除了蓋層85的剩餘部分。接下來,在第24圖中,進行第二蝕刻循環的第二濕式蝕刻製程。如第24圖所示,降低N型功函數層84的厚度,並且閘極溝槽69B中的N型功函數層84的剩餘部分具有不均勻的厚度。
接下來,在第25圖中,進行第三蝕刻循環的第一濕式蝕刻製程,此製程幾乎不(即使有)移除N型功函數層84的剩餘部分。接下來,在第26圖中,進行第三蝕刻循環的第二濕式蝕刻製程,其移除N型功函數層84的剩餘部分並暴露出下方的閘極介電層82。雖然在繪示的範例中使用三個蝕刻循環,但可以使用任何數量的蝕刻循環來移除蓋層85和N型功函數層84。
本文揭示之第一濕式蝕刻製程和第二濕式蝕刻製程實現對於蝕刻製程的優異的蝕刻選擇性和精確的控制。舉例來說,第一濕式蝕刻製程選擇性地移除暴露的蓋層85而大致上不侵蝕下方的N型功函數層84,並且第二濕式蝕刻製程選擇性地移除暴露出的N型功函數層84而大致上不侵蝕下方的閘極介電質。相較於使用乾式蝕刻製程(例如電漿蝕刻製程)以移除蓋層85和N型功函數層84的參考方法,可以避免或降低與乾式蝕刻製程有關的問題,例如損壞閘極介電層82、損壞開口的側壁輪廓和損壞鰭片64及/或鰭片64的臨界尺寸(critical dimension,CD)的損失。
接下來,再次參照第16圖,例如藉由像是灰化的適當移除製程來移除底部抗反射塗層86。在移除底部抗反射塗層86之後,暴露出蓋層85的剩餘部分(例如在區域200和300中),並且暴露出凹槽69B中/周圍的閘極介電層82的一部分。
接著參照第17圖,舉例來說,在區域200中的第16圖的鰭式場效電晶體裝置100上方(例如順應性地)形成膠層91。可以形成圖案化的遮罩層以覆蓋區域300,而在區域200中形成膠層91。在形成膠層91之後,移除圖案化的遮罩層。如第17圖所示,膠層91形成在凹槽69A(參見第16圖)中/周圍的蓋層85上方並與其物理接觸,並形成在凹槽69B(參見第16圖)中/周圍的閘極介電層82上方並與其物理接觸。膠層91可以作為下方的層(例如85、82)和隨後形成的導電材料(例如93)之間的黏著層,並且可以由例如氮化鈦之合適的材料形成。膠層91還可以作為在凹槽69B中形成的金屬閘極(參見第18圖中的97B)的功函數層,在這種情況下,膠層91可以包含適合作為N型功函數材料的材料。膠層91的形成可以使用合適的形成方法,例如化學氣相沉積、物理氣相沉積、原子層沉積、前述之組合或類似的方法。
在形成膠層91之後,在區域200中的膠層91上方以及在區域300中的蓋層85上方(例如與其物理接觸)形成導電材料93(也稱為填充金屬)。導電材料93填充凹槽69的剩餘部分並形成金屬閘極(參見第18圖中的97A、97B、97C和97D)的閘極電極。在一實施例中,導電材料93是鎢,但也可以使用其他合適的導電材料,例如鈷、金、銅、鋁、前述之組合或類似的材料。導電材料93的形成可以使用合適的形成方法,例如化學氣相沉積、物理氣相沉積、原子層沉積或類似的製程。
接著參照第18圖,可以進行例如化學機械研磨的平坦化製程以移除設置在第一層間介電質90的上表面上方的不同層(例如82、83A、83B、84、85、91和93)的多餘部分。在平坦化製程之後,形成金屬閘極97(例如97A、97B、97C和97D)。接下來,可以在約300°C至約500°C的溫度下進行熱驅入製程,以將功函數層的材料驅入金屬閘極97的閘極介電層82中。
在第18圖的實施例中,每個金屬閘極97具有不同的結構。舉例來說,金屬閘極97A包含沿著各個閘極間隔物76的側壁以及沿著鰭片64的側壁和頂表面設置的閘極介電層82。然後,在金屬閘極97A的閘極介電層82上依序形成N型功函數層84、蓋層85、膠層91和填充金屬93。金屬閘極97B包含沿著各個閘極間隔物76的側壁以及沿著鰭片64的側壁和頂表面設置的閘極介電層82。在金屬閘極97B的閘極介電層82上方依序形成膠層91和填充金屬93。金屬閘極97C包含沿著各個閘極間隔物76的側壁以及沿著鰭片64的側壁和頂表面設置的閘極介電層82。在金屬閘極97C的閘極介電層82上方依序形成第一P型功函數層83A、N型功函數層84、蓋層85和填充金屬93。金屬閘極97D包含沿著各個閘極間隔物76的側壁以及沿著鰭片64的側壁和頂表面設置的閘極介電層82。在金屬閘極97D的閘極介電層82上方依序形成第二P型功函數層83B、N型功函數層84、蓋層85和填充金屬93。
在第18圖的範例中,每個金屬閘極97具有帶有不同功函數層的不同閘極結構。這允許在調節金屬閘極97的臨界電壓方面具有很大的彈性,因此改善所形成的鰭式場效電晶體裝置100的效能、功能和應用。
接著參照第19圖,在第一層間介電質90上方形成第二層間介電質92。形成接觸開口穿過第二層間介電質92以暴露出金屬閘極97(例如97A、97B、97C和97D)。還形成接觸開口穿過第一層間介電質90和第二層間介電質92以暴露出源極/汲極區80。
在一實施例中,第二層間介電質92是藉由可流動式化學氣相沉積方法形成的可流動膜。在一些實施例中,第二層間介電質92是由例如磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、摻雜硼的磷矽酸鹽玻璃(BPSG)、未摻雜的矽酸鹽玻璃(USG)或類似的材料的介電材料形成,並且可以藉由任何合適的方法來沉積,例如化學氣相沉積和電漿輔助化學氣相沉積。可以使用光學微影和蝕刻來形成接觸開口。
在形成接觸開口之後,在源極/汲極區80上方形成矽化物區95。在一些實施例中,矽化物區95的形成藉由先在源極/汲極區80的露出部分上方沉積能夠與半導體材料(例如矽、鍺)反應的金屬以形成矽化物或鍺化物區,例如鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬、其他難熔金屬、稀土金屬或前述之合金,然後進行熱退火製程以形成矽化物區95。然後,例如藉由蝕刻製程移除沉積的金屬的未反應部分。雖然區域95稱為矽化物區,但是區域95也可以是鍺化物區或矽鍺化物區(例如包含矽化物和鍺化物的區域)。
接下來,在接觸開口中形成接觸件102(例如102A或102B,也稱為接觸件插塞)。在繪示的實施例中,每個接觸件102包含阻障層101、晶種層103和導電材料105,並且電耦接到下方的導電部件(例如金屬閘極97或矽化物區95)。電耦合到金屬閘極97的接觸件102A可以被稱為閘極接觸,而電耦合到矽化物區95的接觸件102B可以被稱為源極/汲極接觸件。
在一些實施例中,沿著接觸開口的側壁和底部順應性地形成阻障層101。阻障層101可以包含例如氮化鈦的導電材料,但可以替代地使用其他材料,例如氮化鉭、鈦、鉭或類似的材料。可以使用例如電漿輔助氣相沉積的化學氣相沉積製程來形成阻障層101。然而,可以替代地使用其他替代方法,例如濺鍍、金屬有機化學氣相沉積(metal organic chemical vapor deposition,MOCVD)或原子層沉積。
接下來,在阻障層101上方順應性地形成晶種層103。晶種層103可以包含銅、鈦、鉭、氮化鈦、氮化鉭、類似的材料或前述之組合,並且可以藉由原子層沉積、濺鍍、物理氣相沉積或類似的製程來沉積。在一些實施例中,晶種層103是金屬層,其可以是單層或包含由不同材料形成的多個子層的複合層。舉例來說,晶種層103可以包含鈦層和在鈦層上方的銅層。
接下來,導電材料105沉積在晶種層103上方並填充接觸開口的剩餘部分。導電材料105可以由例如金、鋁、鎢、類似的材料、前述之組合或前述之多層結構的含金屬材料形成,並且可以藉由例如電鍍、無電電鍍或其他合適的方法形成。在形成導電材料105之後,可以進行例如化學機械研磨的平坦化製程以移除阻障層101、晶種層103和導電材料105的多餘部分,這些多餘部分在第二層間介電質92的頂表面上方。因此,阻障層101、晶種層103和導電材料105的所得到的剩餘部分形成所得到的鰭式場效電晶體裝置100的接觸件102。
鰭式場效電晶體裝置100的閘極溝槽69被繪示為具有U形剖面作為範例。閘極溝槽69可以具有其他形狀的剖面。在一實施例中,第27~29圖繪示在製造過程各個階段之具有Y形閘極溝槽(例如69B)的半導體裝置100A的一部分的剖面示意圖。半導體裝置100A類似於鰭式場效電晶體裝置100,但是具有用於閘極溝槽69的Y形剖面。為了簡化,第27~29圖僅繪示在閘極溝槽69B周圍的半導體裝置100A的一部分,而未繪示半導體裝置100A的所有組件。舉例來說,第27~29圖僅繪示在對應於第13~15圖的製程步驟(例如第一蝕刻製程和第二蝕刻製程)期間的閘極介電層82、N型功函數層84和蓋層85。換句話說,第27~29圖所示之製程分別對應於第13~15圖所示之製程。本技術領域中具有通常知識者將容易理解,藉由以Y形閘極溝槽取代鰭式場效電晶體裝置100的閘極溝槽69,第2~19圖所示之製程步驟說明了形成半導體裝置100A的順序製程步驟,半導體裝置100A具有Y形剖面的金屬閘極97。
Y形閘極溝槽的形成可以藉由例如形成具有非筆直側壁的閘極間隔物76,非筆直側壁對應於第27圖所示之閘極介電層82的外側壁的形狀。可以藉由例如形成具有非筆直側壁的虛設閘極結構75並沿著虛設閘極結構75的側壁形成閘極間隔物來形成具有非筆直側壁的閘極間隔物76。分別如第28和29圖所示,藉由第一蝕刻製程(例如第一濕式蝕刻製程)選擇性地移除蓋層85,並且藉由第二蝕刻製程(例如第二濕式蝕刻製程)選擇性地移除N型功函數層84,其中第一蝕刻製程和第二蝕刻製程分別參照第14和15圖的前述討論。
如果沒有本發明實施例的方法,由於閘極溝槽的下部較窄,可能很難對Y型閘極溝槽精確地移除蓋層85和N型功函數層84。然而,本文揭示的方法實現精確且容易地選擇性地移除蓋層85和N型功函數層84。避免或降低了對閘極介電層82的損壞,並且實現閘極介電層82的大致均勻的厚度。
在一實施例中,第30圖繪示一製造階段的半導體裝置100B的剖面示意圖。第30圖中的半導體裝置100B類似於第13圖中的半導體裝置100,但有一些修改。舉例來說,第30圖左側的兩個金屬閘極97A/97B在P型裝置區300中,而第30圖右側的兩個金屬閘極97C/97D在N型裝置區200中。因此,第一N型功函數層84A和第二N型功函數層84B分別形成於金屬閘極97C和97D的閘極溝槽中的閘極介電層82上方。第30圖還繪示設置在N型裝置區200和P型裝置區300兩者中的P型功函數層83和蓋層85。第30圖更繪示具有開口89的圖案化的光阻(例如86和87)。
在一些實施例中,進行類似於第14和15圖所示之兩步蝕刻製程,以移除開口89下方的蓋層85和P型功函數層83。舉例來說,進行使用對蓋層85的材料具有選擇性的第一化學物質之第一蝕刻製程以選擇性地移除蓋層85。接下來,進行使用對P型功函數層83的材料具有選擇性的化學物質之第二蝕刻製程以選擇性地移除P型功函數層83並以暴露出閘極介電層82。在其他實施例中,進行多個蝕刻循環以移除蓋層85和P型功函數層83,其中每個蝕刻循環包含第一蝕刻製程,然後是第二蝕刻製程。在暴露出金屬閘極97B的閘極介電層82之後,可以進行類似於第16~19圖所示之那些製程步驟以形成半導體裝置100B。
對所揭示之實施例的變化是可能的,並且完全意圖包含在本發明實施例的範圍內。舉例來說,鰭式場效電晶體裝置中的鰭片的數量及/或閘極結構的數量可以從繪示的範例改變,而不背離本發明實施例的精神。作為另一範例,雖然閘極溝槽69(例如69A、69B、69C和69d)被繪示為沿著相同的剖面設置於相同的鰭片上方,但每個閘極溝槽69可以設置於不同的鰭片上並沿著不同的剖面,取決於鰭式場效電晶體裝置的設計。
根據一些實施例,第31圖繪示製造半導體結構之方法的流程圖。應理解的是,第31圖所示之實施例方法僅是許多可能的實施例方法的一範例。本技術領域中具有通常知識者將理解到許多變化、置換和修改。舉例來說,可以添加、移除、替換、重新設置和重複如第31圖所示之各個步驟。
參照第31圖,在步驟1010,在突出高於基底的鰭片上方形成第一虛設閘極結構和第二虛設閘極結構。在步驟1020,在第一虛設閘極結構和第二虛設閘極結構周圍形成介電層。在步驟1030,移除第一虛設閘極結構和第二虛設閘極結構,以在介電層中分別形成第一凹槽和第二凹槽。在步驟1040,在第一凹槽和第二凹槽中依序形成閘極介電層、N型功函數層和蓋層。在步驟1050,在蓋層上方形成圖案化的遮罩層,其中圖案化的遮罩層的開口暴露出第一凹槽中的蓋層。在步驟1060,使用第一濕式蝕刻製程選擇性地移除第一凹槽中的蓋層以暴露第一凹槽中的N型功函數層。在步驟1070,使用不同於第一濕式蝕刻製程的第二濕式蝕刻製程來選擇性地移除第一凹槽中的N型功函數層,以暴露出第一凹槽中的閘極介電層。
實施例可以實現許多優點。本文揭示之第一濕式蝕刻製程和第二濕式蝕刻製程實現對蝕刻製程的精確的控制和優異的蝕刻選擇性。舉例來說,第一濕式蝕刻製程選擇性地移除暴露出的蓋層85而不侵蝕下方的N型功函數層84,而第二濕式蝕刻製程選擇性地移除暴露出的N型功函數層84而不侵蝕下方的閘極介電層82。藉由使用所揭示之方法,避免或減少許多問題,例如損壞閘極介電層82、損壞開口的側壁輪廓和損壞鰭片64及/或鰭片64的臨界尺寸(CD)的損失。另外,所揭示之方法允許增加金屬閘極的結構的彈性,例如允許在不同的金屬閘極中選擇和調整不同的功函數層(因此具有不同的臨界電壓),藉此改善所形成之半導體裝置的效能、功能和應用。
在一實施例中,一種半導體裝置的形成方法包含在突出高於基底的鰭片上方形成第一虛設閘極結構和第二虛設閘極結構;在第一虛設閘極結構和第二虛設閘極結構周圍形成介電層;移除第一虛設閘極結構和第二虛設閘極結構,以在介電層中分別形成第一凹槽和第二凹槽;在第一凹槽和第二凹槽中依序形成閘極介電層、N型功函數層和蓋層;在蓋層上方形成圖案化的遮罩層,其中圖案化的遮罩層的開口暴露出第一凹槽中的蓋層;使用第一濕式蝕刻製程來選擇性地移除第一凹槽中的蓋層,以暴露出第一凹槽中的N型功函數層;以及使用不同於第一濕式蝕刻製程的第二濕式蝕刻製程來選擇性地移除第一凹槽中的N型功函數層,以暴露出第一凹槽中的閘極介電層。在一實施例中,閘極介電層是由高介電常數介電材料形成,N型功函數層是由鈦鋁碳形成,以及蓋層的形成使用氮化鈦、矽、氧化矽、氮氧化矽或前述之組合。在一實施例中,第一濕式蝕刻製程的進行使用含氟化物的化學物質。在一實施例中,含氟化物的化學物質是氫氟酸和水的混合物。在一實施例中,第二濕式蝕刻製程的進行使用包含酸和氧化劑的化學物質。在一實施例中,酸是氯化氫,且氧化劑是臭氧或過氧化氫。在一實施例中,第二濕式蝕刻製程的進行使用包含鹼和氧化劑的化學物質。在一實施例中,鹼是氫氧化氨,且氧化劑是臭氧或過氧化氫。在一實施例中,此方法更包含:在第二濕式蝕刻製程之後,移除圖案化的遮罩層以暴露出第二凹槽中的蓋層;在第一凹槽和第二凹槽中形成膠層,其中第一凹槽中的膠層沿著閘極介電層延伸並與閘極介電層物理接觸,且第二凹槽中的膠層沿著蓋層延伸並與蓋層物理接觸;以及在形成膠層之後,用導電材料填充第一凹槽和第二凹槽。在一實施例中,蓋層是由氮化鈦形成。在一實施例中,此方法更包含:在鰭片上方形成第三虛設閘極結構和第四虛設閘極結構,其中介電層環繞第三虛設閘極結構和第四虛設閘極結構;移除第三虛設閘極結構和第四虛設閘極結構,以在介電層中分別形成第三凹槽和第四凹槽;在第三凹槽中依序形成閘極介電層、第一P型功函數層和蓋層;在第四凹槽中依序形成閘極介電層、第二P型功函數層和蓋層;以及在移除圖案化的遮罩層之後,用導電材料填充第三凹槽和第四凹槽。在一實施例中,第三凹槽和第四凹槽中的導電材料與蓋層物理接觸。
在一實施例中,一種半導體裝置的形成方法包含:在突出高於基底的鰭片上方形成第一虛設閘極結構和第二虛設閘極結構,其中第一虛設閘極結構和第二虛設閘極結構被介電層環繞;以及分別用第一金屬閘極和第二金屬閘極取代第一虛設閘極結構和第二虛設閘極結構,其中所述取代包含:移除第一虛設閘極結構和第二虛設閘極結構,以在介電層中分別形成第一凹槽和第二凹槽;在第一凹槽和第二凹槽中形成閘極介電層;在第二凹槽中而不在第一凹槽中的閘極介電層上方依序形成N型功函數層和蓋層;以及用導電材料填充第一凹槽和第二凹槽。在一實施例中, N型功函數層和蓋層的形成包含:在第一凹槽和第二凹槽中,在閘極介電層上方依序形成N型功函數層和蓋層;在蓋層上方形成圖案化的遮罩層,其中圖案化的遮罩層具有開口暴露出第一凹槽中的蓋層;使用第一濕式蝕刻製程來選擇性地移除第一凹槽中的蓋層;以及在第一濕式蝕刻製程之後,使用不同於第一濕式蝕刻製程的第二濕式蝕刻製程選擇性地移除第一凹槽中的N型功函數層。在一實施例中,第一濕式蝕刻製程的進行使用含氟化物的化學物質。在一實施例中,第二濕式蝕刻製程的進行使用酸和氧化劑的混合物或使用鹼和氧化劑的混合物。在一實施例中,此方法更包含在填充第一凹槽和第二凹槽之前,在閘極介電層上的第一凹槽中和在蓋層上的第二凹槽中形成膠層。
在一實施例中,一種半導體裝置包含:在基底上方的鰭片;在鰭片上方的第一金屬閘極,其中第一金屬閘極包含鰭片上方的閘極介電層、在閘極介電層上方並與閘極介電層接觸的膠層、及在膠層上方並與膠層接觸的填充金屬;以及在鰭片上方且與第一金屬閘極相鄰的第二金屬閘極,其中第二金屬閘極包含在鰭片上方的閘極介電層、在閘極介電層上方並與閘極介電層接觸的N型功函數層、在N型功函數層上方並與N型功函數層接觸的蓋層、在蓋層上方並與蓋層接觸的膠層、及在膠層上方並與膠層接觸的填充金屬。在一實施例中,第一金屬閘極和第二金屬閘極在鰭片的N型裝置區中。在一實施例中,此半導體裝置更包含:在第一金屬閘極和第二金屬閘極之間的源極/汲極區;在基底上方的第一介電層,其中第一介電層環繞第一金屬閘極和第二金屬閘極;以及延伸到第一介電層中並電耦合到源極/汲極區的接觸插塞。
以上概述數個實施例之部件,使得本技術領域中具有通常知識者可以更加理解本發明實施例的面向。本技術領域中具有通常知識者應該理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優點。本技術領域中具有通常知識者也應該理解到,此類等效的結構並未悖離本發明實施例的精神與範圍,且他們能在不違背本發明實施例的精神和範圍下,做各式各樣的改變、取代和調整。
30:鰭式場效電晶體 50:基底 52:墊氧化物層 56:墊氮化物層 58:圖案化的遮罩 61:溝槽 62:隔離區 64:鰭片 65:輕摻雜汲極區 66:閘極介電質 68:閘極 69,69A,69B,69C:凹槽 70:遮罩 72:第一閘極間隔物 74:第二閘極間隔物 75,75A,75B,75C,75D:虛設閘極結構 76:閘極間隔物 76’:間隔物 80:源極/汲極區 82:閘極介電層 83A:第一P型功函數層 83B:第二P型功函數層 84A:第一N型功函數層 84B:第二N型功函數層 85:蓋層 86:底部抗反射塗層 87:中間層 88:頂部光阻 89:開口 90:第一層間介電質 91:膠層 92:第二層間介電質 93,105:導電材料 95:矽化物區 97,97A,97B,97C,97D:金屬閘極 100:鰭式場效電晶體裝置 100A,100B:半導體裝置 101:阻障層 102,102A,102B:接觸件 103:晶種層 200,300:區域 1010,1020,1030,1040,1050,1060,1070:步驟
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件並未按照比例繪製,且僅用於說明的目的。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1圖根據一些實施例繪示鰭式場效電晶體(FinFET)裝置的透視圖。 第2~6和7A圖根據一實施例繪示在各個製造階段的鰭式場效電晶體裝置的剖面示意圖。 第7B和7C圖繪示在一些實施例中的第7A圖所示之半導體裝置的不同剖面示意圖。 第8~19圖根據一實施例繪示在另外的製造階段之第7A圖的鰭式場效電晶體裝置的剖面示意圖。 第20~26圖繪示在一實施例中的各個製造階段的半導體裝置的剖面示意圖。 第27~29圖繪示在一實施例中的各個製造階段的半導體裝置的剖面示意圖。 第30圖繪示在一實施例中的半導體裝置的剖面示意圖。 第31圖根據一些實施例繪示製造半導體裝置之方法的流程圖。
50:基底
64:鰭片
65:輕摻雜汲極區
72:第一閘極間隔物
74:第二閘極間隔物
76:閘極間隔物
80:源極/汲極區
90:第一層間介電質
92:第二層間介電質
97A,97B,97C,97D:金屬閘極
100:鰭式場效電晶體裝置
101:阻障層
102,102A:接觸件
103:晶種層
105:導電材料
200,300:區域

Claims (20)

  1. 一種半導體裝置的形成方法,包括: 在突出高於一基底的一鰭片上方形成一第一虛設閘極結構和一第二虛設閘極結構; 在該第一虛設閘極結構和該第二虛設閘極結構周圍形成一介電層; 移除該第一虛設閘極結構和該第二虛設閘極結構,以在該介電層中分別形成一第一凹槽和一第二凹槽; 在該第一凹槽和該第二凹槽中依序形成一閘極介電層、一N型功函數層和一蓋層; 在該蓋層上方形成一圖案化的遮罩層,其中該圖案化的遮罩層的一開口暴露出該第一凹槽中的該蓋層; 使用一第一濕式蝕刻製程來選擇性地移除該第一凹槽中的該蓋層,以暴露出該第一凹槽中的該N型功函數層;以及 使用不同於該第一濕式蝕刻製程的一第二濕式蝕刻製程來選擇性地移除該第一凹槽中的該N型功函數層,以暴露出該第一凹槽中的該閘極介電層。
  2. 如請求項1之半導體裝置的形成方法,其中該閘極介電層是由高介電常數介電材料形成,該N型功函數層是由鈦鋁碳形成,以及該蓋層的形成使用氮化鈦、矽、氧化矽、氮氧化矽或前述之組合。
  3. 如請求項1之半導體裝置的形成方法,其中該第一濕式蝕刻製程的進行使用含氟化物的化學物質。
  4. 如請求項3之半導體裝置的形成方法,其中該含氟化物的化學物質是氫氟酸和水的混合物。
  5. 如請求項3之半導體裝置的形成方法,其中該第二濕式蝕刻製程的進行使用包括酸和氧化劑的化學物質。
  6. 如請求項5之半導體裝置的形成方法,其中該酸是氯化氫,且該氧化劑是臭氧或過氧化氫。
  7. 如請求項3之半導體裝置的形成方法,其中該第二濕式蝕刻製程的進行使用包括鹼和氧化劑的化學物質。
  8. 如請求項7之半導體裝置的形成方法,其中該鹼是氫氧化氨,且該氧化劑是臭氧或過氧化氫。
  9. 如請求項1之半導體裝置的形成方法,更包括: 在該第二濕式蝕刻製程之後,移除該圖案化的遮罩層以暴露出該第二凹槽中的該蓋層; 在該第一凹槽和該第二凹槽中形成一膠層,其中該第一凹槽中的該膠層沿著該閘極介電層延伸並與該閘極介電層物理接觸,且該第二凹槽中的該膠層沿著該蓋層延伸並與該蓋層物理接觸;以及 在形成該膠層之後,用一導電材料填充該第一凹槽和該第二凹槽。
  10. 如請求項9之半導體裝置的形成方法,其中該蓋層是由氮化鈦形成。
  11. 如請求項9之半導體裝置的形成方法,更包括: 在該鰭片上方形成一第三虛設閘極結構和一第四虛設閘極結構,其中該介電層環繞該第三虛設閘極結構和該第四虛設閘極結構; 移除該第三虛設閘極結構和該第四虛設閘極結構,以在該介電層中分別形成一第三凹槽和一第四凹槽; 在該第三凹槽中依序形成該閘極介電層、一第一P型功函數層和該蓋層; 在該第四凹槽中依序形成該閘極介電層、一第二P型功函數層和該蓋層;以及 在移除該圖案化的遮罩層之後,用該導電材料填充該第三凹槽和該第四凹槽。
  12. 如請求項11之半導體裝置的形成方法,其中該第三凹槽和該第四凹槽中的該導電材料與該蓋層物理接觸。
  13. 一種半導體裝置的形成方法,包括: 在突出高於一基底的一鰭片上方形成一第一虛設閘極結構和一第二虛設閘極結構,其中該第一虛設閘極結構和該第二虛設閘極結構被一介電層環繞;以及 分別用一第一金屬閘極和一第二金屬閘極取代該第一虛設閘極結構和該第二虛設閘極結構,其中該取代包括: 移除該第一虛設閘極結構和該第二虛設閘極結構,以在該介電層中分別形成一第一凹槽和一第二凹槽; 在該第一凹槽和該第二凹槽中形成一閘極介電層; 在該第二凹槽中而不在該第一凹槽中的該閘極介電層上方依序形成一N型功函數層和一蓋層;以及 用一導電材料填充該第一凹槽和該第二凹槽。
  14. 如請求項13之半導體裝置的形成方法,其中該N型功函數層和該蓋層的形成包括: 在該第一凹槽和該第二凹槽中,在該閘極介電層上方依序形成該N型功函數層和該蓋層; 在該蓋層上方形成一圖案化的遮罩層,其中該圖案化的遮罩層具有一開口暴露出該第一凹槽中的該蓋層; 使用一第一濕式蝕刻製程選擇性地移除該第一凹槽中的該蓋層;以及 在該第一濕式蝕刻製程之後,使用不同於該第一濕式蝕刻製程的一第二濕式蝕刻製程來選擇性地移除該第一凹槽中的該N型功函數層。
  15. 如請求項14之半導體裝置的形成方法,其中該第一濕式蝕刻製程的進行使用含氟化物的化學物質。
  16. 如請求項15之半導體裝置的形成方法,其中該第二濕式蝕刻製程的進行使用酸和氧化劑的混合物或使用鹼和氧化劑的混合物。
  17. 如請求項13之半導體裝置的形成方法,更包括在填充該第一凹槽和該第二凹槽之前,在該閘極介電層上的該第一凹槽中和在該蓋層上的該第二凹槽中形成一膠層。
  18. 一種半導體裝置,包括: 一鰭片,在一基底上方; 一第一金屬閘極,在該鰭片上方,其中該第一金屬閘極包括該鰭片上方的一閘極介電層、在該閘極介電層上方並與該閘極介電層接觸的一膠層、及在該膠層上方並與該膠層接觸的一填充金屬;以及 一第二金屬閘極,在該鰭片上方且與該第一金屬閘極相鄰,其中該第二金屬閘極包括在該鰭片上方的該閘極介電層、在該閘極介電層上方並與該閘極介電層接觸的一N型功函數層、在該N型功函數層上方並與該N型功函數層接觸的一蓋層、在該蓋層上方並與該蓋層接觸的一膠層、及在該膠層上方並與該膠層接觸的該填充金屬。
  19. 如請求項18之半導體裝置,其中該第一金屬閘極和該第二金屬閘極在該鰭片的一N型裝置區中。
  20. 如請求項18之半導體裝置,更包括: 一源極/汲極區,在該第一金屬閘極和該第二金屬閘極之間; 一第一介電層,在該基底上方,其中該第一介電層環繞該第一金屬閘極和該第二金屬閘極;以及 一接觸插塞,延伸到該第一介電層中並電耦合到該源極/汲極區。
TW109128577A 2019-08-23 2020-08-21 半導體裝置及其形成方法 TWI765321B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/550,101 2019-08-23
US16/550,101 US11201084B2 (en) 2019-08-23 2019-08-23 Fin field-effect transistor device and method of forming the same

Publications (2)

Publication Number Publication Date
TW202109674A true TW202109674A (zh) 2021-03-01
TWI765321B TWI765321B (zh) 2022-05-21

Family

ID=74495717

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109128577A TWI765321B (zh) 2019-08-23 2020-08-21 半導體裝置及其形成方法

Country Status (5)

Country Link
US (1) US11201084B2 (zh)
KR (1) KR102281560B1 (zh)
CN (1) CN112420500B (zh)
DE (1) DE102019123627A1 (zh)
TW (1) TWI765321B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI795178B (zh) * 2021-05-20 2023-03-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
TWI791064B (zh) * 2018-11-06 2023-02-01 聯華電子股份有限公司 閘極結構及其製作方法
CN113078058B (zh) * 2021-03-25 2022-06-24 长鑫存储技术有限公司 半导体结构的制作方法
US20220367187A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method of Manufacture
US11715670B2 (en) * 2021-07-09 2023-08-01 Taiwan Semiconductor Manufacturing Company Limited FIN field-effect transistor and method of forming the same

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8389359B2 (en) * 2010-02-08 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming low resistance and uniform metal gate
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8987126B2 (en) 2012-05-09 2015-03-24 GlobalFoundries, Inc. Integrated circuit and method for fabricating the same having a replacement gate structure
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
KR102056582B1 (ko) 2013-06-05 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR101996244B1 (ko) 2013-06-27 2019-07-05 삼성전자 주식회사 반도체 소자 제조 방법
US20150061042A1 (en) 2013-09-03 2015-03-05 United Microelectronics Corp. Metal gate structure and method of fabricating the same
KR102218547B1 (ko) 2014-06-26 2021-02-22 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
KR20160054830A (ko) * 2014-11-07 2016-05-17 삼성전자주식회사 반도체 소자의 제조 방법
US9548388B1 (en) * 2015-08-04 2017-01-17 International Business Machines Corporation Forming field effect transistor device spacers
KR102354369B1 (ko) 2015-11-20 2022-01-21 삼성전자주식회사 반도체 소자
US9806161B1 (en) * 2016-04-07 2017-10-31 Globalfoundries Inc. Integrated circuit structure having thin gate dielectric device and thick gate dielectric device
CN107546179B (zh) * 2016-06-29 2020-02-11 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US10622454B2 (en) * 2016-06-30 2020-04-14 International Business Machines Corporation Formation of a semiconductor device with RIE-free spacers
US9929091B2 (en) * 2016-08-25 2018-03-27 International Business Machines Corporation Vertical fuse structures
US10236220B1 (en) * 2017-08-31 2019-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10325912B2 (en) * 2017-10-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI795178B (zh) * 2021-05-20 2023-03-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法

Also Published As

Publication number Publication date
TWI765321B (zh) 2022-05-21
KR20210024404A (ko) 2021-03-05
KR102281560B1 (ko) 2021-07-27
DE102019123627A1 (de) 2021-02-25
US20210057282A1 (en) 2021-02-25
CN112420500B (zh) 2024-05-28
US11201084B2 (en) 2021-12-14
CN112420500A (zh) 2021-02-26

Similar Documents

Publication Publication Date Title
US11450772B2 (en) Fin field-effect transistor device and method
TWI765321B (zh) 半導體裝置及其形成方法
US11171220B2 (en) Structure and method for high-K metal gate
CN110660743A (zh) 半导体器件和形成半导体器件的方法
TWI739178B (zh) 半導體裝置及其形成方法
TW202002282A (zh) 半導體裝置之製造方法
US11810978B2 (en) Gate resistance improvement and method thereof
US11640977B2 (en) Non-conformal oxide liner and manufacturing methods thereof
KR102400370B1 (ko) 핀 전계효과 트랜지스터 디바이스 및 그 형성 방법
KR20200037088A (ko) 핀 전계 효과 트랜지스터 디바이스 및 이의 형성 방법
TW201913749A (zh) 半導體裝置及其形成方法
US11978801B2 (en) Fin field-effect transistor device and method of forming the same
TWI785593B (zh) 半導體裝置及其形成方法
US12021145B2 (en) Fin field-effect transistor device having hybrid work function layer stack