TW201913749A - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TW201913749A
TW201913749A TW106135472A TW106135472A TW201913749A TW 201913749 A TW201913749 A TW 201913749A TW 106135472 A TW106135472 A TW 106135472A TW 106135472 A TW106135472 A TW 106135472A TW 201913749 A TW201913749 A TW 201913749A
Authority
TW
Taiwan
Prior art keywords
fin
gate dielectric
gate
recess
forming
Prior art date
Application number
TW106135472A
Other languages
English (en)
Other versions
TWI662602B (zh
Inventor
張銘慶
林毓超
楊寶如
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201913749A publication Critical patent/TW201913749A/zh
Application granted granted Critical
Publication of TWI662602B publication Critical patent/TWI662602B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一方法包含移除第一鰭上方的虛設閘極結構的第一部分,同時保留第二鰭上方的虛設閘極結構的第二部分,其中移除第一部分形成第一凹口暴露出第一鰭,在第一凹口和第一鰭上方形成第一閘極介電材料,以及移除第二鰭上方的虛設閘極結構的第二部分,其中移除第二部分形成第二凹口暴露出第二鰭。此方法更包含在第二凹口中和第二鰭上方形成第二閘極介電材料,第二閘極介電材料接觸第一閘極介電材料,以及以導電材料填充第一凹口和第二凹口。

Description

半導體裝置及其形成方法
本發明實施例係有關於半導體技術,且特別是有關於具有鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)的半導體裝置及其形成方法。
由於各種電子組件(例如電晶體、二極體、電阻、電容等)在集成密度的持續改善,半導體工業已經歷了快速成長。在大多數情況下,集成密度的改善來自最小部件(feature)尺寸的持續縮減,使得更多的組件集成於既定面積中。
鰭式場效電晶體(FinFET)裝置越來越常用於在積體電路中。鰭式場效電晶體裝置為包括從基底突出之半導體鰭的三維結構。被配置以控制鰭式場效電晶體裝置的導電通道區中的電荷載子流動的閘極結構包覆半導體鰭。舉例來說,在三閘極鰭式場效電晶體裝置中,閘極結構包覆半導體鰭的三側,進而在半導體鰭的三側上形成導電通道。
在一些實施例中,提供一種半導體裝置的形成方法,此方法包含移除第一鰭上方的虛設閘極結構的第一部分,同時保留第二鰭上方的虛設閘極結構的第二部分,其中移除第 一部分形成第一凹口暴露出第一鰭;在第一凹口和第一鰭上方形成第一閘極介電材料;移除第二鰭上方的虛設閘極結構的第二部分,其中移除第二部分形成第二凹口暴露出第二鰭;在第二凹口中和第二鰭上方形成第二閘極介電材料,第二閘極介電材料接觸第一閘極介電材料;以及以導電材料填充第一凹口和第二凹口。
在一些其他實施例中,提供一種半導體裝置的形成方法,此方法包含在基底上方的第一區中形成第一鰭;在基底上方的第二區中形成第二鰭,第二鰭與第一鰭相鄰;在第一鰭和第二鰭上方形成第一閘極結構;移除第一區中的第一閘極結構的第一部分以形成第一凹口;形成襯墊在第一凹口的側壁和底部的第一閘極介電材料;在第一凹口中和第一閘極介電材料上方沉積第一材料;移除第二區中的第一閘極結構的餘留部分以形成第二凹口,第二凹口暴露出第一閘極介電材料的第一部分;形成襯墊在第二凹口的側壁和底部的第二閘極介電材料;在第二凹口中和第二閘極介電材料上方沉積第二材料;分別從第一凹口和第二凹口移除第一材料和第二材料;以及以導電材料填充第一凹口和第二凹口,以分別形成第一閘極電極和第二閘極電極。
在另外一些實施例中,提供一種半導體裝置,半導體裝置包含第一鰭,位於基底上方;第二鰭,位於基底上方並與第一鰭相鄰;第一閘極結構,位於第一鰭上方,第一閘極結構包含第一閘極介電層,位於第一鰭上方;及第一閘極電極,位於第一閘極介電層上方;以及第二閘極結構,位於第二 鰭上方,第二閘極結構包含第二閘極介電層,位於第二鰭上方;及第二閘極電極,位於第二閘極介電層上方,其中沿第一閘極電極的第一側壁延伸的第一閘極介電層的第一部分接觸沿第二閘極電極的第二側壁延伸的第二閘極介電層的第二部分。
30‧‧‧鰭式場效電晶體
32、50‧‧‧基底
34、62‧‧‧隔離區
36、64A、64B‧‧‧鰭
38、66‧‧‧閘極介電質
40、88A、88B‧‧‧閘極電極
42、44、80、80A、80B‧‧‧源極/汲極區
52‧‧‧墊氧化物層
56‧‧‧墊氮化物層
58‧‧‧圖案化遮罩
61‧‧‧溝槽
64‧‧‧半導體鰭
65‧‧‧輕摻雜汲極區
68‧‧‧閘極
70‧‧‧遮罩
71‧‧‧感光層
72‧‧‧第一閘極間隙壁
73‧‧‧硬遮罩層
75‧‧‧虛設閘極結構
77、77’、79、79’‧‧‧凹口
81、81’‧‧‧閘極介電材料
81S、81’S‧‧‧側壁部分
83、83’‧‧‧覆蓋層
84A、84B‧‧‧閘極結構
85、85’、104‧‧‧阻障層
86‧‧‧第二閘極間隙壁
86’‧‧‧間隙壁
87‧‧‧閘極間隙壁
88、110‧‧‧導電材料
89、89’‧‧‧犧牲材料
90‧‧‧第一層間介電質
95‧‧‧第二層間介電質
100‧‧‧鰭式場效電晶體裝置
102‧‧‧閘極接點
109‧‧‧晶種層
200、300、410‧‧‧區域
1000‧‧‧方法
1010、1020、1030、1040、1050‧‧‧步驟
D1‧‧‧厚度
D2、D3‧‧‧距離
根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件(feature)並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。
第1圖顯示依據一些實施例之鰭式場效電晶體裝置的透視圖。
第1-6、7A、7B、7C、7D以及8-21圖顯示依據一些實施例之製造鰭式場效電晶體裝置的各種階段的剖面示意圖。
第22圖顯示依據一些實施例之製造半導體裝置的方法的流程圖。
要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,以下的揭露內容敘述了將一第一部件形成於一第二部件之上或上方,即表示其包含了所形成的上述第一部件與上述第二部件是直接接觸的實施例,亦包含了尚可將附加的部件形成於上述第一部件與上述 第二部件之間,而使上述第一部件與上述第二部件可能未直接接觸的實施例。
再者,為了方便描述圖式中一元件或部件與另一(複數)元件或(複數)部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語也涵蓋裝置在使用或操作中的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
本發明實施例在形成鰭式場效電晶體裝置的上下文中進行討論,特別是在形成鰭式場效電晶體裝置的取代閘極的上下文中進行討論。依據一些實施例,在第一鰭和第二鰭上方的虛設(dummy)閘極由第一鰭上方的第一閘極結構和第二鰭上方的第二閘極結構取代。第一閘極結構接觸第二閘極結構,其中第一閘極結構的閘極介電材料和第二閘極結構的閘極介電材料設置於兩閘極結構之間並在兩閘極結構之間形成絕緣區。透過由閘極介電材料形成的絕緣區,實現了小的鰭至鰭間距(fin-to-fin pitch)。
第1圖以透視圖顯示鰭式場效電晶體30的一個範例。鰭式場效電晶體30包含具有鰭36的基底32。基底32具有隔離區34形成於其上,且鰭36突出於相鄰的隔離區34之上及相鄰的隔離區34之間。閘極介電質38沿鰭36的側壁和頂表面延伸,且閘極電極40在閘極介電質38上方。源極/汲極區42和44在鰭中之閘極介電質38和閘極電極40的相對側上。第1圖更顯示後 面圖中使用的參考剖面。剖面B-B沿鰭式場效電晶體30的閘極電極40的縱軸延伸。剖面A-A垂直於剖面B-B且沿鰭36的縱軸延伸,且舉例來說,在源極/汲極區42和44之間的電流方向。剖面C-C平行於剖面B-B且橫跨源極/汲極區42。為了清楚起見,後續圖式參考這些參考剖面。
第2-21圖為依據一實施例之製造鰭式場效電晶體裝置100的各種階段的剖面示意圖。鰭式場效電晶體裝置100相似於第1圖的鰭式場效電晶體30,除了鰭式場效電晶體裝置100有多個鰭。第2-5圖顯示沿剖面B-B之鰭式場效電晶體裝置100的剖面示意圖。第6和7A圖顯示沿剖面A-A之鰭式場效電晶體裝置100的剖面示意圖,第7B圖顯示沿剖面B-B之鰭式場效電晶體裝置100的剖面示意圖,第7C和7D圖顯示沿剖面C-C之鰭式場效電晶體裝置100的剖面示意圖。第8-21圖顯示沿剖面B-B之鰭式場效電晶體裝置100的剖面示意圖。
第2圖顯示基底50的剖面示意圖。基底50可為半導體基底,例如塊狀(bulk)半導體、絕緣層上覆半導體(semiconductor on insulator,SOI)基底或類似基底,基底50可被摻雜(例如p型或n型摻雜物)或未摻雜。基底50可為晶圓,例如矽晶圓。一般來說,絕緣層上覆半導體基底包含形成於絕緣層上的半導體材料層。舉例來說,絕緣層可為埋置氧化物(buried oxide,BOX)層、氧化矽層或類似材料。在基底上提供絕緣層,一般為矽基底或玻璃基底。也可使用例如多層基底或梯度(gradient)基底的其他基底。在一些實施例中,基底50的材料可包含矽、鍺、包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷 化銦及/或銻化銦的化合物半導體、包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP的合金半導體或前述之組合。
如第2圖所示,基底50包含第一部分在區域200以及第二部分在區域300。在區域200中的基底50的第一部分可用以形成P型裝置,例如P型金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field-effect transistors,MOSFETs),且在區域300中的基底50的第二部分可用以形成N型裝置,例如N型金屬氧化物半導體場效電晶體。因此,在一些實施例中,區域200可被稱為P型金屬氧化物半導體(PMOS)區域,而區域300可被稱為N型金屬氧化物半導體(NMOS)區域。在其他實施例中,區域200和區域300皆為P型金屬氧化物半導體區域或N型金屬氧化物半導體區域。
請參照第3圖,舉例來說,使用光微影和蝕刻技術將第2圖所示的基底50圖案化。舉例來說,遮罩層(例如墊氧化物層52和覆蓋墊氧化物層52的墊氮化物層56)形成於基底50上方。墊氧化物層52可為例如透過使用熱氧化製程形成之包括氧化矽的薄膜。墊氧化物層52可作為基底50與墊氮化物層56之間的黏著層,且可作為蝕刻墊氮化物層56的蝕刻停止層。在一些實施例中,舉例來說,墊氮化物層56由氮化矽、氮氧化矽、碳化矽、氮碳化矽、類似材料或前述之組合形成,且可透過使用低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)或電漿增強化學氣相沉積(plasma enhanced chemical Vapor deposition,PECVD)形成。
遮罩層可透過使用光微影技術形成。一般來說,光微影技術使用光阻材料(未顯示),此光阻材料經沉積、照射(曝光)及顯影以移除光阻材料的一部分。餘留的光阻材料保護下方材料(在此範例中例如遮罩層)不受後續製程步驟(例如蝕刻)影響。在此範例中,使用光阻材料將墊氧化物層52和墊氮化物層56圖案化,以形成圖案化遮罩58,如第3圖所示。
後續使用圖案化遮罩58將基底50的一部分圖案化以形成溝槽61,進而在相鄰溝槽61之間定義出半導體鰭64(有時簡稱為鰭)(例如區域200中的鰭64A以及區域300中的鰭64B),如第3圖所示。在一些實施例中,半導體鰭64舉例來說透過使用反應性離子蝕刻(reactive ion etch,RIE)、中子束蝕刻(neutral beam etch,NBE)、類似製程或前述之組合來蝕刻基底50中的溝槽而形成。此蝕刻可為非等向性(anisotropic)。在一些實施例中,溝槽61可為彼此平行的條狀(從上視角度觀之),且彼此緊密間隔開。在一些實施例中,溝槽61可為連續的並圍繞半導體鰭64。
半導體鰭64可透過任何合適的方法圖案化。舉例來說,半導體鰭64透過使用包含雙重圖案化或多重圖案化製程的一個或多個光微影製程圖案化。一般來說,雙重圖案化或多重圖案化製程結合光微影和自對準製程,以創造具有較小間距的圖案,舉例來說,此圖案具有比使用單一直接光微影製程可獲得的間距小的圖案。舉例來說,在一實施例中,犧牲層形成於基底上方並透過使用光微影製程圖案化。間隔物透過使用自對準製程形成於圖案化犧牲層旁邊。接著,移除犧牲層,且可 接著使用餘留的間隔物或心軸(mandrel)將鰭圖案化。
第4圖顯示在相鄰半導體鰭64之間形成絕緣材料,以形成隔離區62(有時被稱為淺溝槽隔離區)。隔離材料可為氧化物(例如氧化矽)、氮化物、類似材料或前述之組合,且可透過高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、流動式化學氣相沉積(flowable CVD,FCVD)(在遠端電漿系統中沉積以化學氣相沉積為基礎的材料,之後固化將其轉變為另一材料,例如氧化物)、類似製程或前述之組合形成。可使用其他絕緣材料及/或其他形成製程。在顯示的實施例中,絕緣材料為透過流動式化學氣相沉積製程形成的氧化矽。當形成了絕緣材料之後,可實施退火製程。平坦化製程,例如化學機械研磨(chemical mechanical polish,CMP),可移除任何多餘的絕緣材料並形成共平面(未顯示)之隔離區62的頂表面與半導體鰭64的頂表面。圖案化遮罩58(參照第3圖)也可透過平坦化製程移除。
在一些實施例中,隔離區62包含襯墊(例如襯墊氧化物(未顯示))在隔離區62與基底50/半導體鰭64之間的界面。在一些實施例中,形成襯墊氧化物以減少基底50與隔離區62之間的界面的晶體缺陷。相似地,襯墊氧化物也可用以減少半導體鰭64與隔離區62之間的界面的晶體缺陷。襯墊氧化物(例如氧化矽)可為透過基底50的表面層經熱氧化形成的熱氧化物,但是也可使用其他合適的方法形成襯墊氧化物。
接著,將隔離區62凹陷以形成淺溝槽隔離(shallow trench isolation,STI)區。將隔離區62凹陷使得半導體鰭64的 上部從相鄰的淺溝槽隔離區62之間突出。隔離區62的頂表面可具有平坦表面(如圖所示)、凸面、凹面(例如凹陷)或前述之組合。隔離區62的頂表面可透過合適的蝕刻形成平坦表面、凸面及/或凹面。隔離區62可使用合適的蝕刻製程凹陷,例如對隔離區62的材料有選擇性的蝕刻製程。舉例來說,使用CERTAS®蝕刻的化學氧化物移除或可使用Applied Materials SICONI工具或稀釋氫氟酸(dilute hydrofluoric,DHF)。
第2-4圖顯示形成半導體鰭64的實施例,但是可在各種不同的製程中形成鰭。在一範例中,可在基底頂表面上方形成介電層,可蝕刻出穿過介電層的溝槽,可在溝槽中磊晶成長同質磊晶結構,且可將介電層凹陷使得同質磊晶結構從介電層突出形成鰭。在另一範例中,可使用異質磊晶結構形成鰭。舉例來說,可將半導體鰭凹陷,且可在凹陷的地方磊晶成長不同於半導體鰭的材料。
在另一範例中,在基底的頂表面上方形成介電層,蝕刻出穿過介電層的溝槽,使用不同於基底的材料在溝槽中磊晶成長異質磊晶結構,且將介電層凹陷,使得異質磊晶結構從介電層突出以形成鰭。
在磊晶成長同質磊晶結構或異質磊晶結構的一些實施例中,成長材料可在成長期間原位(in situ)摻雜,其可省去之前和後續的佈植,但是也可一起使用同位摻雜和佈植摻雜。再者,在N型金屬氧化物半導體區域中磊晶成長不同於P型金屬氧化物半導體區域中的材料可為有利的。在各種實施例中,鰭可包括矽鍺(SixGe1-x,其中x可在0與1之間)、碳化矽、 純鍺或大致純鍺、第III-V族化合物半導體、第II-VI族化合物半導體或類似材料。舉例來說,用以形成第III-V族化合物半導體的可用材料包含InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP及類似材料,但不限於此。
第5圖顯示虛設閘極結構75形成於半導體鰭64上方。在一些實施例中,虛設閘極結構75包含閘極介電質66和閘極68。遮罩70可形成於虛設閘極結構75上方。為了形成虛設閘極結構75,介電層形成於半導體鰭64上。舉例來說,介電層可為氧化矽、氮化矽、前述之多層或類似材料,且可依據合適技術沉積或熱成長此介電層。
閘極層形成於介電層上方,且遮罩層形成於閘極層上方。閘極層可沉積於介電層上方接著透過化學機械研磨平坦化。遮罩層可沉積於閘極層上方。舉例來說,閘極層可由多晶矽形成,但是也可使用其他材料。在一些實施例中,閘極層可包含含金屬材料,例如TiN、TaN、TaC、Co、Ru、Al、前述之組合或前述之多層。舉例來說,遮罩層可由氮化矽或類似材料形成。
在形成這些層(例如介電層、閘極層和遮罩層)之後,可使用合適的光微影和蝕刻技術將遮罩層圖案化,以形成遮罩70。接著,可透過合適的蝕刻技術將遮罩70的圖案轉移至閘極層和介電層,以分別形成閘極68和閘極介電質66。閘極68和閘極介電質66覆蓋半導體鰭64各自的通道區。閘極68也可具有長度方向大致垂直於各自半導體鰭64的長度方向。
如第5圖所示的範例,閘極介電質66形成於半導體 鰭64的頂表面和側壁上方。在其他實施例中,閘極介電質66可形成於半導體鰭64上方和隔離區62上方,例如閘極介電質66可連續地從鰭64A延伸至鰭64B。這些變化和其他變化完全包含在本發明實施例的範圍中。
第6和7A圖顯示沿剖面A-A(沿半導體鰭64的縱軸)的鰭式場效電晶體裝置100的進一步加工的剖面示意圖。在一些實施例中,第6和7A圖顯示的加工實施在區域200(例如P型金屬氧化物半導體區域)和區域300(例如N型金屬氧化物半導體區域)。因此,第6和7A圖顯示沿鰭64A或64B的剖面A-A的一個剖面示意圖(而非沿鰭64A的剖面A-A和沿鰭64B的剖面A-A的兩個剖面示意圖)。
如第6圖所示,輕摻雜汲極(lightly doped drain,LDD)區65形成於鰭64中。輕摻雜汲極區65可透過電漿摻雜製程形成。電漿摻雜製程可包含形成及圖案化遮罩(例如光阻),以覆蓋鰭式場效電晶體要被保護的區域免受電漿摻雜製程影響。電漿摻雜製程可在半導體鰭64中植入N型或P型雜質,以形成輕摻雜汲極區65。舉例來說,可在鰭64A中植入P型雜質(例如硼)以形成用於P型裝置的輕摻雜汲極區65,且可在鰭64B中植入N型雜質(例如磷)以形成用於N型裝置的輕摻雜汲極區65。在一些實施例中,輕摻雜汲極區65鄰接鰭式場效電晶體裝置100的通道區。輕摻雜汲極區65的一部分可延伸至閘極68下方並延伸進入鰭式場效電晶體裝置100的通道區。第6圖顯示輕摻雜汲極區65的一個非限制性範例。輕摻雜汲極區65的其他配置、形狀和形成方法也可能並完全包含在本發明實施例的範圍 中。舉例來說,輕摻雜汲極區65可在第一閘極間隙壁72形成之後形成。
再參照第6圖,在形成輕摻雜汲極區65之後,閘極間隙壁87形成於閘極結構上。閘極間隙壁87可包含第一閘極間隙壁72和第二閘極間隙壁86。在第6圖的範例中,第一閘極間隙壁72形成於閘極68的相對側壁上以及閘極介電質66的相對側壁上。如第6圖所示,第二閘極間隙壁86形成於第一閘極間隙壁72上。第一閘極間隙壁72可由氮化物形成,例如氮化矽、氮氧化矽、碳化矽、氮碳化矽、類似材料或前述之組合,且可透過使用例如熱氧化、化學氣象沉積或其他合適的沉積製程形成。第二閘極間隙壁86可由氮化矽、SiCN、前述之組合或類似材料使用合適的沉積方法形成。
在例示性的實施例中,閘極間隙壁87透過在鰭式場效電晶體裝置100上方順應性沉積第一閘極間隔層,接著在第一閘極間隔層上方順應性沉積第二閘極間隔層而形成。接著,實施非等向性蝕刻製程(例如乾蝕刻製程)以移除設置於鰭式場效電晶體裝置100的上表面的第二閘極間隔層的第一部分,同時保留設置於沿閘極結構的側壁上的第二閘極間隔層的第二部分。在非等向性蝕刻製程之後餘留的第二閘極間隔層的第二部分形成第二閘極間隙壁86。非等向性蝕刻製程也移除設置於第二閘極間隙壁86的側壁之外的第一閘極間隔層的一部分,且第一閘極間隔層的餘留部分形成第一閘極間隙壁72。
第6圖所示之第一閘極間隙壁72和第二閘極間隙壁86的形狀及形成方法僅為非限定的範例,且可能有其他形狀 及形成方法。舉例來說,第二閘極間隙壁86可在源極/汲極區80(請參照第7A圖)形成之後形成。這些變化和其他變化完全包含在本發明實施例的範圍中。
接著,請參照第7A圖,形成源極/汲極區80(有時也被稱為磊晶源極/汲極區)。源極/汲極區80透過蝕刻半導體鰭64形成凹口,並使用合適的方法(例如金屬有機化學氣相沉積(metal-orgain CVD,MOCVD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性磊晶成長(selective epitaxial growth,SEG)、類似方法或前述之組合)在凹口中磊晶成長材料而形成。
如第7A圖所示,源極/汲極區80可具有從半導體鰭64各自的表面凸起的表面(例如凸起至半導體鰭64非凹陷的部分上方),且具有多面(facets)。相鄰鰭64的源極/汲極區80可合併形成連續的源極/汲極區(請參照第7C圖)。在一些實施例中,相鄰鰭64的源極/汲極區80沒有合併在一起並維持為分開的源極/汲極區(請參照第7D圖)。在一些實施例中,在區域300(例如N型金屬氧化物半導體區域)中的最終的鰭式場效電晶體為n型鰭式場效電晶體,且鰭64B的源極/汲極區80包括碳化矽(SiC)、磷化矽(SiP)、磷摻雜矽碳(SiCP)或類似物。在一些實施例中,在區域200(例如P型金屬氧化物半導體區域)中的最終的鰭式場效電晶體為p型鰭式場效電晶體,且鰭64A的源極/汲極區80包括SiGe,且p型雜質例如硼或銦。
源極/汲極區80可植入摻雜物,接著進行退火製 程。佈植製程可包含形成及圖案化遮罩(例如光阻),以覆蓋鰭式場效電晶體要被保護的區域免受佈植製程影響。源極/汲極區80可具有從約1*1019cm-3至約1*1021cm-3的雜質(例如摻雜物)濃度,可植入例如硼或銦於P型電晶體(例如在區域200中)的源極/汲極區80中。可植入N型雜質(例如磷或砷)於N型電晶體(例如在區域300中)的源極/汲極區80中。在一些實施例中,磊晶源極/汲極區可在成長期間原位摻雜。
如第7A圖所示,第一層間介電質(interlayer dielectric,ILD)90形成於源極/汲極區80、半導體鰭64及虛設閘極結構75上方。在一些實施例中,第一層間介電質90由介電材料形成,例如磷矽玻璃(phosphosilicate glass,PSG)、硼矽玻璃(borosilicate glass,BSG)、摻雜硼的磷矽玻璃(boron-doped phosphosilicate glass,BPSG)、未摻雜矽玻璃(undoped silicate glass,USG)或類似物,且可透過任何合適的方法沉積,例如化學氣相沉積、電漿增強化學氣相沉積或流動式化學氣相沉積。可實施平坦化製程(例如化學機械研磨製程)來移除遮罩70,並將第一層間介電質90的頂表面平坦化,使得第一層間介電質90的頂表面與閘極68的頂表面齊平。
第7B圖顯示第7A圖所示的鰭式場效電晶體裝置100的剖面示意圖,不過是沿剖面B-B的剖面示意圖。如第7B圖所示,閘極68設置於鰭64A和鰭64B上方,並從在區域200(例如P型金屬氧化物半導體區域)中的鰭64A連續地延伸至區域300(例如N型金屬氧化物半導體區域)中的鰭64B。雖然未顯示於第7B圖,閘極間隙壁87(請參照第6圖)可形成於閘極68與第 一層間介電質90之間。在一實施例中,隨後實施閘極後製(gate-last)製程(有時也被稱為取代閘極製程)以使用主動閘極和主動閘極介電材料取代閘極68和閘極介電質66。因此,在閘極後製製程中,將閘極68和閘極介電質66視為虛設閘極結構。在閘極後製製程的實施例中,當完成之後,以彼此接觸的兩個閘極結構取代虛設閘極結構。以下參照第8-21圖描述閘極後製製程的實施例的細節。
第7C圖顯示依據一實施例之第7A圖所示的鰭式場效電晶體裝置100的剖面示意圖,不過是沿剖面C-C的剖面示意圖。在第7C圖的範例中,在鰭64A上方的源極/汲極區80A與在鰭64B上方的源極/汲極區80B合併形成在鰭64A和64B上方之連續的源極/汲極區80。第7C圖也顯示間隙壁86’在源極/汲極區80A/80B的相對側壁上,其中間隙壁86’可由相同於第二閘極間隙壁86的材料形成。
第7D圖顯示依據另一實施例之第7A圖所示的鰭式場效電晶體裝置100的剖面示意圖,不過是沿剖面C-C的剖面示意圖。在第7D圖的範例中,在鰭64A上方的源極/汲極區80A與在鰭64B上方的源極/汲極區80B分開(因此彼此不合併)。第7D圖也顯示間隙壁86’在源極/汲極區80A/80B的相對側壁上,其中間隙壁86’可由相同於第二閘極間隙壁86的材料形成。
第8-21圖顯示依據一些實施例之沿剖面B-B的鰭式場效電晶體裝置100在進一步加工期間的剖面示意圖。請參照第8圖,硬遮罩層73形成於閘極68和第一層間介電質90上方。硬遮罩層73可包括氧化矽、氮化矽、氮碳化矽或類似材料, 且可透過物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積(CVD)、原子層沉積(atomic layer deposition,ALD)或其他合適的沉積方法形成。接著,感光層71(例如光阻)形成於硬遮罩層73上方。機著,例如使用光微影及/或蝕刻技術將感光層71圖案化,以暴露出在區域200上方的硬遮罩層73的一部分,同時覆蓋在區域300上方的硬遮罩層73的一部分,如第8圖所示。
接著,在第9圖中,使用合適的製程(例如非等向性蝕刻製程)將圖案化的感光層71的圖案轉移至硬遮罩層73。接著,使用例如灰化製程或任何合適的移除製程移除感光層71。使用圖案化的硬遮罩層73以遮蔽區域300中的虛設閘極結構的一部分(例如閘極68和閘極介電質66)免受後續蝕刻製程影響。
接著,移除區域200中的閘極68的一部分,以形成凹口77,其中凹口77暴露出閘極介電質66和鰭64A。在一些實施例中,透過非等向性蝕刻製程(例如電漿蝕刻製程)移除區域200中的閘極68。在一例示性的實施例中,實施非等向性蝕刻製程(例如電漿蝕刻製程)為兩步驟製程,其包含使用第一蝕刻氣體的第一蝕刻步驟,接著使用不同於低蝕刻氣體之第二蝕刻氣體的第二蝕刻步驟。舉例來說,可使用包括HBr和NF3的第一蝕刻氣體實施第一蝕刻步驟,而使用包括Cl2和O2的第二蝕刻氣體實施第二蝕刻步驟。在一些實施例中,第一蝕刻步驟用以控制凹口77的垂直輪廓以及更加控制鰭式場效電晶體裝置100的臨界尺寸(critical dimension,CD),而第二蝕刻步驟提供閘極68的材料與閘極68之下方層的材料之間的蝕刻選擇性。
在一些實施例中,在第一蝕刻步驟期間,HBr的流速在從約100每分鐘標準立方公分(standard cubic centimeter per minute,sccm)至約1000sccm的範圍內,而NF3的流速在從約100sccm至約1000sccm的範圍內。第一蝕刻步驟的溫度在從約20℃至約100℃的範圍內,可實施第一蝕刻步驟以移除區域200中的閘極68的上半部,且接著可實施第二蝕刻步驟以移除區域200中的閘極68餘留的下半部。
在一些實施例中,在第二蝕刻步驟期間,Cl2的流速在從約100sccm至約1000sccm的範圍內,O2的流速在從約100sccm至約1000sccm的範圍內。第二蝕刻步驟的溫度在從約20℃至約100℃的範圍內。如第9圖所示,在第二蝕刻步驟之後移除在區域200中的閘極68,且凹口77暴露出鰭64A上方的隔離區62和閘極介電質66。
請參照第10圖,使用例如乾蝕刻、濕蝕刻或任何合適的移除製程移除在鰭64A上方的閘極介電質66(例如氧化矽)。在一些實施例中,透過使用HF作為蝕刻劑的化學蝕刻製程移除在鰭64A上方的閘極介電質66。如第10圖所示,透過凹口77暴露出鰭64A的上表面和側壁。
接著,請參照第11圖,閘極介電材料81順應性地形成於凹口77中、第一層間介電質90的上表面上方以及硬遮罩層73的上表面上方。如第11圖所示,閘極介電材料81襯墊在凹口77(請參照第10圖)的底部和側壁。閘極介電材料81也襯墊在半導體鰭64的上表面和側壁以及隔離區62的上表面。閘極介電材料81可包含氧化矽。氧化矽可由合適的氧化及/或沉積方法 形成。在一些實施例中,閘極介電材料81包含高介電常數(high-k)介電材料,例如氧化鉿(HfO2)。或者,高介電常數介電材料可選擇性地包含其他高介電常數介電質,例如TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、前述之組合或其他合適的材料。高介電常數介電材料可由原子層沉積、物理氣相沉積、化學氣相沉積或其他合適的方法形成。
如第11圖所示,覆蓋層83順應性地形成於閘極介電材料81上方。覆蓋層83可作為閘極介電材料81的保護層。在一些實施例中,覆蓋層83包括TiN、TiSiN、TaN、LaO、TiAl、TaAl、TiAlC或TaAlC,且由原子層沉積、物理氣相沉積、化學氣相沉積或其他合適的方法形成。
接著,阻障層85順應性地形成於覆蓋層83上方。阻障層85可防止或減少後續形成的閘極電極(請參照第19圖的閘極電極88A和88B)的材料向外擴散。阻障層85也可作為控制後續蝕刻製程的蝕刻停止層。阻障層85可包括導電材料(例如氮化鈦),但是也可使用其他材料,例如氮化鉭、鈦、鉭或類似材料。阻障層85可透過使用化學氣相沉積製程形成,例如電漿增強化學氣相沉積(PECVD)。然而,也可使用其他替代製程,例如濺鍍或金屬有機化學氣相沉積(MOCVD)、原子層沉積。在另一實施例中,覆蓋層83包括氮化鈦(TiN),而阻障層85包括氮化鉭(TaN)。
接著,犧牲材料89形成於凹口77中及阻障層85上方。如第11圖所示,犧牲材料89可填充及過填充凹口77。犧牲材料89可為提供其下方層(例如阻障層85)蝕刻選擇性的任何合 適材料,且可透過蝕刻製程輕易地移除。在一些實施例中,犧牲材料89包括金屬。在一例示性的實施例中,犧牲材料89包括合金,例如鋁和銅的合金(例如AlCu),且可透過物理氣相沉積、化學氣相沉積、電鍍或任何合適的沉積方法形成。除了AlCu之外,也可使用其他合適的材料(例如鎢(W)或有機底部抗反射塗層(bottom anti-reflective coating,BARC)材料)作為犧牲材料89。
接著,在第12圖中,實施平坦化製程(例如化學機械研磨)以移除在凹口77之外的犧牲材料89的多餘部分。平坦化製程也移除(例如區域300中的)硬遮罩層73以及在第一層間介電質90的上表面上方的閘極介電材料81/覆蓋層83/阻障層85的部分。在平坦化製程之後,暴露出區域300中的鰭64B上方的閘極68。在第12圖顯示的範例中,在平坦化製程之後,在此加工的階段中,由於區域200中的第一層間介電質90的上表面低於在區域300中的第一層間介電質90的上表面,因此保留在區域200中之第一層間介電質90的上表面上方的閘極介電材料81/覆蓋層83/阻障層85的部分。
請參照第13圖,移除在鰭64B上方的閘極68的部分(例如在區域300中的閘極68的部分)。在一些實施例中,實施等向性蝕刻製程來移除閘極68。在一例示性的實施例中,等向性蝕刻製程的蝕刻劑對閘極68的材料有選擇性(例如對閘極68的材料具有相對於其他曝光材料較高的蝕刻速率),因此在等向性蝕刻製程期間,不須遮罩覆蓋形成於區域200中的部件。舉例來說,可使用NH4OH實施濕蝕刻以移除閘極68。也可使用其 他合適的蝕刻製程,例如乾蝕刻。在移除第13圖中的鰭64B上方的閘極68的部分之後,形成凹口79,其中凹口79暴露出閘極介電材料81的側壁部分81S以及鰭64B上的閘極介電質66,其中在移除鰭64B上方的閘極68的部分之前,側壁部分81S接觸鰭64B上方的閘極68的部分。
接著,在第14圖中,例如使用乾蝕刻、濕蝕刻或任何合適的移除製程移除鰭64B上方的閘極介電質66。在一些實施例中,鰭64B上方的閘極介電質66透過使用HF作為蝕刻劑的化學蝕刻製程移除。如第14圖所示,透過凹口79暴露出鰭64B的上表面和側壁。
在第15圖中,閘極介電材料81’、覆蓋層83’和阻障層85’連續地形成於第14圖所示的鰭式場效電晶體裝置100上方,且形成犧牲材料89’以填充並過填充凹口79。如第15圖所示,閘極介電材料81’、覆蓋層83’和阻障層85’順應其各自的下方層。閘極介電材料81’、覆蓋層83’、阻障層85’和犧牲材料89’的材料及形成方法分別類似於閘極介電材料81、覆蓋層83、阻障層85和犧牲材料89的材料及形成方法,但是在一些實施例中,特定層(例如閘極介電材料81’)的材料可針對將形成於區域300中的裝置的類型(例如N型裝置)進行調整,因此可不同於區域200中對應的材料。
如第15圖所示,閘極介電材料81’物理接觸閘極介電材料81並沿閘極介電材料81的側壁部分81S延伸。因此,在區域200與區域300之間的邊界,閘極介電材料81和閘極介電材料81’形成絕緣區,其中絕緣區隔開後續形成的閘極電極 88A(請參照第19圖)和後續形成的閘極電極88B(請參照第19圖)。在一些實施例中,其中閘極介電材料81和閘極介電材料81’包括相同的材料(例如相同的高介電常數介電材料),此相同的材料連續地從鰭64A延伸至鰭64B。
由於閘極介電材料81’/覆蓋層83’/阻障層85’順應性地形成於犧牲材料89的上表面上方,閘極介電材料81/覆蓋層83/阻障層85的層堆疊以及閘極介電材料81’/覆蓋層83’/阻障層85’的層堆疊的組合圍繞犧牲材料89。舉例來說,犧牲材料89沿水平方向和垂直方向設置於閘極介電材料81/覆蓋層83/阻障層85與閘極介電材料81’/覆蓋層83’/阻障層85’之間,如第15圖所示。
接著,如第16圖所示,實施平坦化製程(例如化學機械研磨)移除第一層間介電質90的上表面上方沉積層(例如閘極介電材料81/覆蓋層83/阻障層85和犧牲材料89、閘極介電材料81’/覆蓋層83’/阻障層85’和犧牲材料89’)的部分。在平坦化製程之後,犧牲材料89、犧牲材料89’以及第一層間介電質90具有共平面的上表面。如第16圖所示,閘極介電材料81’的側壁部分81’S沿閘極介電材料81的側壁部分81S延伸並接觸閘極介電材料81的側壁部分81S。
請參照第17圖,移除犧牲材料89和89’,以形成凹口77’和79’。在一些實施例中,實施等向性蝕刻(例如濕清潔)以移除犧牲材料89和89’。等向性蝕刻可選擇性地移除犧牲材料89和89’。舉例來說,可實施使用氫氟酸(HF)、稀釋三硼酸乙二胺四乙酸(tris-borate-ethylene diamine tetraacetic acid, TBE)或其他合適的蝕刻劑的濕清潔來移除犧牲材料89和89’。
接著,請參照第18圖,形成導電材料88以填充凹口77’和79’來形成鰭式場效電晶體裝置100的閘極結構。導電材料88可形成於阻障層85/85’上方的晶種層(未顯示)之上。晶種層可包含銅(Cu)、鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN)、類似物或前述之組合,且可透過原子層沉積(ALD)、濺鍍、物理氣相沉積(PVD)或類似技術沉積。導電材料88可包括鎢,但是也可替代地使用鋁、銅、釕、銀、金、銠、鉬、鎳、鈷、鎘、鋅、前述之合金、前述之組合和類似材料。導電材料88可透過電鍍、物理氣相沉積、化學氣相沉積或任何合適的沉積方法形成。
雖然未顯示於第18圖,在一些實施例中,不同的功函數層(例如P型功函數層和N型功函數層)可分別形成於阻障層85/85’上方的凹口77’和79’中。可形成圖案化遮罩層遮蔽一區域(例如區域300),同時形成另一區域(例如區域200)的功函數層。可包含在閘極結構中之例示性的p型功函數金屬包含TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其他合適的p型功函數材料或前述之組合。可包含在閘極結構中之例示性的n型功函數金屬包含Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合適的n型功函數材料或前述之組合。功函數值與功函數層的材料組成有關,因此,選擇功函數層的材料以調整功函數值,使得將在各自區域(例如區域200和300)中形成的裝置達到目標的臨界電壓Vt。功函數層可透過化學氣相沉積、物理氣相沉積及/或其他合適的 製程沉積。
接著,在第19圖中,實施平坦化製程(例如化學機械研磨)來移除第一層間介電質90的上表面上方導電材料88的部分。在平坦化製程之後,閘極電極88A和88B分別形成於區域200和區域300中。因此,閘極介電材料81/覆蓋層83/阻障層85的層堆疊和閘極電極88A形成區域200中的閘極結構84A,閘極介電材料81’/覆蓋層83’/阻障層85’的層堆疊和閘極電極88B形成區域300中的閘極結構84B。在一些實施例中,閘極結構84A為P型鰭式場效電晶體電晶體的閘極結構,而閘極結構84B為N型鰭式場效電晶體電晶體的閘極結構。如第19圖所示,閘極結構84A接觸閘極結構84B。特別來說,閘極結構84A的閘極介電材料81(例如側壁部分81S)接觸閘極結構84B的閘極介電材料81’(例如側壁部分81’S)。如第19圖所示,閘極結構84A和閘極結構84B透過閘極介電材料81和81’彼此絕緣。在一些實施例中,閘極結構84A和84B可透過兩個電壓(例如第一電壓和不同於第一電壓的第二電壓)獨立地控制。
如第19圖所示,閘極介電材料81的側壁部分81S沿閘極電極88A的側壁延伸。相似地,閘極介電材料81’的側壁部分81’S沿閘極電極88B的側壁延伸。側壁部分81S和側壁部分81’S沿閘極電極88A和88B的側壁方向(例如沿垂直於隔離區62的上表面的方向)量測具有相同的高度。側壁部分81S和側壁部分81’S形成將閘極電極88A與閘極電極88B絕緣的絕緣區。在第19圖顯示的範例中,絕緣區大致與沿隔離區62的上表面延伸之閘極介電材料81和81’的部分垂直。
第20圖顯示第19圖的區域410的放大示圖。如第20圖所示,閘極介電材料81和81’形成在閘極結構84A與84B之間的絕緣區。在第20圖的範例中,絕緣區的厚度D1等於閘極介電材料81和81’的厚度總合,且厚度D1在約1.5nm與約6nm之間,例如約3nm。從鰭64A至絕緣區的距離D2在從約10nm至約30nm的範圍內,例如約21.5nm,而從鰭64B至絕緣區的距離D3在從約10nm至約30nm的範圍內,例如約21.5nm。因此,透過使用閘極介電材料81和81’將閘極結構84A和84B絕緣,本發明實施例達成小的鰭至鰭間距,例如約46nm,其並未在之前透過使用現有的製造加工達成。
本發明實施例之小的鰭至鰭間距允許高集成密度並降低裝置成本。本發明實施例以自對準方式在閘極結構84A與84B之間形成絕緣區(例如閘極介電材料81和81’的一部分),不需要形成切割圖案來將跨越鰭64A/64B的閘極結構切割為分開的閘極結構。隨著先進製程技術中切割圖案的尺寸縮小,將光遮罩的圖案精準地轉移至下方的光阻越來越困難。不精準轉移的切割圖案可能導致橋接問題(例如電性短路)並導致裝置故障。此外,切割圖案的最小尺寸可能受限於光微影技術,且可能必須將鰭64A與64B之間的距離做得較大以容納切割圖案的尺寸。舉例來說,切割圖案可具有20nm的尺寸,其遠大於本發明實施例達成的3nm絕緣區。因此,本發明實施例允許以前的加工方法未達成的較高集成密度。
此外,由於不須形成切割圖案接著以用在閘極結構之間絕緣的介電材料填充切割圖案,避免了填充高深寬比孔 洞(例如切割圖案)的難度。再者,在先形成金屬閘極接著切割為兩分開閘極的製程中,用於切割製程的蝕刻製程可能留下殘留物及/或可能損壞金屬閘極。本發明實施例的方法避免金屬閘極切割製程,因此避免對金屬閘極的損壞並使形成的半導體裝置達成較好的效能(例如較小的漏電流)。
第21圖顯示第19和20圖所示的步驟之後的額外加工。在第21圖中,第二層間介電質95形成於第一層間介電質90上方。接觸開口形成穿透第二層間介電質95,以暴露出閘極結構84A和84B。包含阻障層104、晶種層109和導電材料110的閘極接點102形成於接觸開口中並電性耦接至閘極結構84A和84B。
在一實施例中,第二層間介電質95為透過流動式化學氣相沉積方法形成的可流動膜。在一些實施例中,第二層間介電質95由介電材料(例如磷矽玻璃、硼矽玻璃、摻雜硼的磷矽玻璃、未摻雜矽玻璃或類似物)形成,且可透過任何合適的方法沉積(例如化學氣相沉積和電漿增強化學氣相沉積)形成。接觸開口可透過使用光微影和蝕刻形成。阻障層104、晶種層109和導電材料110的材料及形成方法可類似於上述描述用於閘極結構84A/84B的材料及形成方法,因此不贅述於此。
可對本發明實施例作出變化和修改,且這些變化和修改完全包含在本發明實施例的範圍中。舉例來說,鰭64A顯示於區域200中,而鰭64B顯示於區域300中。然而,可在每一區域(例如區域200或300)中形成多於一鰭,且閘極結構84A及/或84B可跨越多於一鰭。舉其他範例來說,本發明實施例的 方法也可用以形成同一類型的兩個閘極結構84A和84B,例如兩個閘極結構84A和84B可皆為P型電晶體(或N型電晶體)的閘極結構。舉另一範例來說,閘極介電材料81/覆蓋層83/阻障層85的層堆疊可包括不同於閘極介電材料81’/覆蓋層83’/阻障層85’的層堆疊的材料,例如分別為P型金屬氧化物半導體區域和N型金屬氧化物半導體區域形成不同的閘極介電材料81和81’。
第22圖顯示依據一些實施例之形成閘極介電材料的方法的流程圖。應當理解的是,第22圖所示之實施例方法僅為許多可能的實施例方法的一個範例。本發明所屬技術領域者可以理解許多變化、替代和修改。舉例來說,可增加、移除、取代、重新排列和重複第22圖所示的各種步驟。
請參照第22圖,在步驟1010中,移除第一鰭上方的虛設閘極結構的第一部分,同時保留第二鰭上方的虛設閘極結構的第二部分,其中移除第一部分形成第一凹口暴露出第一鰭。在步驟1020中,在第一凹口和第一鰭上方形成第一閘極介電材料。在步驟1030中,移除第二鰭上方的虛設閘極結構的第二部分,其中移除第二部分形成第二凹口暴露出第二鰭。在步驟1040中,在第二凹口中和第二鰭上方形成第二閘極介電材料,第二閘極介電材料接觸第一閘極介電材料。在步驟1050中,以導電材料填充第一凹口和第二凹口。
本發明實施例可達成許多優點。舉例來說,本發明實施例省去形成切割圖案來將金屬閘極切割為分開的金屬閘極的需要。因此,避免了與形成切割圖案有關的問題,例如橋接問題(例如電性短路)以及填充高深寬比孔洞的難度。此 外,避免了切割金屬閘極對金屬閘極結構的汙染或損壞,其導致較好的裝置效能(例如較小的漏電流)。使用本發明實施例方法形成的多個金屬閘極結構透過閘極介電材料絕緣,因此閘極結構的尺寸和鰭至鰭間距可遠小於現有的加工方法,其允許更高的集成密度並降低製造成本。
在一實施例中,一方法包含移除第一鰭上方的虛設閘極結構的第一部分,同時保留第二鰭上方的虛設閘極結構的第二部分,其中移除第一部分形成第一凹口暴露出第一鰭;在第一凹口和第一鰭上方形成第一閘極介電材料;以及移除第二鰭上方的虛設閘極結構的第二部分,其中移除第二部分形成第二凹口暴露出第二鰭。此方法更包含在第二凹口中和第二鰭上方形成第二閘極介電材料,第二閘極介電材料接觸第一閘極介電材料;以及以導電材料填充第一凹口和第二凹口。在一實施例中,第二凹口暴露出第一閘極介電材料的至少一部分。在一實施例中,形成第二閘極介電材料的步驟包含在第二凹口中順應性形成第二閘極介電材料,其中第二閘極介電材料接觸第二凹口暴露的第一閘極介電材料的部分並沿此部分延伸。在一實施例中,移除虛設閘極結構的第一部分的步驟包含非等向性蝕刻製程,其中移除虛設閘極結構的第二部分包含等向性蝕刻製程。在一實施例中,以第一蝕刻步驟之後為第二蝕刻步驟的方式實施非等向性蝕刻製程,其中第一蝕刻步驟使用不同於第二蝕刻步驟的蝕刻劑。在一實施例中,此方法更包含在形成第一閘極介電材料之後以及在移除虛設閘極結構的第二部分之前,以第一犧牲材料填充第一凹口。在一實施例中,第一犧牲 材料包含金屬。在一實施例中,此方法更包含在形成第二閘極介電材料之後以及在以導電材料填充第一凹口和第二凹口之前,以第二犧牲材料填充第二凹口。在一實施例中,第一犧牲材料是與第二犧牲材料相同的材料。在一實施例中,此方法更包含在以導電材料填充第一凹口和第二凹口之前,分別從第一凹口和第二凹口移除第一犧牲材料和第二犧牲材料。在一實施例中,此方法更包含在移除第一犧牲材料和第二犧牲材料之前,實施平坦化製程移除第一犧牲材料的頂部和第二犧牲材料的頂部。
在一實施例中,鰭式場效電晶體(FinFET)裝置的形成方法包含在基底上方的第一區中形成第一鰭;在基底上方的第二區中形成第二鰭,第二鰭與第一鰭相鄰;在第一鰭和第二鰭上方形成第一閘極結構;以及移除第一區中的第一閘極結構的第一部分以形成第一凹口。此方法也包含形成襯墊在第一凹口的側壁和底部的第一閘極介電材料;在第一凹口中和第一閘極介電材料上方沉積第一材料;以及移除第二區中的第一閘極結構的餘留部分以形成第二凹口,第二凹口暴露出第一閘極介電材料的第一部分。此方法更包含形成襯墊在第二凹口的側壁和底部的第二閘極介電材料;在第二凹口中和第二閘極介電材料上方沉積第二材料;分別從第一凹口和第二凹口移除第一材料和第二材料;以及以導電材料填充第一凹口和第二凹口,以分別形成第一閘極電極和第二閘極電極。在一實施例中,形成第二閘極介電材料的步驟更包含沿第二凹口暴露出之第一閘極介電材料的第一部分形成第二閘極介電材料的第一部分。在 一實施例中,沉積第二材料的步驟包含在第二凹口中和第一材料上方沉積第二材料;以及實施平坦化製程以移除第二材料的頂部並暴露出第一材料。在一實施例中,形成第二閘極介電材料的步驟包含在第一閘極介電材料上方形成第二閘極介電材料,其中在形成第二閘極介電材料之後,第一材料在第一閘極介電材料與第二閘極介電材料之間。在一實施例中,第一區為P型裝置區,且第二區為N型裝置區。
在一實施例中,鰭式場效電晶體(FinFET)裝置包含第一鰭,位於基底上方;第二鰭,位於基底上方並與第一鰭相鄰;第一閘極結構,位於第一鰭上方,第一閘極結構包含第一閘極介電層,位於第一鰭上方;及第一閘極電極,位於第一閘極介電層上方。鰭式場效電晶體裝置也包含第二閘極結構,位於第二鰭上方,第二閘極結構包含第二閘極介電層,位於第二鰭上方;及第二閘極電極,位於第二閘極介電層上方,其中沿第一閘極電極的第一側壁延伸的第一閘極介電層的第一部分接觸沿第二閘極電極的第二側壁延伸的第二閘極介電層的第二部分。在一實施例中,第一閘極結構更包含覆蓋層,位於第一閘極介電層上方;以及阻障層,位於覆蓋層上方,其中阻障層位於第一閘極電極與覆蓋層之間。在一實施例中,覆蓋層包括氮化鈦(TiN),且阻障層包括氮化鉭(TaN)。在一實施例中,第一閘極介電層和第二閘極介電層包括相同的介電材料,其中相同的介電材料連續地從第一鰭延伸至第二鰭。
在一實施例中,鰭式場效電晶體(FinFET)裝置的形成方法包含在第一鰭上方和第二鰭上方形成虛設閘極結構,其 中虛設閘極結構從第一鰭連續地延伸至第二鰭且被介電層圍繞;實施第一移除製程以移除第一鰭上方的虛設閘極結構的第一部分,在第一移除製程期間,同時遮蔽在第二鰭上方的虛設閘極結構的第二部分,其中第一移除製程形成在介電層中的第一凹口;以及在第一凹口中形成第一閘極介電材料。此方法也包含在第一凹口中和第一閘極介電材料上方沉積第一犧牲材料;實施第二移除製程以移除在第二鰭上方的虛設閘極結構的第二部分,其中第二移除製程在介電層中形成第二凹口,以及在第二凹口中形成第二閘極介電材料。此方法更包含在第二凹口中和第二閘極介電材料上方沉積第二犧牲材料;移除第一犧牲材料和第二犧牲材料;以及以導電層填充第一凹口和第二凹口。在一實施例中,第一移除製程為非等向性蝕刻製程,且第二移除製程為等向性蝕刻製程。在一實施例中,第二凹口暴露出第一閘極介電材料。在一實施例中,第一犧牲材料和第二犧牲材料包括相同合金。
在一實施例中,鰭式場效電晶體(FinFET)裝置包含第一鰭,位於基底上方;第二鰭,位於基底上方並與第一鰭相鄰;第一閘極結構,位於第一鰭上方,第一閘極結構包含第一閘極電極;及第一閘極介電層,位於第一閘極電極與第一鰭之間。鰭式場效電晶體裝置也包含第二閘極結構,位於第二鰭上方,第二閘極結構包含第二閘極電極;及第二閘極介電層,位於第二閘極電極與第二鰭之間,其中第一閘極電極與第二閘極電極隔開,其中第一閘極介電層接觸第二閘極介電層。在一實施例中,第一閘極介電層具有第一部分沿第一閘極電極的側壁 延伸,且第二閘極介電層具有第一部分沿第二閘極電極的側壁延伸,其中第一閘極介電層的第一部分接觸第二閘極介電層的第一部分。在一實施例中,第一閘極介電層的第一部分和第二閘極介電層的第一部分沿第一閘極電極的側壁量測具有相同的高度。在一實施例中,第一閘極介電層具有第二部分沿第一鰭與第二鰭之間的隔離區的上表面延伸,其中第一閘極介電層的第一部分和第二閘極介電層的第一部分大致垂直於第一閘極介電層的第二部分。
在一實施例中,鰭式場效電晶體(FinFET)裝置包含第一鰭以及與第一鰭相鄰的第二鰭;第一閘極結構位於第一鰭上方且第二閘極結構位於第二鰭上方,其中第一閘極結構的第一閘極電極透過絕緣區與第二閘極結構的第二閘極電極隔開,其中絕緣區包含第一閘極結構的第一閘極介電層的一部分以及第二閘極結構的第二閘極介電層的一部分,其中第一閘極介電層在絕緣區中接觸第二閘極介電層。在一實施例中,鰭式場效電晶體裝置更包含第一源極/汲極區,位於第一鰭上方,第一源極/汲極區摻雜N型雜質;以及第二源極/汲極區,位於第二鰭上方,第二源極/汲極區摻雜P型雜質。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背 離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明進行各種改變、置換或修改。

Claims (20)

  1. 一種半導體裝置的形成方法,包括:移除一第一鰭上方的一虛設閘極結構的一第一部分,同時保留一第二鰭上方的該虛設閘極結構的一第二部分,其中移除該第一部分形成一第一凹口暴露出該第一鰭;在該第一凹口和該第一鰭上方形成一第一閘極介電材料;移除該第二鰭上方的該虛設閘極結構的該第二部分,其中移除該第二部分形成一第二凹口暴露出該第二鰭;在該第二凹口中和該第二鰭上方形成一第二閘極介電材料,該第二閘極介電材料接觸該第一閘極介電材料;以及以一導電材料填充該第一凹口和該第二凹口。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第二凹口暴露出該第一閘極介電材料的至少一部分。
  3. 如申請專利範圍第2項所述之半導體裝置的形成方法,其中形成該第二閘極介電材料的步驟包括在該第二凹口中順應性形成該第二閘極介電材料,其中該第二閘極介電材料接觸該第二凹口暴露的該第一閘極介電材料的該部分並沿該部分延伸。
  4. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中移除該虛設閘極結構的該第一部分的步驟包括一非等向性蝕刻製程,其中移除該虛設閘極結構的該第二部分包括一等向性蝕刻製程。
  5. 如申請專利範圍第4項所述之半導體裝置的形成方法,其中以一第一蝕刻步驟之後為一第二蝕刻步驟的方式實施該非 等向性蝕刻製程,其中該第一蝕刻步驟使用不同於該第二蝕刻步驟的蝕刻劑。
  6. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括在形成該第一閘極介電材料之後以及在移除該虛設閘極結構的該第二部分之前,以一第一犧牲材料填充該第一凹口。
  7. 如申請專利範圍第6項所述之半導體裝置的形成方法,其中該第一犧牲材料包括一金屬。
  8. 如申請專利範圍第6項所述之半導體裝置的形成方法,更包括在形成該第二閘極介電材料之後以及在以一導電材料填充該第一凹口和該第二凹口之前,以一第二犧牲材料填充該第二凹口。
  9. 如申請專利範圍第8項所述之半導體裝置的形成方法,其中該第一犧牲材料是與該第二犧牲材料相同的材料。
  10. 如申請專利範圍第8項所述之半導體裝置的形成方法,更包括在以一導電材料填充該第一凹口和該第二凹口之前,分別從該第一凹口和該第二凹口移除該第一犧牲材料和該第二犧牲材料。
  11. 如申請專利範圍第10項所述之半導體裝置的形成方法,更包括在移除該第一犧牲材料和該第二犧牲材料之前,實施一平坦化製程移除該第一犧牲材料的頂部和該第二犧牲材料的頂部。
  12. 一種半導體裝置的形成方法,包括:在一基底上方的一第一區中形成一第一鰭; 在該基底上方的一第二區中形成一第二鰭,該第二鰭與該第一鰭相鄰;在該第一鰭和該第二鰭上方形成一第一閘極結構;移除該第一區中的該第一閘極結構的一第一部分以形成一第一凹口;形成襯墊在該第一凹口的側壁和底部的一第一閘極介電材料;在該第一凹口中和該第一閘極介電材料上方沉積一第一材料;移除該第二區中的該第一閘極結構的餘留部分以形成一第二凹口,該第二凹口暴露出該第一閘極介電材料的一第一部分;形成襯墊在該第二凹口的側壁和底部的一第二閘極介電材料;在該第二凹口中和該第二閘極介電材料上方沉積一第二材料;分別從該第一凹口和該第二凹口移除該第一材料和該第二材料;以及以一導電材料填充該第一凹口和該第二凹口,以分別形成一第一閘極電極和一第二閘極電極。
  13. 如申請專利範圍第12項所述之半導體裝置的形成方法,其中形成該第二閘極介電材料的步驟更包括沿該第二凹口暴露出之該第一閘極介電材料的該第一部分形成該第二閘極介電材料的一第一部分。
  14. 如申請專利範圍第12項所述之半導體裝置的形成方法,其中沉積該第二材料的步驟包括:在該第二凹口中和該第一材料上方沉積該第二材料;以及實施一平坦化製程以移除該第二材料的頂部並暴露出該第一材料。
  15. 如申請專利範圍第12項所述之半導體裝置的形成方法,其中形成該第二閘極介電材料的步驟包括在該第一閘極介電材料上方形成該第二閘極介電材料,其中在形成該第二閘極介電材料之後,該第一材料在該第一閘極介電材料與該第二閘極介電材料之間。
  16. 如申請專利範圍第12項所述之半導體裝置的形成方法,其中該第一區為P型裝置區,且該第二區為N型裝置區。
  17. 一種半導體裝置,包括:一第一鰭,位於一基底上方;一第二鰭,位於該基底上方並與該第一鰭相鄰;以及一第一閘極結構,位於該第一鰭上方,該第一閘極結構包括:一第一閘極介電層,位於該第一鰭上方;一第一閘極電極,位於該第一閘極介電層上方;以及一第二閘極結構,位於該第二鰭上方,該第二閘極結構包括:一第二閘極介電層,位於該第二鰭上方;以及一第二閘極電極,位於該第二閘極介電層上方,其中沿該第一閘極電極的第一側壁延伸的該第一閘極介電層的第一 部分接觸沿該第二閘極電極的第二側壁延伸的該第二閘極介電層的第二部分。
  18. 如申請專利範圍第17項所述之半導體裝置,其中該第一閘極結構更包括:一覆蓋層,位於該第一閘極介電層上方;以及一阻障層,位於該覆蓋層上方,其中該阻障層位於該第一閘極電極與該覆蓋層之間。
  19. 如申請專利範圍第18項所述之半導體裝置,其中該覆蓋層包括氮化鈦(TiN),且該阻障層包括氮化鉭(TaN)。
  20. 如申請專利範圍第17項所述之半導體裝置,其中該第一閘極介電層和該第二閘極介電層包括一相同的介電材料,其中該相同的介電材料連續地從該第一鰭延伸至該第二鰭。
TW106135472A 2017-08-31 2017-10-17 半導體裝置及其形成方法 TWI662602B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/693,202 US10236220B1 (en) 2017-08-31 2017-08-31 Fin field-effect transistor device and method
US15/693,202 2017-08-31

Publications (2)

Publication Number Publication Date
TW201913749A true TW201913749A (zh) 2019-04-01
TWI662602B TWI662602B (zh) 2019-06-11

Family

ID=65321217

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106135472A TWI662602B (zh) 2017-08-31 2017-10-17 半導體裝置及其形成方法

Country Status (5)

Country Link
US (2) US10236220B1 (zh)
KR (1) KR102042718B1 (zh)
CN (1) CN109427889B (zh)
DE (1) DE102017123359B4 (zh)
TW (1) TWI662602B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11417765B2 (en) * 2018-06-25 2022-08-16 Intel Corporation Quantum dot devices with fine-pitched gates
US11201084B2 (en) * 2019-08-23 2021-12-14 Taiwan Semicondutor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11764221B2 (en) 2020-07-30 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8703595B2 (en) 2011-11-17 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. N/P boundary effect reduction for metal gate transistors
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
CN105655334B (zh) * 2011-12-28 2019-01-08 英特尔公司 具有集成的多个栅极电介质晶体管的半导体装置
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9059208B2 (en) * 2013-04-10 2015-06-16 International Business Machines Corporation Replacement gate integration scheme employing multiple types of disposable gate structures
US9431497B2 (en) 2013-05-21 2016-08-30 Globalfoundries Singapore Pte. Ltd. Transistor devices having an anti-fuse configuration and methods of forming the same
CN104217947B (zh) * 2013-05-31 2018-11-06 中国科学院微电子研究所 半导体制造方法
KR20140145419A (ko) * 2013-06-13 2014-12-23 삼성전자주식회사 반도체 소자 제조 방법
KR102089682B1 (ko) * 2013-07-15 2020-03-16 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
KR102055379B1 (ko) * 2013-08-08 2019-12-13 삼성전자 주식회사 트라이-게이트를 포함하는 반도체 소자 및 그 제조 방법
US9190406B2 (en) * 2014-01-20 2015-11-17 International Business Machines Corporation Fin field effect transistors having heteroepitaxial channels
CN104979198B (zh) * 2014-04-02 2018-03-30 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US9431296B2 (en) * 2014-06-26 2016-08-30 International Business Machines Corporation Structure and method to form liner silicide with improved contact resistance and reliablity
US9847329B2 (en) * 2014-09-04 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of fin feature and method of making same
US9362181B1 (en) * 2014-12-05 2016-06-07 Globalfoundries Inc. Methods of forming diffusion breaks on integrated circuit products comprised of FinFET devices and the resulting products
US9331074B1 (en) 2015-01-30 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102312346B1 (ko) * 2015-02-23 2021-10-14 삼성전자주식회사 반도체 소자 형성 방법
US10090396B2 (en) 2015-07-20 2018-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating metal gate devices and resulting structures
EP3518289A1 (en) * 2015-09-25 2019-07-31 Intel Corporation High-voltage transistor with self-aligned isolation
CN106684042B (zh) * 2015-11-05 2019-11-01 中芯国际集成电路制造(上海)有限公司 半导体结构的制造方法
CN106684144B (zh) * 2015-11-05 2019-11-01 中芯国际集成电路制造(上海)有限公司 半导体结构的制造方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US20170200803A1 (en) * 2016-01-11 2017-07-13 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US10622356B2 (en) * 2016-01-19 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10164063B2 (en) * 2016-12-14 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with protection layer

Also Published As

Publication number Publication date
KR20190024530A (ko) 2019-03-08
US20190109053A1 (en) 2019-04-11
DE102017123359A1 (de) 2019-02-28
CN109427889B (zh) 2022-04-01
US10236220B1 (en) 2019-03-19
US20190067128A1 (en) 2019-02-28
TWI662602B (zh) 2019-06-11
KR102042718B1 (ko) 2019-11-08
US10665513B2 (en) 2020-05-26
DE102017123359B4 (de) 2021-10-21
CN109427889A (zh) 2019-03-05

Similar Documents

Publication Publication Date Title
CN110660743B (zh) 半导体器件和形成半导体器件的方法
TWI786077B (zh) 半導體裝置的製造方法及鰭式場效電晶體裝置的製造方法
US11171220B2 (en) Structure and method for high-K metal gate
US10164053B1 (en) Semiconductor device and method
TWI567981B (zh) 鰭部件的結構及其製造方法
TWI739178B (zh) 半導體裝置及其形成方法
US20180145131A1 (en) Semiconductor Device and Method
US20230387275A1 (en) Method of Gap Filling for Semiconductor Device
KR20210110548A (ko) 핀 전계효과 트랜지스터 디바이스 및 그 형성 방법
US10665513B2 (en) Fin field-effect transistor device and method
TW202117816A (zh) 半導體裝置及其形成方法
US11791403B2 (en) Semiconductor devices and methods of manufacturing thereof
TWI736299B (zh) 半導體裝置及其製造方法
US12034063B2 (en) Semiconductor devices and methods of manufacturing thereof
TWI785593B (zh) 半導體裝置及其形成方法
KR102386543B1 (ko) 핀 전계효과 트랜지스터 디바이스 및 그 형성 방법
US20240038892A1 (en) Device with tapered insulation structure and related methods
TW202305895A (zh) 半導體裝置的形成方法