TWI445836B - 使用電漿強化原子層沈積之控制組成 - Google Patents

使用電漿強化原子層沈積之控制組成 Download PDF

Info

Publication number
TWI445836B
TWI445836B TW096141034A TW96141034A TWI445836B TW I445836 B TWI445836 B TW I445836B TW 096141034 A TW096141034 A TW 096141034A TW 96141034 A TW96141034 A TW 96141034A TW I445836 B TWI445836 B TW I445836B
Authority
TW
Taiwan
Prior art keywords
plasma
metal
metal compound
compound film
atomic layer
Prior art date
Application number
TW096141034A
Other languages
English (en)
Other versions
TW200829714A (en
Inventor
Kai-Erik Elers
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Publication of TW200829714A publication Critical patent/TW200829714A/zh
Application granted granted Critical
Publication of TWI445836B publication Critical patent/TWI445836B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Composite Materials (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

使用電漿強化原子層沈積之控制組成
本申請案將Huotarie等人,於200年5月5日所申請的美國專利申請第10/430,703號(美國專利第7,045,406號)的參考文獻全體皆引用作為本申請案的揭示內容。
本發明是關於一種金屬化合物膜或薄膜之沈積,且特別是關於一種半導體製造領域中之原子層沈積(atomatic layer deposition;ALD)。
半導體元件持續地不斷改進,以提高元效能。舉例而言,使元件尺寸愈來愈小且運行速度愈來愈高是業界所期望達成之效能目標。為降低功耗及提高時脈頻率,電晶體之尺寸亦在持續減小。藉由為互補金屬氧化物矽(complementary metal oxide silicon;CMOS)電晶體構造愈來愈小之閘極結構,可於相同之表面積上容納更多之電晶體。閘極結構尺寸的減小已使閘極介電層的電性厚度大幅減小至3奈米(nm)及以下。
圖1顯示典型MOS半導體元件的主要元件。該元件大體包含半導體基板101,在半導體基板101上設置有閘極疊層。閘極疊層包含閘極介電層110及設置於閘極介電層110上的閘電極114,而閘電極114是作為導體。通常,輸入訊號是經由閘極端子(未顯示)而施加至閘電極114。輕摻雜汲極(lightly doped drain;LDD)區103能減小汲極邊緣附近的電場,並由此降低產生熱載子的機率。間隙壁111 形成於閘極的側壁上,間隙壁111通常基本上是由絕緣氧化物或氮化物組成。另外,重摻雜的源極/汲極區102形成於半導體基板101中,並隨後連接至源極/汲極端子(未顯示)。
請繼續參見圖1,通道區116形成於半導體基板101中以及閘極介電層110下面,並將源極/汲極區102隔開。通常以一種與源極/汲極區102相反類型的摻質對通道區116進行輕摻雜。閘電極114通過閘極介電層110與半導體基板101隔開。絕緣性閘極介電層110是用以防止電流在閘電極114與源極/汲極區102或通道區116之間流動。電晶體的特性關鍵取決於閘極介電層110的厚度及品質。
在CMOS元件中,如圖2中所示,存在相反類型的NMOS電晶體300及PMOS電晶體350。藉由施加電壓至電晶體的閘電極314、364,通道區316、366會在閘極介電層310、360下面的輕摻雜基板區中變得具有導電性。在對閘電極施加臨限電壓時,電晶體會自非導通狀態切換至導通狀態。為了使電晶體的臨限電壓保持較小,且因而使電晶體的功耗保持較低,應使閘電極材料的功函數大致等於閘電極下面的基板材料的功函數。
P型基板材料與N型基板材料具有明顯不同的功函數。傳統上,可藉由使用多晶矽作為閘電極材料,以及使用與緊位於閘電極下面的基板材料為同一類型的摻質對多晶矽進行摻雜,以達成功函數匹配。然而,在現有技術中,由於多晶矽的導電率相對較低且具有空乏效應,因而多晶 矽已不能再滿足要求。空乏現象出現於閘電極與閘極介電層的界面處的半導體多晶矽中,而其會增大閘極介電層的等效氧化物厚度(EOT)。另一方面,多晶矽的摻質的溶解度被限定為大於5×1020 個原子/立方公分。此種溶解度會限制形成於多晶矽中的電荷載子量。因此,目前偏好使用導電性更強的材料,例如難熔金屬(例如鎢)。在實務中,金屬材料具有無限多的載子量(5×1022 個原子/立方公分),且因此空乏區的厚度實際為零,此會使閘極介電層的EOT減小4-5埃。
金屬閘極最重要的特性是其功函數,而功函數與基板的摻雜濃度皆會對金屬氧化物半導體元件的臨限電壓造成影響。在現有的NMOS場效電晶體(field effect transistor;FET)設計中,金屬電極材料的功函數應為約4.0至4.2電子伏特,而在現有的PMOS FET中則應為約5.0至5.2電子伏特。
因此,需要調整導體的功函數。對金屬電極材料的功函數的造成影響的因素包括:金屬電極的沈積方法、在沈積後所實施的熱處理(即快速退火)、金屬電極層的厚度、所用閘極氧化物材料,以及電極材料的晶體取向。熱處理對電極材料功函數的影響可能起因於材料的結晶,或者例如起因於在熱處理過程中自材料中發出就化學計量而言過量的氮氣或某種其他元素。當金屬被氧化或氮化時,其平均電負性會增大。由於,功函數與電負性成正比,因而電負性的增大亦會使功函數增大。
人們試圖藉由在沈積金屬閘極膜之後進行摻雜而改變金屬閘極材料的功函數。然而,很難預測及控制摻雜後金屬閘極材料的功函數。在沈積後對閘極材料進行摻雜不僅可改變所述膜的化學計量,而且可改變膜的晶體取向(crystal orientation)(Q.Lu等人,Symp.VLSI Tech.(2001)45-46及美國專利申請案第2002/0008257 A1號)。
習知已有多種調整電極的功函數的方法。舉例來說,美國專利第6,458,695 B1號美國專利揭露一種藉由控制材料組成以調整功函數的方法。據該公開案所描述,在沈積金屬閘極後,對一種或同時對兩種類型電晶體的金屬閘電極進行氧化或氧植入,以便由金屬與其導電氧化物形成合金,而該合金即具有所需的功函數。再舉例而言,美國專利第6,506,676號揭露一種改變功函數的方法,該方法藉由改變(Tix Aly )1-z Nz 的組成而改變含有鈦、鋁及氮的電極的功函數。根據其中所揭露的方法,藉由改變電極中的氮含量來調整功函數。作為再一實例,美國專利第6,518,106號亦揭露一種用於改變電晶體中以ALD製造的閘電極的組成的方法,其藉由改變閘電極中一種元素的材料濃度來達成此種改變。根據其中所揭露的方法,金屬閘極層的功函數取決於含有金屬、矽及氮(例如TaSiN)的層中的矽濃度或氮濃度。作為金屬閘極層沈積方法的實例,其中揭露了原子層沈積(atomic layer deposition;ALD)、化學蒸氣沈積(chemical vapor deposition;CVD)以及物理蒸氣沈積(physicla vapor deposition;PVD)。然而,其並未揭露一種 能避免基板出現局部濃度改變的方法。
人們亦已對使用釕(Ru)-鉭(Ta)合金作為閘電極進行研究。藉由使用不同的Ru-Ta組成,可將Ru-Ta金屬電極的功函數調整至介於4.2與5.1電子伏特間之值。Ru含量較高的金屬電極的功函數接近於5電子伏特,而組成為Ru0.60 Ta0.40 的電極的功函數則約為4.3電子伏特(H.Zhong等人,Appl.Phys.Lett.78(2001)1134-1136)。然而,此種電極是藉由物理蒸氣沈積(PVD)形成,會對閘極疊層介電層造成濺射破壞,並進一步導致基板上各超薄膜的電性及物理特性不均勻。
如美國專利第6,373,111號的內容所述,亦可藉由其中每一層皆具有不同功函數的雙層式電極結構來達成對電極功函數的調節。當底部電極層較薄(例如低於3奈米)時,電極結構的功函數將主要取決於且等於頂層的功函數。而當底層較厚(例如高於10奈米(nm))時,電極結構的功函數將主要取決於且等於底層的功函數。在底側厚度介於約3奈米與10奈米之間的過渡區中,則可藉由調整底層膜的厚度而在頂側的功函數與底層的功函數間調整電極結構的功函數。
與調節閘極疊層的功函數的習知方法相關的問題是,無法對膜組成、均勻度及外形輪廓、或者厚度進行充分控制。進一步,在使閘電極材料暴露於氧化氣氛中時,會使閘極介電材料亦暴露於氧化氣氛中,此可能會不利地影響介電材料並使下面的基板材料發生氧化。
因此,需要提供一種用於調整閘極疊層中閘電極材料的功函數且能避免上述缺陷的方法。
本發明的一目的是提供一種用於沈積積體電路的改良方法,在該方法中,可容易且精確地將閘電極的組成、並因而將閘電極的功函數調整至所需值。
根據本發明的一態樣,揭露一種用於決定富含金屬之金屬化合物膜的沈積配方的方法。該方法包含如下步驟:選擇電漿參數;使用電漿參數、藉由電漿強化原子層沈積(PEALD)製程來沈積富含金屬之金屬化合物膜;使用不同的電漿參數重覆上述步驟,直至獲得具有所需組成的富含金屬之金屬化合物膜為止;以及選擇沉積具有所需組成的富含金屬之金屬化合物膜的電漿參數,收入在沈積配方中。
根據本發明的另一態樣,揭露一種用於設計沈積製程以用於形成閘極疊層的方法。該方法包含如下步驟:選擇電漿參數;使用電漿參數、藉由電漿強化原子層沈積(PEALD)製程於介電層上沈積金屬化合物膜;決定金屬化合物膜的功函數;並使用不同的電漿參數重覆上述步驟。其中為沈積製程的設計對電漿參數加以選擇,以形成具有預定功函數的閘極疊層。
根據本發明的又一態樣,揭露一種用於在電漿強化原子層沈積(PEALD)製程中沈積金屬化合物膜的方法。該方法包含如下步驟:使基板在反應空間中交替且按順序地接觸至少三種不同反應劑的在空間及時間上間隔的蒸氣相脈 衝,該至少三種不同反應劑包含:金屬源化學品;電漿所激發物質;以及金屬化合物膜中所需非金屬物質的來源化學品。對用於產生電漿所激發物質的電漿參數加以選擇,以相對於金屬源化學品中金屬的氧化態降低金屬化合物膜中金屬的氧化態,以及獲得具有預定組成的金屬化合物膜。在一實施例中,選擇組成是為了控制過渡金屬閘電極的功函數。
根據本發明的再一態樣,揭露一種用於成長金屬化合物薄膜的原子層沈積(ALD)方法。該方法包含如下步驟:使基板在反應空間中交替且按順序地接觸金屬前驅體的在空間及時間上間隔的蒸氣相脈衝,以在基板的外露表面上形成不超過大約一個單層的被吸附物質,該等被吸附物質包含:至少一種類型的金屬,與金屬發生反應的電漿所激發物質,以及欲包含於金屬化合物薄膜中的物質的來源化學品,其中將電漿參數選擇成使金屬化合物薄膜中金屬與該物質的化學計量比大於1。
根據本發明的尚一態樣,揭露一種用於沈積富含金屬之金屬化合物膜的電漿強化原子層沈積(PEALD)方法。該方法包含:使基板在反應空間中交替且按順序地接觸至少兩種不同反應劑的在空間及時間上間隔的蒸氣相脈衝。該至少兩種不同反應劑包含金屬源化學品以及含電漿所激發物質的第二來源化學品。對電漿參數加以選擇,以獲得具有預定組成的富含金屬之金屬化合物膜。
根據本發明的再一態樣,提供一種反應器。該反應器 包含反應空間、一或多個氣體流動通道以及控制器。該控制器用以控制金屬前驅體及電漿所激發物質的脈衝,以在原子層沈積(ALD)順序中在基板上形成具有預定組成的金屬化合物膜。由控制器對電漿參數進行設定,以控制金屬前驅體的被吸附物質的還原程度,藉以形成預定組成。在所例示的實施例中,該預定組成是非化學計量的或富含金屬的。
為便於歸納本發明及其相對於先前技術的優點,上文已描述且在下文中將進一步描述本發明的某些目的及優點。當然,應瞭解,並非根據本發明的任一特定實施例皆一定能達成所有此等目的及優點。因此,舉例而言,熟習此項技術者將知,可由一種能達成或優化本文所教示的一個優點或一組優點而未必能達成本文所教示或提出的其他目的或優點的方式來實施或實現本發明。
所有該等實施例皆打算包含於本文所揭露的發明的範圍內。為讓本發明的上述和其他目的、特徵和優點能更明顯易懂,下文特舉較佳實施例,並配合所附圖式,作詳細說明如下。
下文將參照附圖藉由較佳實施例來詳細說明所揭露的方法。儘管是根據某些較佳實施例進行說明,然而熟習此項技術者在閱讀本文的揭露內容後將瞭解,本文所述的材料、方法及結構將具有各種各樣的其他應用。
根據本發明的較佳實施例,藉由電漿強化原子層沈積 (plasma-enhanced atomic layer deposition;PEALD)製程以形成金屬化合物膜或薄膜,而該金屬化合物膜或薄膜含有一種或多種金屬及該膜中所需的至少一種其他物質(例如碳、氮、氧或矽)。在膜沈積過程中,根據電漿參數選擇膜的化學計量並由此選擇膜的組成。藉由在膜沈積過程中選擇電漿參數,可形成具有所需組成的非化學計量金屬化合物膜,較佳是富含金屬的金屬化合物膜。舉例而言,此等膜可應用在閘極疊層結構中的閘電極。在此種情形中,藉由控制閘電極的組成,可根據需要選擇閘極疊層的功函數。
美國專利申請案第2004/0106261號描述一種形成閘電極的方法,其揭露內容全部併入本案供參考。根據其揭露內容,藉由改變所沈積膜的組成,將閘電極的總體功函數調節至所需值。該組成的修改是藉由向閘電極中引入具有更高或更低電負性的額外材料(例如氧)而達成。相反地,本文所述的實施例則藉由選擇電漿參數而達成組成控制。在一實施例中,可首先建立電漿參數與膜組成間的關係,並接著根據此等所建立關係來選取電漿參數,從而沈積具有所需組成的薄膜。在其他實施例中,可調節沈積於介電層上的膜的組成而得到閘極疊層所需的功函數(即預定的功函數)。
在較佳實施例中,使用電漿強化原子層沈積(PEALD)於基板上形成金屬化合物膜或薄膜,其組成是根據需要加以選擇。本發明的發明者已發現,可藉由調整膜中一種(或多種)金屬的氧化態而達成組成控制。較低氧化態的金屬原 子將會結合至膜中所需另一種物質的較少原子上,從而使金屬化合物膜的金屬含量相對於其中金屬氧化態較高的膜增大(即該膜富含金屬)。例如,就TiN膜與Ti3 N2 膜而言,後者具有高於前者的金屬含量。
在電漿強化原子層沈積(PEALD)製程中,可藉由在沈積順序中使基板接觸適宜的還原劑(例如電漿激發的氫氣(H2 )物質)而調整一種(或多種)金屬的氧化態。藉由選擇恰當的電漿參數,可形成具有所需組成的化合物膜。
在本說明書之上下文中,「ALD製程(ALD process)」或「ALD型製程(ALD type process)」一般是指,藉由自飽和化學反應而逐一原子單層或分子單層地形成薄膜。ALD的基本原理揭露於例如美國專利第4,058,430號及第5,711,811號中,該等美國專利的揭露內容併入本案供參考。在ALD製程中,氣態反應物(即前驅體或源材料)被交替且按順序地導入ALD反應器的反應空間中,並在反應空間中接觸位於反應室中的基板,藉以達成表面反應。結果,在每一在時間及空間上間隔的脈衝循環中通常每次僅沈積最多一個單層(即原子層或分子層)。由於各前驅體脈衝在時間上彼此隔開且在各前驅體脈衝之間使用清除氣體(purge gas)(例如氮氣、氬氣、及/或氫氣)及/或抽氣系統(pumping system)來排空反應室,藉以移除(remove)反應室中的過量氣態反應物及反應副產物,因而各前驅體間的氣相反應以及反應副產物的任何意外反應均得到抑制。
「電漿所激發物質」是指,藉由對氣體施加能量而產 生的游離基、離子或其他受激發物質。可使用直接電漿產生器(即「現場(in situ)」或「直接(direct)」電漿產生)及/或遠程電漿產生器(即「離場(ex situ)」或「遠程(remote)」電漿產生)來產生電漿所激發物質。能量可藉由各種方法施加(或耦合)至氣體,例如藉由感應耦合、紫外光輻射、微波、容性耦合、施加射頻(radio frequency;RF)功率等。在不存在耦合能量的情況下,電漿產生終止。電漿所激發物質包括(但不限於)氫及氮游離基。
「電漿參數」是用於表示一或多個電漿產生變數,包括(但不限於)電漿產生器功率、氣體壓力、氣體(或反應物)流速、及電漿脈衝持續時間。舉例而言,當使用RF功率產生電漿時,電漿參數包括(但不限於)射頻(radio frequency;RF)功率接通時間、RF功率幅值、RF功率頻率或多個RF功率頻率(對於雙頻系統)、反應物濃度、反應物流速、反應空間壓力、總的氣體流速、反應物脈衝持續時間及間隔以及RF電極間距。
「PEALD製程」是指,用於在基板上形成膜或薄膜的製程。在該製程中,藉由自飽和化學反應而逐一單層地形成薄膜,例如藉由吸附來源化學品(例如金屬源化學品)以及所吸附物質與電漿所激發物質間的反應。
「反應空間」是用於表示反應器或反應室、或者在其中任意界定的空間,在該空間中可藉由調整反應條件而達成薄膜成長。通常,反應空間包含在正常操作過程中會經受所有反應氣體脈衝的表面,氣體或微粒可藉由夾帶流或 擴散而自反應氣體脈衝流至基板上。反應空間可例如處於單晶圓電漿強化ALD(PEALD)反應器或批量PEALD反應器中-在批量PEALD反應器中,同時在多個基板上進行沈積。PEALD反應器包括(但不限於)可自ASM America,Inc.of Phoenix,AZ購得的EmerALDTM 及PulsarTM 反應器。
「基板」可包括任何適用於積體電路(integrated circuit;IC)製造的工件。典型的基板包括(但不限於)半導體(例如矽晶圓)及絕緣體(例如玻璃基板)。「基板」亦打算囊括裸基板以及已局部製成的基板,而已局部製成的基板上形成有某些層及圖案,其包括在先前ALD循環中所形成的一或多個層。
「表面」是用於表示反應空間與基板之間的邊界。
「薄膜」是指由元素或化合物成長而成的膜,所述元素或化合物是藉由真空、氣相或液相而以分離的離子、原子或分子形式自其來源輸送至基板。薄膜的厚度視應用而定,並可在寬廣範圍內變化,較佳是自一個分子層至30奈米(nm)。
「富含金屬」是指任何如下膜或薄膜:其含有一或多種金屬與結合至該一或多種金屬的至少一種非金屬物質,其中由該一或多種金屬與非金屬物質的化學計量比來定義該膜,該化學計量比大於1,且較佳實質大於1。在富含金屬的膜中,金屬原子的總數大於由非金屬物質所界定的原子總數。在某些實施例中,可由Mx Ey 大體定義二元(兩種物質)金屬化合物膜,其中‘M’是金屬,‘E’是非金屬物質, 例如碳、氮或氧,而‘x’及‘y’是數字,其中對於富含金屬的二元化合物,‘x’大於‘y’。三元(三種物質)化合物可包含一種金屬與兩種非金屬、或者兩種金屬與一種非金屬。舉例而言,可由Mx Ey Fz 定義三元化合物。其中‘M’是金屬,‘E’與‘F’不相同-較佳是非金屬物質(例如碳及氮),而‘x’、‘y’及‘z’是數字,其中對於富含金屬的三元化合物,‘x’大於‘y’與‘z’的和。作為另一實例,可由M1 x M2 Y Ez 定義三元化合物,其中‘M1 ’是第一金屬,‘M2 ’是第二金屬,E是非金屬物質,而‘x’、‘y’及‘z’是數字,其中對於富含金屬的三元化合物,‘x’與‘y’的和大於‘z’。
「金屬化合物膜」或「含金屬的膜」是指,任何包含一或多種金屬(即金屬合金)與至少一種其他非金屬物質的膜。
「氧化態」是指原子的氧化程度,其由正數或負數表示。舉例而言,在Ti3 N2 五原子簇中,‘Ti’及‘N’的氧化態分別是‘+2’及‘-3’。‘Ti’在本實例中的氧化態相對於‘Ti’在前驅體TiCl4 中的氧化態(+4)降低。應瞭解,金屬化合物膜的氧化態是所有組分原子氧化態的平均值。
膜組成是電漿參數的函數
根據較佳實施例,藉由電漿強化原子層沈積(PEALD)製程形成富含金屬的金屬化合物膜,其例如是閘電極。較佳方法包括:對電漿參數加以選擇而沈積一膜或薄膜,該膜或薄膜的組成可根據電漿參數與膜組成間的關係來建 立。換言之,根據電漿參數來控制膜組成。
在較佳實施例中,藉由選擇電漿參數而決定或設計金屬化合物膜或薄膜的沈積配方。作為一實例,可對RF功率加以選擇,以達成所需的膜或薄膜化學計量。作為另一實例,可藉由選擇特定的電漿脈衝持續時間來達成所需組成。作為再一實例,可藉由選擇RF功率、反應物脈衝持續時間及反應物流速的組合來達成所需組成。
根據較佳實施例,電漿參數是根據電漿參數與膜組成之間所已建立的關係進行選擇。「電漿參數」可包含一個電漿參數,例如RF功率,或者多個電漿參數一即一組電漿參數,例如RF功率及RF頻率。藉由選擇電漿參數而得到具有所需組成的金屬化合物膜。在較佳實施例中,藉由選擇電漿參數而得到富含金屬的金屬化合物膜。在某些情形中,藉由選擇電漿參數而形成具有特定組成的閘電極,以得到所需的閘極疊層功函數。
可藉由如下的方式建立電漿參數與金屬化合物膜或薄膜組成間的關係:選擇電漿參數,並使用所選電漿參數,以電漿強化原子層沈積(PEALD)製程沈積金屬化合物,直至形成具有所需厚度的膜為止。然後,可確定膜組成,並使用一或多個不同的電漿參數沈積另一膜或薄膜。可使用該(該等)電漿參數的不同值重覆該製程,藉以形成該(該等)電漿參數與膜組成間的關係。
圖3及圖4顯示在金屬氮化物膜組成(由N/Ti或N/Ta化學計量比表示)與電漿參數間所建立的關係。使用RF電 漿產生器產生電漿所激發物質。圖3是二維曲線圖,其顯示氮化鈦(在本文中亦表示為「TiN」)膜組成與RF功率(瓦)間的關係。在圖3中,在由ASM International,Inc.所製造的300毫米(mm)單晶圓反應器中,使用交替且按順序的TiCl4 與H2 /N2 電漿的脈衝、藉由PEALD形成TiN膜。在TiN沈積過程中,沈積溫度約為320℃。現場電漿產生器的RF頻率約為13.56MHz,RF脈衝持續時間約為3秒,且反應器壓力約為3托耳(torr)。藉由選擇不同的RF功率而改變所形成膜的組成。圖4是二維曲線圖,其顯示氮化鉭膜組成與氫氣(H2 )和氮氣(N2 )蒸氣流速比(顯示為「N2 /H2 」)間的關係。在圖4中,沈積溫度約為300℃,RF頻率約為13.56MHz,RF脈衝持續時間約為2秒,且反應器壓力約為3托耳。由圖可見,當流速比低於0.03(H2 :102sccm與N2 :3sccm)時,所沈積的氮化鉭膜是實質上富含金屬(即接近金屬)的。而當流速比升高至0.05(H2 :100sccm與N2 :5sccm)時,N/Ta的比對應於Ta3 N5 ,其中鉭的氧化態為+5。
諸如上文所列的電漿參數可調變所吸附金屬物質的還原程度,並進而調變金屬化合物膜中金屬的平均氧化態。而氧化態又會影響膜的組成。因此,藉由選擇電漿參數,可達成對金屬化合物膜的組成控制。
沈積金屬化合物膜
根據較佳方法,藉由於電漿強化原子層沈積(PEALD) 製程中選擇電漿參數而沈積具有預定組成的金屬化合物膜。此可包含使用例如在圖3及圖4中所示的關係來選擇RF功率或氣體流速比。
本文所述PEALD方法可用於形成閘極疊層的組件(例如閘電極)、或者任何希望進行組成控制(即能夠可預測地形成具有特定組成、特別是非化學計量組成的金屬化合物)的金屬化合物膜。在形成閘極疊層時,可藉由選擇電漿參數而形成具有所需組成的閘電極,而該所需組成又將決定閘極疊層的功函數。
用於形成具有受控組成的化合物膜的製程較佳包括原子層沈積型製程,包括使基板交替且重覆地暴露於至少兩種反應物的在空間及時間上間隔的蒸氣相脈衝,以便形成至少為二元組成的化合物膜。在較佳實施例中,該至少兩種反應物包含金屬源化學品(或金屬的前驅體)及電漿所激發物質。在某些實施例中,該至少兩種反應物可包含第三反應物,該第三反應物含有納入膜中的物質。
金屬化合物膜包含至少一種金屬及至少一種其他非金屬物質,例如碳、氮或氧。相對於用於沈積金屬的前驅體的氧化態,該金屬的氧化態減小(或降低)。舉例而言,若前驅體為TiCl4 -其中Ti的氧化態為+4,則Ti原子在所沈積富含金屬的金屬化合物膜中的氧化態較佳低於+3。在較佳實施例中,金屬原子在金屬化合物膜中的氧化態低於+3且高於0。在某些情形中,金屬原子的氧化態可低於+2。
單個金屬原子的氧化態(為整數)將決定單個金屬化合 物分子的組成。然而,金屬化合物膜的平均氧化態及組成則是膜中所有金屬原子的平均值,因而可具有分數值。
根據本發明的較佳實施例,金屬化合物膜是藉由「三步式」或「兩步式」製程沈積而成。三步式方法包括:在反應空間中使基板接觸交替且按順序的金屬源化學品、第二反應物及第三反應物的脈衝,其中一種反應物是藉由電漿而活化的。根據ALD原理,各反應物脈衝在空間及時間上相互隔開,例如藉由清除步驟隔開。兩步式方法則包括:在反應空間中使基板接觸交替且按順序的金屬源化學品與第二反應物的脈衝。
在較佳實施例中,所要形成的富含金屬的金屬化合物膜包含選自由鈦(Ti)、鋯(Zr)、鉿(Hf)、釩(V)、鈮(Nb)、鉭(Ta)、鉻(Cr)、鉬(Mo)、鎢(W)、錳(Mn)、錸(Re)、鐵(Fe)、鈷(Co)、鎳(Ni)、銅(Cu)、銀(Ag)、金(Au)、鈀(Pd)、鉑(Pt)、銠(Rh)、銥(Ir)、釕(Ru)、鋨(Os)及其組合所組成之族群的金屬。在某些實施例中,金屬源化學品是鹵化物,且所沈積的單層是以鹵素配合基終止。舉例而言,金屬化合物可選自由金屬溴化物,金屬氯化物,金屬氟化物及金屬碘化物所組成之族群。舉例而言,金屬源化學品可選自由TiBrw ,TiClx ,TiFy 及TiIz 所組成之族群。其中,TiBrw ,TiClx ,TiFy 及TiIz 的w、x、y及z是介於1至6間的整數。在其他實施例中,可藉由使基板接觸金屬有機化合物(例如金屬二烷基胺基或金屬環戊二烯基化合物)而形成金屬化合物膜。在某些實施例中,當金屬化合物膜含有多種金屬 時,金屬源化學品可含有多種金屬。舉例而言,金屬源化學品可以是各單獨金屬的來源化學品(例如TiCl4 與WF6 )的混合物。在此種情形中,可選擇脈衝參數(例如流速)而達成金屬化合物膜中各金屬的預定比例。
參見圖5,其顯示在本發明的「三步驟式」實施例中,藉由PEALD製程形成富含金屬的化合物膜或薄膜的步驟。在初始表面終止後,若有必要,可將第一反應物或來源材料提供或以脈衝形式施加502至反應空間中的基板或工件。根據一較佳實施例,第一反應物脈衝包含載送氣體流及可與所涉及工件表面發生反應的揮發性鹵化物,並進一步包含將形成所沈積層的一部分的金屬物質。在所示實施例中,第一反應物是金屬源化學品,其含有呈第一氧化態的金屬。相應地,含鹵素的物質(或含金屬的物質)吸附於工件表面上,從而形成含金屬的膜或薄膜。該含金屬的膜含有呈第二氧化態的金屬。在所示實施例中,第一反應物是金屬來源材料,例如金屬鹵化物,且所形成的薄膜含有金屬化合物材料,例如金屬碳化物、金屬氮化物、或金屬碳化物-氮化物。第一反應物脈衝使工件表面自飽和,從而使第一反應物脈衝的任何過量的組分均不會與該製程所形成的單層進一步反應。自飽和的原因在於以鹵化物終結該單層,從而防止該層不再進一步反應。
然後,移除(或排空)504反應空間中的第一反應物。較佳地,步驟504僅需要停止第一反應物或化學品的流動,同時繼續使一惰性載送氣體(例如Ar、H2 及/或N2 )流動足 夠的時間,藉以彌散或清除掉反應空間中的過量反應物及反應副產物,較佳是使用大於約兩倍反應室體積的清除氣體,更佳是使用大於約三倍反應室體積的清除氣體。較佳地,移除步驟504包括:在停止第一反應物脈衝的流動後,使清除氣體繼續流動約0.1秒至20秒。在同在申請中的美國專利申請案第09/392,371號中對脈衝間清除步驟進行了描述,該美國專利申請案是於1999年9月8日提出申請且名稱為「IMPROVED APPARATUS AND METHOD FOR GROWTH OF A THIN FILM」,其揭露內容併入本案供參考。在其他方案中,可在交替提供化學品之間抽氣反應室。例如,參見1996年6月6日公佈的PCT公開案第WO 96/17107號,其名稱為「METHOD AND APPARATUS FOR GROWING THIN FILMS」,且其揭露內容併入本案供參考。吸附步驟502與反應物移除步驟504一同代表ALD型循環的第一階段。所示ALD型循環的第一階段亦為「金屬階段」。
繼續參見圖5,將第二反應物或來源化學品以脈衝形式提供506至工件。第二反應物較佳與第一反應物所留下的單層發生反應。在所示實施例中,第二反應物是電漿所激發物質,例如氫氣(H2 )的電漿所激發物質。氫氣的電漿所激發物質可包含(但不限於)呈電中性的氫游離基(H*)及陽離子(H+ )。第二反應物脈衝506包括在反應器中產生電漿或提供在遠程形成的電漿。氫氣的電漿所激發物質較佳能降低在金屬階段中所形成的含金屬膜中金屬的氧化態。 該步驟可包括移除在金屬階段中所沈積的單層中的鹵化物原子。可選擇電漿參數以使金屬(或多種金屬)達成所需的氧化態,但在所示實施例中,該反應物脈衝506並不提供要納入膜中的原子。
在足以使含金屬膜還原至所需氧化態的時間段之後,使電漿產生終止,並較佳使用清除氣體移除508反應空間中的任何反應副產物(較佳亦為揮發性的)。該移除步驟可如針對步驟504所述。步驟506及508一同代表所示PEALD製程的第二階段,此亦可稱為「還原階段」。還原階段較佳形成含有金屬的經還原含金屬膜,該金屬具有第二氧化態,且第二氧化態低於第一氧化態。
繼續參見圖5,將第三反應物或來源化學品以脈衝形式提供510至工件。第三反應物較佳與第二反應物所留下的單層發生反應,並沈積一種物質,該物質是在還原階段所形成的膜中所需的。若需要形成金屬氮化物膜或薄膜,則第三反應物是含氮的化合物,例如氨(NH3 )或肼(N2 H4 );若需要形成金屬碳化物薄膜,則第三反應物是含碳的化合物,例如烷烴、烯烴、或炔烴;而若需要形成金屬氧化物膜,則第三反應物是氧化性(含氧)化合物,例如氧氣(O2 )或水(H2 O)。在所示實施例中,第三反應物是含氮的物質,且要形成富含金屬的金屬氮化物膜。
第三來源化學品以自飽和方式與在第二階段中留下的膜發生反應。第三反應物的納入(反應)程度取決於第二階段中的還原程度,而還原程度又取決於所選的電漿參數。 因此,膜中所需物質的濃度取決於所選的電漿參數。
在足以與含金屬膜發生反應的時間段之後,使第三反應物的脈衝終止,並較佳使用清除氣體移除512任何反應副產物(較佳亦為揮發性的)。該移除步驟可如針對步驟504所述。步驟510及512一同代表所示PEALD製程的第三階段,此亦可稱為「物質提供階段」。
步驟502-512一同界定PEALD製程的一循環。為形成具有所需厚度及/或組成的金屬化合物層,典型的PEALD製程可包含一個循環或多個循環。若需要多個循環,則步驟502-512可重覆進行514。在某些實施例中,在電漿所激發物質提供步驟之前,將金屬階段重覆數次。在其他實施例中,則在第三反應物提供步驟之前,將金屬階段及/或還原階段重覆數次。若步驟502-512重覆進行514,可在各循環之間改變電漿參數或使之在各循環之間保持恒定不變。可藉由改變(或調變)電漿參數而形成分級組成的金屬化合物膜。使電漿參數保持恒定不變則可形成具有均勻組成的金屬化合物膜。
較佳地,在各循環中使用同一金屬源化學品。然而,應瞭解,亦可在不同循環中使用不同的金屬源化學品。
在某些實施例中,物質提供階段位於還原階段之前。在此種情形中,膜中所需的物質可作為電漿所激發物質以脈衝形式提供。舉例而言,位於金屬階段後的步驟可以是以脈衝形式提供膜中所需物質的電漿所激發物質,例如若需要形成金屬氮化物膜,則提供NH3
在某些實施例中,電漿參數是根據需要加以選擇(或者是預定的),並在至少兩個循環之間保持固定。在其他實施例中,則在至少兩個循環之間改變電漿參數,以形成具有分級的組成的金屬膜或薄膜。
在本發明的一「兩步式」替代實施例(未顯示)中,在金屬階段之後,向反應空間中提供第二反應物的蒸氣相脈衝。第二反應物(或來源化學品)可以是電漿所激發物質、電漿所激發物質的化合物、或者電漿所激發物質與非電漿激發(即中性)物質的混合物。在較佳實施例中,將電漿參數選擇成能獲得所需組成(例如獲得所需功函數),且通常選擇成能形成富含金屬的金屬化合物膜。
在兩步式實施例中,第二反應物可以是還原劑與膜中所需物質的蒸氣相混合物。舉例而言,第二反應物可以是氫氣(H2 )與氮氣(N2 )的電漿所激發物質的混合物。在某些實施例中,第二反應物可以是例如氨(NH3 )或肼(N2 H4 )等含氮化合物的電漿所激發物質。
以脈衝形式提供第二反應物可包括:向反應空間中饋送恒定的氣體供給,並使用所選電漿參數間斷地提供電漿功率脈衝。舉例而言,在金屬階段之後,可向反應空間中儲送H2 及N2 ,並間斷地接通及斷開電漿功率,以產生具有所需組成的金屬氮化物膜。
在足以形成金屬化合物膜(單層)的時間段之後,使第二反應物的脈衝終止,並較佳使用清除氣體移除除反應空間中的任何反應副產物。該移除除步驟可如在圖5的上下 文中針對步驟504所述。
閘極疊層
本發明的較佳實施例可用於形成閘電極,其中閘電極是NMOS或PMOS電晶體中閘極疊層的組件。閘極疊層的總體功函數可藉由選擇閘極疊層的組成而加以調節,其中閘極疊層的組成較佳包含富含金屬的金屬化合物膜。
圖6顯示根據本發明較佳實施例的閘極疊層結構。應瞭解,該圖及其中的組件未必按比例繪製。較佳方法包括:沈積閘極介電層604於基板601上,及沈積閘電極層605於閘極介電層604上。閘極介電層604及閘電極層605共同界定閘極疊層607,閘極疊層607亦可包含一用於橫向傳播訊號的更具金屬性之帶606及/或一介電覆蓋層(未顯示),並可在閘極介電層604與閘電極605間包含障壁層(未顯示),如在美國公開案第2004/0106261中所揭露,該美國公開案是於2004年6月3日公開,其揭露內容併入本案以供參考。基板可以是半導體,例如p型或n型矽。基板601包含源極/汲極區602、603,其通常是在將閘電極疊層607圖案化並形成側壁介電間隙壁(未顯示)之後以自對準方式界定而成。基板601較佳包含用於修改基板表面或基板與閘極介電層604的介面的一或多個層。閘極介電層604可根據任何習知的薄膜沈積技術沈積而成,並可由高k材料製成。一種較佳的高k材料是氧化鉿(HfO2 )。在某些實施例中,當閘電極層包含兩部分(例如上下兩部分)時,在 圖案化之前將更具導電性的閘電極帶層606沈積於閘電極層605上。
閘電極層605的厚度較佳介於約3奈米(nm)與20nm之間,更佳是介於約3nm與10nm之間。閘電極層605較佳是使用為形成具有所需組成的閘電極而選擇的電漿參數、以電漿強化原子層沈積(PEALD)製程沈積而成。所需組成可得到所需的閘極疊層功函數。
介電層604可藉由例如ALD或PEALD等此項技術中習知的任意沈積方法沈積而成。上部閘電極層606較佳是藉由化學蒸氣沈積(CVD)型製程或物理蒸氣沈積(PVD)型製程沈積而成。在某些實施例中,在與用於沈積層605的反應空間不同的反應空間中實施金屬帶層606的沈積。舉例而言,用於沈積層606的反應空間可以是PVD室。
閘極介電層604及閘電極605的材料經選擇以使所提供元件的臨限電壓處於適合於薄膜電晶體的所需範圍內。在某些實施例中,閘極介電層604是氧化物,包含選自由鈦(Ti)、鋯(Zr)、鉿(Hf)、釩(V)、鈮(Nb)、鉭(Ta)、鉻(Cr)、鉬(Mo)、鎢(W)、錳(Mn)、錸(Re)、鐵(Fe)、鈷(Co)、鎳(Ni)、鈀(Pd)、鉑(Pt)、矽(Si)及其組合所組成之族群。舉例而言,介電層可包含一層氧化鉿(HfO2 )或氧化矽(SiO2 )。
閘電極可包含一層二元化合物,例如氮化鉭,或者包含一層三元化合物,例如碳氮化鈦或氮化鉭鋁。在較佳實施例中,閘電極605是化合物,包含選自由鈦(Ti)、鋯(Zr)、鉿(Hf)、釩(V)、鈮(Nb)、鉭(Ta)、鉻(Cr)、鉬(Mo)、鎢(W)、 錳(Mn)、錸(Re)、鐵(Fe)、鈷(Co)、鎳(Ni)、銅(Cu)、銀(Ag)、金(Au)、鈀(Pd)、鉑(Pt)、銠(Rh)、銥(Ir)、釕(Ru)、鋨(Os)及其組合所組成之族群。
在較佳實施例中,閘電極605是由富含金屬的二元化合物或含金屬的三元化合物界定而成。閘極介電層604及閘電極層605是在各沈積步驟之間不暴露於空氣的情況下沈積而成。較佳為介電層表面提供有利的活性表面部位,例如-OH基及/或-NHx 基。在較佳實施例中,可在沈積介電層604及閘電極605時藉由使用單次抽氣或清除而避免因暴露於空氣而對元件下部部分的化學及物理特性造成負面影響。圖6所示元件結構適用於CMOS結構的PMOS及NMOS電晶體。
閘電極包含具有所選組成的材料,以得到所需的閘極疊層功函數。如上文所述,閘電極的組成是藉由在使用PEALD沈積金屬化合物膜或薄膜過程中選取(或選擇)電漿參數來進行選擇。藉由修改閘電極材料的組成而將根據較佳實施例沈積而成的閘電極的功函數調節至所需值。在某些實施例中,此可能需要修改底層605的組成。
反應系統
圖7中顯示反應系統700,其用以根據較佳實施例的方法及製程在基板上形成金屬化合物膜。反應系統700包含反應空間705、蒸氣(或氣體)源容器(「容器」)710、712及714、遠程電漿產生器720、用於現場產生電漿的電源 725、以及分別位於蒸氣源容器710、712及714上游的閥門730、732及734。儘管圖中同時顯示有遠程電漿源及現場電漿源,然而應瞭解,反應系統700亦可配置成僅在現場產生電漿或僅在遠程產生電漿。遠程電漿產生器很容易得到,並可將例如微波能耦合至流動的氣體。電漿產生器亦可將各種其他形式的能量(例如紫外光能量、感應能量、容性能量等)耦合至氣體。反應空間705較佳用以進行電漿強化的蒸氣沈積,更佳是進行電漿強化的原子層沈積(PEALD)。
在所示實施例中,容器710用以提供金屬源化學品;容器712用以提供電漿所激發物質的前驅體(例如H2 、N2 、CH4 等);而容器714則用以提供在金屬化合物膜中所需物質的來源化學品,該物質既可為金屬也可為非金屬(例如C、N、O)。然而,應瞭解,根據本發明的較佳實施例,容器710、712及714可提供任意物質。
所示實施例的反應系統700用以處理基板745。基板745支撐於基板支撐平臺750上,基板支撐平臺750可以是受熱夾盤或基座。所示反應空間705亦包含噴淋頭755,以用於使反應物均勻地分佈於基板745的頂面上,儘管亦可存在其他佈置方式,例如水平層流佈置方式。在需要在現場產生電漿的情形中,噴淋頭755亦可用作現場電漿產生器的一電極,而基板745與基板支撐平臺750的組合則可用作另一電極。在所示實施例中,噴淋頭755用作正電極,而基板745與基板支撐平臺750的組合(其接地)則用 作負電極。在美國專利公開案第2004/0231799號中描述了用於進行電漿強化原子層沈積的噴淋頭,該美國專利公開案的揭露內容全部併入本案以供參考。
反應系統700進一步包含控制器740,用於控制晶圓處理過程的各個方面,例如以脈衝形式提供金屬源化學品、電漿所激發物質、及金屬化合物膜中所需物質的來源化學品;晶圓溫度;反應空間壓力;反應物及反應副產物移除;及電漿產生。較佳地,控制器740亦用於控制電漿產生參數,包括(但不限於)射頻(RF)功率接通時間、RF功率幅值、RF功率頻率、反應物濃度、反應物流速、反應物空間壓力、總氣體流速、反應物脈衝持續時間及間隔、以及RF電極間距。應瞭解,對於不同類型的電漿產生器,控制器740用於控制不同的電漿參數。視電漿產生器的類型(即現場電漿產生器或遠程電漿產生器)而定,控制器740可控制不同的電漿參數。另外,該控制器用於控制反應空間705外部各個蒸氣源的溫度。控制器740可包含一或多個電腦,該一或多個電腦用於相互通信及與反應系統700的各個處理單元進行通信。在所示實施例中,控制器740是與遠程電漿產生器720、現場電漿產生器725、閥門730、732及734、以及位於基板支撐平臺750中的一或多個加熱器及熱電偶(未顯示)進行通信(虛線)。控制器740亦用於控制機械手移動,以將一或多個基板裝載至反應空間705中及自反應空間705中卸載。控制器740用於開啟及關閉閥門730、732及734。該控制器亦用於控制經由出口通道760 移除反應空間705中的反應物及副產物。反應物及副產物移除步驟可包括使用清除氣體清除反應空間705,及/或借助抽氣系統(未顯示)進行抽氣。清除氣體可經由蒸氣源容器710、712及714其中之一提供、或者經由與反應空間705流體連通的其他通道(未顯示)提供。若使用載送氣體(例如H2 、Ar)以脈衝形式提供來源化學品(例如金屬源化學品、金屬化合物膜中所需物質的來源化學品),則載送氣體即可用作清除氣體,且控制器740用於控制來源化學品與載送氣體的混合程度。在某些實施例中,控制器740用於控制抽氣系統(未顯示)。
當現場產生電漿時,將一或多種氣體自至少一個蒸氣源容器712、714經由氣體流動通道引導至反應空間705內的噴淋頭755。藉由自電壓725對噴淋頭施加RF功率,便會產生一或多種氣體的電漿所激發物質。爾後,經由噴淋頭755中的開孔將氣體引導至基板745的頂面。如針對上文的方法所述,控制器740控制電漿參數,以形成具有預定組成的金屬化合物膜。而當在遠程產生電漿時,則將氣體自蒸氣源容器712引導至遠程電漿產生器,在此處產生電漿所激發物質並隨後將電漿所激發物質經由氣體流動通道引導至反應空間705。
應瞭解,反應系統700可存在數種修改方式,此並不背離本發明的範疇。舉例而言,儘管圖中顯示噴淋頭755處於反應空間705中,然而應瞭解,反應空間705可包含任何用於使蒸氣分佈於基板745上的結構或裝置。作為另 一實例,反應系統700可包含不止兩個用於將蒸氣引導至反應空間705中的氣體流動通道。作為再一實例,反應空間705可用於處理多個基板。作為又一實例,反應系統700可包含任意數量及配置的蒸氣源容器及閥門。例如,反應系統700可包含五個與反應空間705連通的源容器。作為尚一實例,儘管圖中顯示反應空間705用於容納單個晶圓745,然而應瞭解,反應空間705亦可為一批量反應器,用於同時處理多個晶圓。
較佳地,將系統700、特別是將控制器740程式化或以其他方式配置成採用定製的電漿參數實施本文所述的方法,以獲得定製的金屬化合物膜組成(例如以控制電晶體閘電極的功函數)。
在至少某些上述實施例中,在一實施例中所用的任一元件亦可通用於另一實施例中,除非此種替換不可行。
儘管已經出於示意目的公開了本發明的示範性實施例,但本領域熟知此項技藝者應知道在不脫離所附專利申請範圍所公開的本發明的範圍和精神的情況下各種修改、添加以及替換是可能的。
101‧‧‧半導體基板
102、602、603‧‧‧源極/汲極區
103‧‧‧輕摻雜汲極區
110、310、360‧‧‧閘極介電層
111‧‧‧間隙壁
114、314、364‧‧‧閘電極
116、316、366‧‧‧通道區
300‧‧‧NMOS電晶體
350‧‧‧PMOS電晶體
502、504、506、508、510、512、514‧‧‧步驟
601‧‧‧基板
605‧‧‧閘電極層
607‧‧‧閘電極疊層
700‧‧‧反應系統
705‧‧‧反應空間
710、712、714‧‧‧蒸氣源容器
720‧‧‧遠程電漿產生器
725‧‧‧電源
730、732、734‧‧‧閥門
740‧‧‧控制器
745‧‧‧基板
750‧‧‧基板支撐平臺
755‧‧‧噴淋頭
760‧‧‧出口通道
圖1是顯示根據先前技術的閘極疊層的剖視圖。
圖2是顯示根據先前技術的CMOS元件的剖視圖。
圖3是根據本發明的較佳實施例,氮化鈦膜組成隨電漿強化原子層沈積(PEALD)製程的RF功率(W)而變化的二維曲線圖。
圖4是根據本發明的較佳實施例,氮化鈦膜組成隨PEALD製程中氮氣(N2 )與氫氣(H2 )流速的比而變化的二維曲線圖。
圖5是根據本發明較佳實施例的脈衝順序的方塊圖。
圖6是顯示根據本發明較佳實施例的閘極疊層的剖視圖。以及圖7是根據本發明較佳實施例用於形成金屬化合物膜的反應器。
502、504、506、508、510、512、514‧‧‧步驟

Claims (32)

  1. 一種在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,所述方法包含:在反應空間中,使基板交替且按順序地接觸至少三種不同反應物之在空間及時間上間隔的蒸氣相脈衝,其中所述至少三種不同反應物包含:金屬源化學品;電漿所激發物質;以及所述金屬化合物膜中所需非金屬物質的來源化學品,其中對用於產生所述電漿所激發物質之電漿參數加以選擇,以相對於所述金屬源化學品中所述金屬的氧化態降低所述金屬化合物膜中所述金屬的氧化態,以及獲得具有預定組成之所述金屬化合物膜。
  2. 如申請專利範圍第1項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中交替且按順序地接觸步驟包含下列步驟:a)選擇電漿參數;b)向所述反應空間內,饋送所述金屬源化學品之蒸氣相脈衝;c)向所述反應空間內,饋送所述電漿所激發物質與所述金屬化合物膜中所需非金屬物質之所述來源化學品其中一者的蒸氣相脈衝;d)向所述反應空間內饋送所述電漿所激發物質與所 述金屬化合物膜中所需非金屬物質之所述來源化學品其中另一者之蒸氣相脈衝;以及e)將步驟a)至d)重覆多個循環,以在所述基板上形成所述金屬化合物膜。
  3. 如申請專利範圍第2項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,更包含:在每一所述蒸氣相脈衝之後,移除所述反應空間中的反應物及任何反應副產物。
  4. 如申請專利範圍第3項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中移除步驟包含:利用惰性氣體清除所述反應空間。
  5. 如申請專利範圍第3項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中移除步驟包含:對所述反應空間進行抽氣。
  6. 如申請專利範圍第2項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中所述金屬化合物膜中所需物質是氮。
  7. 如申請專利範圍第6項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中所述金屬化合物膜中所需物質之所述來源化學品是選自由氨、肼及其混合物所組成之族群。
  8. 如申請專利範圍第2項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中所述金屬化合物膜中所需物質是碳。
  9. 如申請專利範圍第8項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中所述金屬化合物膜中所需物質之所述來源化學品是選自由烷烴、烯烴及炔烴所組成之族群。
  10. 如申請專利範圍第2項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中所述金屬化合物膜中所需物質是氧。
  11. 如申請專利範圍第10項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中所述金屬化合物膜中所需物質之所述來源化學品是選自由水及氧氣所組成之族群。
  12. 如申請專利範圍第2項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中所述金屬化合物膜中所需物質是選自由碳、氮、氧及其組合所組成之族群。
  13. 如申請專利範圍第1項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中所述金屬化合物膜包含選自由鈦、鋯、鉿、釩、鈮、鉭、鉻、鉬、鎢、錳、錸、鐵、鈷、鎳、、銅、銀、金、鈀、鉑、銠、銥、釕、鋨及其組合所組成之族群的金屬。
  14. 如申請專利範圍第1項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中所述金屬化合物膜是富含金屬的。
  15. 如申請專利範圍第1項所述之在電漿強化原子層 沈積製程中沈積金屬化合物膜的方法,其中電漿所激發物質是選自由氫氣、氮氣、氨及其組合所組成之族群。
  16. 如申請專利範圍第1項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中所述金屬源化學品是選自由金屬鹵化物與金屬有機化合物所組成的族群。
  17. 如申請專利範圍第16項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中所述金屬源化學品是選自由金屬溴化物、金屬氯化物、金屬氟化物及金屬碘化物所組成之族群。
  18. 如申請專利範圍第16項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中所述金屬源化學品是選自由金屬二烷基胺基與金屬環戊二烯基化合物所組成的族群。
  19. 如申請專利範圍第1項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中所述金屬化合物膜中所需物質是選自由碳、氮、氧及其組合所組成之族群。
  20. 如申請專利範圍第1項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中所述電漿參數是選自由電漿產生器功率、氣體壓力、氣體流速、電漿產生時間及電漿脈衝持續時間所組成之族群。
  21. 如申請專利範圍第1項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中電漿所激發物 質包含氫氣之所激發物質。
  22. 如申請專利範圍第1項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中電漿所激發物質包含氮氣之所激發物質。
  23. 如申請專利範圍第1項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中電漿所激發物質包含氫氣及氮氣之所激發物質。
  24. 如申請專利範圍第1項所述之在電漿強化原子層沈積製程中沈積金屬化合物膜的方法,其中電漿所激發物質包含氨之所激發物質。
  25. 一種反應系統,所述反應系統包含反應空間及控制器,其中所述控制器用於執行如申請專利範圍第1項所述之方法。
  26. 一種用於成長金屬化合物薄膜的原子層沈積(ALD)製程,所述的製程包括:在反應空間中,使基板交替且按順序地接觸下列物質之在空間及時間上間隔的蒸氣相脈衝:金屬前驅體,其在所述基板的外露表面上形成不多於一個被吸附物質單層,所述被吸附物質包含至少一種金屬;電漿所激發物質,其會與所述金屬發生反應;以及欲納入所述金屬化合物薄膜中之物質的來源化學品, 其中對用於產生所述電漿所激發物質之電漿參數加以選擇,以使所述金屬與所述金屬化合物薄膜中所述物質之化學計量比大於1。
  27. 如申請專利範圍第26項所述之用於成長金屬化合物薄膜的原子層沈積(ALD)製程,其中所述金屬化合物薄膜包含閘電極。
  28. 如申請專利範圍第27項所述之用於成長金屬化合物薄膜的原子層沈積(ALD)製程,其中所述電漿參數經過選擇,以獲得預定之閘電極功函數。
  29. 如申請專利範圍第26項所述之用於成長金屬化合物薄膜的原子層沈積(ALD)製程,其中所述電漿參數是選自由電漿產生器功率、氣體壓力、氣體流速、電漿產生時間及電漿脈衝持續時間所組成之族群。
  30. 如申請專利範圍第26項所述之用於成長金屬化合物薄膜的原子層沈積(ALD)製程,其中電漿所激發物質是選自由氫氣、氮氣、氨、肼及其組合所組成之族群。
  31. 如申請專利範圍第26項所述之用於成長金屬化合物薄膜的原子層沈積(ALD)製程,其中所述金屬化合物膜是富含金屬的。
  32. 如申請專利範圍第26項所述之用於成長金屬化合物薄膜的原子層沈積(ALD)製程,其中所述來源化學品是選自由含氮化合物、含碳化合物、含氧化合物及其組合所組成之族群。
TW096141034A 2006-11-01 2007-10-31 使用電漿強化原子層沈積之控制組成 TWI445836B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/591,927 US7727864B2 (en) 2006-11-01 2006-11-01 Controlled composition using plasma-enhanced atomic layer deposition

Publications (2)

Publication Number Publication Date
TW200829714A TW200829714A (en) 2008-07-16
TWI445836B true TWI445836B (zh) 2014-07-21

Family

ID=39312945

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096141034A TWI445836B (zh) 2006-11-01 2007-10-31 使用電漿強化原子層沈積之控制組成

Country Status (3)

Country Link
US (1) US7727864B2 (zh)
TW (1) TWI445836B (zh)
WO (1) WO2008055017A2 (zh)

Families Citing this family (365)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7470577B2 (en) * 2005-08-15 2008-12-30 Texas Instruments Incorporated Dual work function CMOS devices utilizing carbide based electrodes
US7944006B2 (en) * 2008-01-15 2011-05-17 International Business Machines Corporation Metal gate electrode stabilization by alloying
US8133555B2 (en) * 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100155860A1 (en) * 2008-12-24 2010-06-24 Texas Instruments Incorporated Two step method to create a gate electrode using a physical vapor deposited layer and a chemical vapor deposited layer
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2011013374A1 (ja) * 2009-07-29 2011-02-03 キヤノンアネルバ株式会社 半導体装置およびその製造方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8173554B2 (en) * 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US20120100308A1 (en) * 2010-10-25 2012-04-26 Asm America, Inc. Ternary metal alloys with tunable stoichiometries
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8637410B2 (en) * 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20130078965A (ko) * 2012-01-02 2013-07-10 에스케이하이닉스 주식회사 다성분계 유전막 형성 방법 및 반도체장치 제조 방법
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
EP2750167A1 (en) * 2012-12-31 2014-07-02 Imec Method for tuning the effective work function of a gate structure in a semiconductor device
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150152543A1 (en) * 2013-10-30 2015-06-04 Skyworks Solutions, Inc. Systems, devices and methods related to reactive evaporation of refractory materials
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9685325B2 (en) 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9799511B2 (en) 2015-05-02 2017-10-24 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9871114B2 (en) 2015-09-30 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102506466B1 (ko) 2018-06-22 2023-03-07 어플라이드 머티어리얼스, 인코포레이티드 금속 막들의 촉매화된 증착
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP6909762B2 (ja) 2018-07-23 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20220051192A (ko) 2019-08-13 2022-04-26 어플라이드 머티어리얼스, 인코포레이티드 다이렉트 마이크로파 플라즈마를 이용한 peald 티타늄 나이트라이드
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11495464B2 (en) * 2020-07-08 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
US6373111B1 (en) 1999-11-30 2002-04-16 Intel Corporation Work function tuning for MOSFET gate electrodes
KR100387259B1 (ko) 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6518106B2 (en) 2001-05-26 2003-02-11 Motorola, Inc. Semiconductor device and a method therefor
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
US6458695B1 (en) 2001-10-18 2002-10-01 Chartered Semiconductor Manufacturing Ltd. Methods to form dual metal gates by incorporating metals and their conductive oxides
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US6955986B2 (en) * 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7338901B2 (en) * 2005-08-19 2008-03-04 Tokyo Electron Limited Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US7494937B2 (en) * 2007-03-30 2009-02-24 Tokyo Electron Limited Strained metal silicon nitride films and method of forming

Also Published As

Publication number Publication date
US20080102613A1 (en) 2008-05-01
WO2008055017A3 (en) 2008-07-31
WO2008055017A2 (en) 2008-05-08
US7727864B2 (en) 2010-06-01
TW200829714A (en) 2008-07-16

Similar Documents

Publication Publication Date Title
TWI445836B (zh) 使用電漿強化原子層沈積之控制組成
TWI816783B (zh) 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10991573B2 (en) Uniform deposition of SiOC on dielectric and metal surfaces
TWI488990B (zh) 使用鋁烴化合物之金屬碳化物膜的原子層沈積
US7776733B2 (en) Method for depositing titanium nitride films for semiconductor manufacturing
US6451119B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP5441340B2 (ja) 窒化タンタル膜のプラズマald
CN110959186B (zh) 在互连金属化中沉积钌层
TWI383449B (zh) 半導體裝置之製造方法、基板處理裝置及基板處理方法
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US7666474B2 (en) Plasma-enhanced pulsed deposition of metal carbide films
JP2004186693A (ja) 調節された仕事関数で電極を形成する方法
TWI796388B (zh) 減少或消除鎢膜中缺陷的方法
TW201220367A (en) Ternary metal alloys with tunable stoichiometries
US20200090991A1 (en) Method Of Forming Via With Embedded Barrier
KR100985363B1 (ko) 반도체 장치의 제조방법 및 기판처리 장치
US7589020B2 (en) Method for depositing titanium nitride films for semiconductor manufacturing
CN115769365A (zh) 改良阻挡性质的钛材料的氮化物覆盖
TW202140834A (zh) 碳基膜的氣相沉積
TW202320168A (zh) 填充間隙之方法
WO2024112433A1 (en) Batch processing chambers for plasma-enhanced deposition
KR100780605B1 (ko) 탄탈륨지르코늄산화막을 구비한 반도체소자 및 그의 제조방법