TWI327746B - Method of forming pitch multipled contacts - Google Patents

Method of forming pitch multipled contacts Download PDF

Info

Publication number
TWI327746B
TWI327746B TW095132042A TW95132042A TWI327746B TW I327746 B TWI327746 B TW I327746B TW 095132042 A TW095132042 A TW 095132042A TW 95132042 A TW95132042 A TW 95132042A TW I327746 B TWI327746 B TW I327746B
Authority
TW
Taiwan
Prior art keywords
layer
pattern
pitch
mask
contacts
Prior art date
Application number
TW095132042A
Other languages
English (en)
Other versions
TW200721253A (en
Inventor
Luan C Tran
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW200721253A publication Critical patent/TW200721253A/zh
Application granted granted Critical
Publication of TWI327746B publication Critical patent/TWI327746B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Non-Volatile Memory (AREA)

Description

九、發明說明: 【發明所屬之技術領域】 本發明大體而言係關於積體 .^ w遐電路製造、用於製造電腦記 憶體之技術及遮罩技術。 【先前技術】 由於許多因素’包括現代電子 欣 35件之增強的可攜性、計 异能力、記憶體容量及能效之需 <而衣’正不斷減小積體電路 之尺寸。為促進此尺寸之減小, 研究延伸至減小積體電路 之組成特徵之尺寸。此等組成 双将徵之實例包括電容器、電 接點、互連線及其他雷翁裝番 丹他職裝置。例如,在諸如動態隨機存 取記憶體(DRAM)、靜態隨機存取記憶體(SRAM)、鐵電式 (FE)„己隐體、電子可擦可程式唯讀記憶體阳、快閃 記憶體等之記憶體電路或裝置中,減小特徵尺寸之趨勢係 顯然的。 NAND(反及)快閃記憶體晶片(例如)通常包含上百萬個 稱為記憶體單元的相同電路元件,其排列於與邏輯電路相 關聯之複數個陣列中。每一記憶體單元習知地儲存一位元 之資訊,雖然多層單元裝置可儲存每單元一個以上之位 元。在其最一般形式中,一記憶體單元通常由兩個電氣裝 置組成.一儲存電容器及一存取場效應電晶體。每一記憶 體單元係一可儲存一位元(二進數位)之資料之可定址位 置。可經由該電晶體將一位元寫入至一單元且藉由自參考 電極側感應儲存電極上之電荷而讀取該位元。藉由減小組 成電氣裝置、使其連接之導線及在其之間載運電荷之導電 114277.doc 接點的尺寸,可減小併入該等特徵之記憶體裴置的尺寸。 可藉由將更多記憶體單元配備於記憶體裝置中而增大儲存 容量及電路速度。 特徵尺寸之持續減小對用於形成特徵之技術提出日益增 大的需求。舉例而言,光微影通常用於在一基板上圖案化 特徵。螺距之概念可用於描述該等特徵之尺寸。螺距係兩 個相鄰特徵中之相同點之間的距離。該等特徵通常由鄰近 特徵之間的空間界定,該等空間可由諸如絕緣體之材料填 充。結果,當一相鄰特徵係一重複或週期性圖案之部分時 (諸如可在一陣列之特徵中發生),可將螺距看作該特徵之寬 度與使特徵與一相鄰特徵分離之空間的寬度之和。 某些光阻劑材料僅回應某些波長的光。可使用之一共同 波長範圍位於紫外線(uv)範圍中。因為許多光阻劑材料選 擇性地回應特定波長,故光微影技術每一者具有一最小螺 距,低於該最小螺距,特定光微影技術不能可靠地形成特 徵。此最小螺距通常由可用於彼技術之光的波長來決定。 因此’光微影技術之最小螺距可限制特徵尺寸之減小。 螺距倍増(或螺距加倍或螺距減小)可擴充光微影技術之 能力以允許形成更密集配置之特徵。此方法說明於圖丨八至 1F中且描述於頒於L〇wrey等人之美國專利第5,328,81〇號 中,其全部揭示内容以引用方式併入本文中且構成本說明 書之。卩分。為方便起見,此處亦將簡要概述該方法。 參看圖1A,首先使用光微影以在覆蓋一可消耗材料層2〇 及一基板30之光阻劑層中形成線1〇之圖案。圖i中所示之層 114277.doc -6 - 皆以橫戴面而示意性地展示。如圖1B中所示,既而藉由一 蝕刻步驟(較佳為各向異性的)將圖案轉移至層20而形成佔 位或心轴40。若該钱刻係各向異性的,則心軸具有如圖所 R近似垂直之侧面》如圖1(:中所示,可剝離光阻劑線ι〇 且可各向同性地㈣心軸4〇以増大相鄰心軸4()之間的距 離。或者可在轉移之前對抗_執行此各向同,隨刻(或收 料層50。既而藉由在一定向(或各向異性)間隔物㈣中優先 自水平表面70及80蝕刻間隔物材料而在心軸4〇之側面上形 成間隔物6〇(意即,自另一材料之側壁延伸或最初形成之自 另一材料之側壁延伸的材料)。在圖1E中展示此等間隔物。 既而移除剩餘心軸40,在基板30上僅留下間隔物6〇。如圖 1F中所示,間隔物60—起充當用於圖案化之遮罩。因此, 在給定螺距先前包括界定一特徵及一空間之一圖案的情況 下,相同寬度現包括由間隔物60界定之兩個特徵及兩個空 間。結果,藉由此"螺距倍增"技術有效減小使用一光微影 技術之可能的最小特徵尺寸。 雖然在上述實例中螺距實際上減半,但此螺距之減小習 知地稱為螺距"加倍",或更一般而言稱為螺距"倍增"。意 即,某一因數之習知地"倍增"螺距實際上包含由此因數減 小螺距。實際上,"螺距倍增"藉由減小螺距而增大特徵密 度。因此螺距具有至少兩個含義:一重複圖案中之相同特 徵之間的線性間距;及每線性距離之特徵之密度或數目。 本文中保留習知術語。 I14277.doc 1327746 一遮罩機制或電路設計之 徵尺寸或在彼設計或機制中最(=)係機制之最小特 量測。歸因於諸如一積體電:=:特徵之最小寬度的 4何複雜性及不同要求之 丁 電路之所有特η η 常並非將螺距倍增積體 ^雄 s相對於習知微影需要許 =額專額外步驟可佔用相當大的額外費用。然 而,右螺距倍增一積體電路之 ^ ^ ^ . 二特徵’則在亦未螺距倍
0 /、彼等特徵形成界面之連接 筏将徵時將係不便的。因此, 有利地’經組態以彼此接觸之特徵具有類似尺寸。此等類 似尺寸可允許積體電路上之更小或更多的有效工作單元, 因而增大特徵密度且減小晶片尺寸。 經由絕緣材料形成接點以在下伏電路層與上覆電路層之 間形成電連接之習知方法尚不允許接點特徵之密度匹配意 欲由彼等接點特徵連接之特徵的密度。因此,需要形成可 匹配意欲由彼等接點特徵連接之特徵之密度的具有減小之 尺寸之接點的方法’尤其在已使用螺距倍增以形成待連接 之特徵之情況下。 此外’需要減小積體電路之尺寸及增大電腦晶片上之電 氣裝置陣列之可操作密度。因此,存在對形成微小特徵之 改良方法、增大特徵密度之改良方法、將生產更多有效陣 列之方法及將提供更密集陣列而不傷害特徵解析度之技術 的需要。 【發明内容】 在某些實施例中,本發明包含形成電接點之方法。此等 H4277.doc 1327746 接點可藉由提供—由多層遮罩材料覆蓋之絕緣層而形成。 既而在遮罩材料中形成一系列可選擇地界定之線,盆中該 等線具有—圖案。既而使用—間隔物材料對線執行螺距減 小以形成經減小螺距之遮罩線,該等遮罩線沿間隔物之韩 係狹長的。因此可由-經減小螺距之空間分離每—經減小 螺距之遮罩線。既而可施加與遮罩特徵之_部分交又之一 第二光阻劑圖案。該第二圖案可具有—fn,㈣口留下 未由光阻劑覆蓋之經減小螺距之遮罩線及鄰近經減小螺距 之空間的多個部分。該窗口可具有一不平行於經減小螺距 之遮罩線之狹妹的狹長軸。隨後可經由(部分由經減小螺 距之空間界定之)一第三圖案蝕刻絕緣層以在絕緣層中形 成接觸通路。接觸通路可由—導電材料填充以形成電接點。 在某些實施例中,本發明包含形成一積體電路之狹長通 路之方法。該方法可包括在-下伏層上沈積至少—層遮罩 材料。該方法亦可包括使用習知光微影在一遮罩材料層中 形成一第一組線。可形成減小螺距之間隔物線,其沿平行 於該第一組線之一轴係狹長的,且間隔物線可產生一第一 圖案。亦可使用習知微影在覆蓋減小螺距之線之層中形成 一第二圖案。第二圖案可具有一開口,且該開口沿一平行 於減小螺距之線之狹長軸可具有一第一寬度。此外,可不 使用螺距減小技術而界定該第一寬度。既而,經由由兩個 圖案之重疊界定之一經組合的圖案來蝕刻絕緣層以在下伏 層中形成接觸通路。 在某些實施例中,本發明包含製造一積體電路之方法。 114277.doc 1327746 該:法可包括鋪設材料以形成一絕緣層、一覆蓋該絕緣層 之匕時層及一覆蓋該臨時層之第一可選擇地界定之 今箄層Φ 牡 τ,可在第一可選擇地界定之層中形成對應於一第 -圖案的特徵。可將第一圖案轉移至臨時層,且可在臨時 層中之特徵之側壁上形成間隔物。可移除臨時層之特徵且 留下對應於—第二圖案之間隔物。此外,可在間隔物上施 加第-可選擇地界定之層,且可在第二可選擇地界定之 層中形成對應於-S三圖案之特徵。接著,可在由第二及 第三圖案中之空間暴露之一下伏層中蝕刻孔。在形成孔 後可將導電材料插入孔中,使得導電材料形成電路特徵, 每特徵具有一長度及一寬度,其中該寬度由第二圖案之 解析度決定’ i該長度由㉟第三圖案之解析度決定。 在某些實施例中,本發明可包含一電腦記憶體陣列,其 包含.一系列電晶體;覆蓋該等電晶體之一系列位元線; 及電晶體與位S線H系列接點。該等接點在一尺寸
上可具有經減小之螺距且具有可由習知光微影界定之另一 尺寸。 在某些實施例中,本發明可包含一積體電路,其包含:具 有一螺距寬度之多個電晶體;具有一螺距寬度之多個上覆 數位線;及在電晶體與數位線之間垂直延伸之多個電接 點,該等接點具有一接近電晶體及數位線之螺距寬度之螺 距寬度。 【實施方式】 參看圖2,以橫截面展示一積體電路之一部分。在一下伏 114277.doc •10· 1327746 基板層220中’特徵222經形成而向上延伸。在某些實施例 中,特徵222逐漸變細,使得特徵222在其上端具有薄於特 徵222在其底端具有之橫截面的橫截面。歸因於特徵之上部 暴露於一蝕刻化學反應歷時比下部更長的一時間週期,此 逐漸變細之形狀可係以橫向蝕刻分量進行一蝕刻步驟之結 果。特徵222在進入及離開紙面之尺寸上有利地係較長的, 使得特徵222之臨界尺寸在X維及Y維上係不同的。電晶體 柱狀物(Transistor pillar)(未圖示)較佳突出於由其他位置處 之特徵界定之脊上。於2004年12月13日申請且讓渡於 Micron,Inc·的Haller之美國專利申請案第11/010,752號(代 理人案號 MICRON.288A; Micron參考號 2003-1333)、於 2004 年9月2曰申請且讓渡於Micron, Inc.之美國專利申請案第 10/934,621 號(代理人案號 MICRON.297A; Micron參考號 2003-1292)、及於2004年9月1日申請且讓渡於Micron,Inc· 之美國專利申請案第1〇/933,062號(代理人案號 MICRON.299A; Micron參考號 2004-0398)提供用於在一積 體電路中組態電晶體柱狀物之例示性方法,該等專利申請 案以引用方式併入本文中且構成本說明書之部分。此外, (例如)在於2004年8月19日申請且讓渡於Micron,Inc·之美 國專利申請案第10/922,583號(代理人案號MICRON.290A; Micron參考號2003-1476)中描述用於形成諸如特徵222之較 佳方法,該專利申請案之揭示内容以引用方式併入本文中 且構成本說明書之部分。有利地,可使用如(例如)'752、'621 及’062申請案中揭示之使用硬質遮罩間隔物以增大特徵密 114277.doc 11 丄327746 度且減小臨界尺寸的一螺距倍增製程來形成特徵222。 在某些實施例中’特徵222可具有不同組態。舉例而言, 與經說明之特徵222之角相比,特徵可具有較不銳利地界定 之角。此外,特徵222之比例、形狀、間距、高度、寬度及 輪廓可不同於圖2中之說明。特徵222可包含一或多個電晶 體、二極體、電容器、導線、NOR邏輯陣列、NAND邏輯陣 列、閘極、源極、汲極、至上述任一者之接點等之部分。 在某些實施例中,特徵222係由一包含一半導電材料之基板 材料形成。舉例而言’該半導電材料可為矽、矽鍺化合物 或in-v材料。在所說明之實施例中,特徵222由矽形成且界 定一記憶體陣列之電晶體之有效區域。 此外’特徵222較佳在其上端具有一小於120奈米(nm)之 寬度223。更佳地’寬度223可小於70 nm。更佳地,寬度223 可為約60 nn^將來’寬度223可為約50 nm或小於50 nm。 特徵222由較佳由一絕緣材料填充之溝槽224分離。該等 溝槽224可使用淺溝槽形成技術而形成。在上文併入本文中 之’752、’621及’062申請案中描述用於形成溝槽224之較佳方 法。垂直於所說明之溝槽224之額外的更淺溝槽可沿有效區 域之脊或特徵222在不同電晶體之間提供分離。 在一較佳實施例中,溝槽224以二氧化矽之形式來填充。 此外’溝槽224較佳在其上端具有一小於12〇 nm之寬度 225 °更佳地’寬度225小於70 nm,且由螺距倍增來界定。 尤其更佳地’寬度225可為約50 nm或小於50 nm。通常,如 上所述’溝槽寬度225將大於特徵寬度223,此係因為特徵 114277.doc •12· 1327746 222上之蝕刻製程之效應。較佳地,寬度225與填充溝槽224 之材料經共同設計以阻止特徵222之間的電相互作用。 如本說明書中所使用,術語"圖案"可指代一陣列或一系 列之形狀,若自上方觀察,則形狀在一表面上將係可見的。 圖案可指代對應於形成於一或多層_之特徵之一橫截面或 陰影的形狀之集合》圖案通常並非特徵本身,而是對應於 特徵之尺寸及配置之設計。圖案可由來源於多個上覆層或 並排層之圖案之組合來界定。圖案可產生於一諸如一光可 界定之層的層中,且既而轉移至諸如一臨時層或一硬質遮 罩層之另一層。據稱,圖案轉移至下層,即使(例如,由以 上說明之特徵收縮步驟)改變特徵尺寸及間距時亦如此。相 反,一新圖案由螺距倍增來界定,藉此第二圖案中之兩個 特徵替代第一圖案之一特徵。 一層中之圖案可來源於另一先前層或上覆層中之一或多 個圖案。可認為一圖案來源於另一圖案,即使所得層中之 特徵並不精確類似於產生原圖案之彼等特徵時亦如此。 形成於一特定層中之特徵之配置可產生一圖案。一陣列 亦可產生一圖案。一陣列係一形成於一重複圖案中之電氣 組件或特徵之集合,該陣列可橫跨一積體電路之多個層。 如上所述’多個單元可形成一 NAND快閃記憶體電路之一記 憶體陣列或(例如)一邏輯陣列。 進一步參看圖2’ 一上覆層240覆蓋(下文論述之)層230及 層220。有利地’層240可具有一小於〇·65 μιη之厚度241。 層240可具有一在50 nm與200 nm之間的厚度241。在某些實 114277.doc •13· 152 Π 施例中,層240具有一約15〇 nm之厚度24卜 上覆層24〇包括導線242。在某些實施例中如圖所示, 該等線242具有矩形橫截面。有利地,線242在延伸入及延 伸出紙面之尺寸上係較長的,使得線242之臨界尺寸可由所 不之橫截面決定。有利地,線242可使用一螺距倍增製程而 形成’該螺距倍增製程㈣硬㈣罩間隔物以增大線密度 且減丨、臨界尺寸。在某些實施例中,線Μ]可具有不同形 態。舉例而言,線可具有與經說明之線242之角相比較不銳 利的角。此外,線242之比例、形狀、間距、高度、寬度及 輪廓可不同於圖2中之說明。在某些實施例中,線242係由 金屬形成。舉例而言,導電材料可為銅、鋁、銅或鋁之導 電合金等。在一較佳實施例中,線242為一記憶體陣列之數 位線或位元線。在一較佳實施例中,線242為NAND快閃記 憶體或DRAM陣列之部分。 此外’線242較佳具有一小於12〇 nm之寬度243且係由螺 距倍增所界定。更佳地,寬度243可小於7〇 nm。尤其更佳 地’寬度243可為約5〇 nrn或小於50 nm。 線242由較佳由一絕緣材料填充之間隙244分離,該絕緣 材料可為(例如)一低k介電質。舉例而言,間隙244可由一介 電材料填充。在習知金屬化中,首先形成線242且在後續步 驟中填充間隙244,然而,在波紋金屬化中,首先界定絕緣 體中之溝槽之間的間隙244,且填充溝槽以界定線242。在 任一狀況下’可使用螺距倍增而形成層24〇中之圖案。因 此’間隙244較佳具有一小於120 nm之寬度245。更佳地, -14- 1327746 寬度245可小於7〇nm。尤其更佳地,寬度245可為約5〇nm。 較佳地,寬度245係與界定或填充間隙244之材料經共同設 計’以阻止線244之間的電相互作用。 進一步參看圖2, 一中間層230位於下伏基板層22〇與上覆 層240之間。有利地,中間層23〇可具有一小於i μιη之厚度 231。在較佳實施例中,層23〇具有一在約〇 5〇 μιη至約〇 65 μιη之範圍内的厚度231。 中間層230包含接點232及絕緣材料234。絕緣體234有時 稱為"層間介電質"或ILD〇 ILD通常用於使下伏特徵(諸如電 晶體之源極區域或特徵222)與上覆導電元件(諸如位元線或 線242)分離。形成經由ILD延伸之接點,該等接點使特定下 伏特徵與特定上覆導電元件連接。術語”層間介電質"可意 謂一 ILD自身並非一層而僅位於兩個導電層之間。然而,為 方便起見,本揭示案將中間層23〇稱為一"層,,。 在某些實施例中,接點232逐漸變細,使得接點232在其 下端具有薄於特徵232在其上端具有之橫截面的橫截面。此 逐漸II細之形狀可由一乾式蝕刻製程產生,其中將ild 之上部暴露於一蝕刻劑歷時比下部更長的時間週期,此有 利地提供用於上覆線242之-較寬定位區$,同時在下端保 持一較窄寬度以避免歸因於未對準而觸摸無關結構。 在圖2中,已使用習知光微影界定接點232。已使接點Μ〗 乂錯(參見圖3),因為接點232不具有與特徵222之螺距寬度 相同之螺距寬度。因此’由習知光微影形成之接點可用於 接觸、i螺距倍增之特徵^因為如上所述之可由#刻步驟產 114277.doc -15- 生之錐體效應且因為習知光微影允許一遮罩界定一特徵之 兩個尺寸,故A可得以實現。肖經交錯之幾何結構(相對於 彼此偏移不同的兩組或兩群特徵)組合之該等效應允許接 點232提供適當接觸而無短路。(圖3說明經交錯之幾何結 構)。在圖2之橫截面中,並非所有五個特徵222鄰接對應接 點232。實際上,因為接點被間隔開如此之寬,以致接點 僅接觸五個經說明之特徵222中之三者。如圖3所說明,剩 餘兩個特徵222由接點232接觸,若以不同平面而獲得橫截 面,則該等接點232將係可見的。 接點232可具有不同組態。舉例而言,與彼等經說明之接 點23 2相比’特徵可具有不銳利地界定之角。此外,接點232 之比例、形狀、間距、高度、寬度及輪廓可不同於圖2中之 說明。接點232可由(例如)多晶矽、金屬或多晶矽與金屬或 金屬矽化物之一組合形成。 此外,接點232在其下端具有一小於12〇 ηιη之寬度233。 較佳地’該寬度233對應於特徵222之寬度223(即約50 nm)。 因此,如所說明,當接點232與特徵222對準時,其寬度在 其彼此接觸致情況下係相同的,而不管特徵222經螺距倍增 且接點232由習知微影界定之事實。 接點232各自在其上端具有一約70 nm之寬度237。因此寬 度237並非大得以至於接觸一個以上之線242。然而,接點 232比線242寬,使得即使當完全對準時,接點232在靠近層 230與層240之間的界面之任一側上延伸超出線242約1 〇 nm。當接點232比諸如線242(如此處所示)之線更寬時,難 114277.doc -16 - 1327746 以配置接點以便與每—線242及特徵222形成界面。若(例如) 向所說明之組態添加另外兩個接點232(因而在剩餘兩個線 242與特徵222之間建立電接觸),則彼等接點將存在與現有 接點232之較寬部分重疊之風險。因此,如所說明,未經螺 距倍增之一系列接點232僅可每隔一經螺距倍增之下伏特 徵而與其接觸。 一可使用接點232而允許每一線242接觸一對應特徵 之方法係使接點232交錯。圖3說明此經交錯之接點組態。 圖3說明沿如圖2中所示之靠近層23〇之頂部的一平面獲得 之接點232之橫截面圖。使用虛線展示特徵222以指示其隱 藏於絕緣材料234之下。亦隱藏於絕緣材料234之下的為與 特徵222交替之溝槽224。在所說明之配置中,接點232具有 一短於其呈非臨界尺寸之長度339的呈其臨界尺寸之寬度 237。或者,由習知光微影形成之接點亦可係圓形且在其經 適當交錯且對準時仍適當作用。圖3展示如何使接點232交 錯以便接觸鄰近下伏特徵222。此係因為上部接點寬度237 寬於下伏特徵寬度223(如圖所示)。 使用經由習知光微影技術形成之經交錯之接點的劣勢係 一積體電路中之此組態需要大量空間。如圖3展示,與特徵 及線(接點經設計以接觸該等特徵及該等線)相比,使接點 232交錯減小接點密度。因此,在圖3中,接點232接觸下伏 特徵222所需之空間大於不需使接點232交錯時將需要之空 間。詳言之,鑒於一積體電路專用於接點232之區域可具有 一近似於接點232之長度339之尺寸,接點交錯要求此尺寸 114277.doc •17- 1327746 近似於單個接點232之長度339的兩倍。因為積體電路通常 具有含有多個接點之多個區域,故經交錯之接點在減小特 徵密度或增大積體電路之晶粒區域時可具有一巨大的累積 效應。 參看圖4’提供一部分形成之積體電路1〇〇。在各種遮罩 層120至150下方提供一基板110。如下文所論述,將蝕刻該 等層120至150以形成一用於圖案化基板11〇以形成各種特 徵之遮罩。 覆蓋基板110之層120至150之材料較佳基於本文中所論 述之各種圖案形成及圖案轉移步驟之化學及製程條件的考 慮而選擇《因為在最上方可選擇地界定之層12〇(其較佳可 由一光微影製程界定)與基板11〇之間的層較佳將作用以將 來源於該可選擇地界定之層12〇之一圖案轉移至基板11〇, 故可選擇地界定之層120與基板11〇之間的層較佳經選擇使 付其相對於其他經暴露之材料可被選擇性地蝕刻。當一材 料之蝕刻速率大於周圍(例如下伏或上覆)材料之蝕刻速率 至:>、約5倍、較佳約1 〇倍且最佳約4〇倍時,認為此材料被選 擇性地或優先地蝕刻。 在所說明之實施例中,可選擇地界定之層12〇覆蓋一第一 硬質遮罩或钱刻終止層UO,層130覆蓋一臨時層140,層140 覆蓋一第二硬質遮罩或蝕刻終止層15〇,層15〇覆蓋待經由 一遮罩處理(例如蝕刻)之基板11()。視需要,用於處理基板 110之遮罩可形成於第二硬質遮罩層150中。對於所說明之 實施例而^,基板11〇包括一用於形成接點之上部層間介電 114277.doc -18- 1327746 (ILD)層,且亦可包括一上 rcMpm , ^ ^ 蝕刻終止層或化學機械研磨 (讀)終止層。然而,在所說明之實施财 = 可充當回钱導電填充物期間之CMp終止劑。w遮罩。。 在轉移圖案之常用方法中,遮罩及下伏基板皆暴露於一 鞋刻劑,該钱刻劑優先银刻掉基板材料。然而,钱刻劑亦 摘耗遮罩材料(儘管以-較低速率)。因此,在轉移圖案過程 中,在圖案轉移完成之前,遮罩可由钱刻劑損耗。在基板 u〇包含待姓刻之多個不同材料之情況下,將加劇該等難 題。在此等狀況下,可使用額外遮罩層(未圖示)或更且有選 擇性之材料以防止在圖案轉移完成之前損耗遮罩。 因為基於化學及製程條件之要求來選擇各層,故在某些 實施例中可省略-或多個層。舉例而言,在其中基板㈣相 對簡單(例如基板1 10係單層材料之情況下且蝕刻深度係中 等深度之情況下)之實施例中,可省略額外遮罩層(未圖 示)。在此等狀況下,第二硬質遮罩層150可為用於將一圖 案轉移至基板110之足夠的遮罩。在所說明之實施例中,遮 罩層150有利地起一保護作用,在上覆層之蝕刻期間防止下 伏層使其免於不利之降解。類似地,對於一尤其簡單之基 板110而言,可省略諸如第二硬質遮罩層15〇自身之各種其 他層,且上覆遮罩層可足以用於所要之圖案轉移。較高數 目之遮罩層有助於將圖案轉移至難以蝕刻之基板,諸如包 含多個材料或多層材料之基板或用於形成小及高之縱橫比 特徵之基板。 參看圖4,可選擇地界定之層i 20較佳由光阻劑(包括此項 114277.doc •19· 1327746 技術中已知之任一光阻劑)形成。舉例而言,光阻劑可為與 ^•7 奈米(nm)、157 ηϊη、193 nm、248 nm 或 365 nm 波長系 統、193 nm波長浸沒系統或電子束微影系統相容之任一光 阻劑。較佳光阻劑材料之實例包括氟化氬(ArF)敏感光阻劑 (意即,適用於ArF光源之光阻劑)及氟化氪(KrF)敏感光阻劑 (忍即,適用於KrF光源之光阻劑)。ArF光阻較佳用於利用 相對較紐波長之光(例如〗93 nm)的光微影劑系統。KrF光阻 劑較佳用於較長波長之光微影系統(例如248 nm系統在其 他實施例中,層120及後續抗餘劑層可(例如)藉由使用模具 或機械力來圖案化一抗蝕劑而由抗蝕劑形成,該抗蝕劑可 由奈米壓印微影來圖案化。 光阻劑通常藉由經由一主遮罩暴露於輻射且既而顯影而 圖案化。在負光阻劑之狀況下,輻射(例如光)集中於待保留 之光阻劑之部分上,例如集中於待形成線(諸如線124(參見 圖5))之區域上。通常,輻射激活一感光化合物(例如一光致 酸產生劑(PAG)) ’其(例如)藉由導致光阻劑聚合而降低光阻 劑之溶解度。可使用包括正光阻劑或負光阻劑之任一可界 定之材料來應用較佳實施例。 第一硬質遮罩層130之材料較佳包含一無機材料,且例示 性材料包括二氧切(Si〇2)、0或諸如f奴氮氧化石夕之一 介電抗反射塗層(DARC)〇在所說明之實施例中,第一硬質 遮罩層130係—介電抗反射塗層(DARC)。因此,硬質遮罩 層130在微影期間可用作_中間硬f遮罩且用以減小反 射。臨時層140較佳由非晶碳形成,其提供相對於較佳硬質 114277.doc •20· 1327746 遮罩材料之非常高的蝕刻選擇性。更佳地,非晶碳呈透明 碳之形式,其對光高度透明且其藉由對用於光對準之波長 的光透明而提供此對準之進一步之提高。用於形成一高度 透明碳之沈積技術可在A. Helmbold、D MeissneriThin
SoUd FUms之283(1996) 196_203中找到,其之全部揭示内容 以引用方式併入本文中且構成本說明書之部分。 對於第一硬質遮罩層130而言,使用DARC材料尤其有利 於形成具有接近一光微影技術之解析度限值之螺距的圖 案。DARC可藉由最小化光反射而增強解析度,因而增大精 度,光微影可以該精度界定一圖案之邊緣。視需要,除第 一硬質遮罩層130外或替代第一硬質遮罩層13〇,可類似地 使用一有機底部抗反射塗層(BARC)(未圖示)以控制光反 射。在第一硬質遮罩層130與層120之間可添加一可選之旋 塗式抗反射塗層》 第一硬質遮罩層150較佳包含一介電抗反射塗層 (darC)(例如氮氧化矽)、矽或氧化鋁(a12〇3;^此外,類似 於臨時層140,歸因於非晶碳相對於許多材料之優良的蝕刻 選擇性’額外遮罩層(未圖示)較佳由非晶碳形成。 除選擇各層之適當材料外,層120至丨5〇之厚度較佳取決 於與本文中所述之蝕刻化學及製程條件之相容性來選擇。 舉例而言’當藉由選擇性地蝕刻下伏層而將一圖案自一上 覆層轉移至一下伏層時,在某種程度上移除來自兩個層之 材料。因此,上層較佳係足夠厚的,使得其在圖案轉移過 程中未被損耗。硬質遮罩層有利地係薄的,使得其之轉移 114277.doc •21 - 1327746 或移除可為短暫的’藉此暴露周圍材料使其具有較小損耗。 在所說明之實施例中,可選擇地界定之層120係厚度較佳 在約50至300 nm之間且更佳在約2〇〇至250 nm之間的一光 可界定之層。第一硬質遮罩層130之厚度較佳在約1〇至5〇 nm之間且更佳在約1 5至30 nm之間。臨時層140之厚度較佳 在約100至200 nm之間且更佳在約1()〇至15〇 nm之間。視相 對於下伏層之選擇性而定,第二硬質遮罩層15〇之厚度較佳 在約20至80 nm之間且更佳約50 nm。 可由熟習此項技術者已知之各種方法形成本文中所論述 之各層。舉例而言’諸如化學氣相沈積之各種氣相沈積製 程可用於形成硬質遮罩層。較佳地,使用一低溫化學氣相 沈積製程在遮罩層上沈積硬質遮罩層或任何其他材料(例 如間隔物材料),其中該遮罩層由非晶矽形成。此等低溫沈 積製程有利地防止非晶碳層之化學或物理破裂。可使用旋 塗式塗覆製程來形成光可界定之層。此外,可使用一烴化 合物或此等化合物之混合物為碳之前驅體藉由化學氣相沈 積來形成非晶碳層。例示性前驅體包括丙烯、丙炔、丙烷、 丁烷、丁烯、丁二烯及乙炔β在於2〇〇3年6月3日頒於 Fairbairn等人之美國專利第6,573,〇3〇 m號令描述一用於 形成非晶碟層之適當方法,該專利之全部揭示内容以引用 方式併入本文令且構成本說明書之部分。此外,可換雜非 晶碳。在Yin等人之美國專利申請案第1〇/652 174號中描述 用於形成經摻雜之非晶碳之適當方法,該申請案之全部 揭示内容㈣用方式併人本文中且構成本說明書之部分。 114277.doc •22- 1327746 2上所述,在所說明之實施财,形成由多層遮罩材料 ,之,邑緣層。基板11〇包括該絕緣層且遮罩層12〇至 形成於該絕緣層上。在某些實施例中,層150可為主遮罩 層’層14G可為臨時層,且層m可為光阻劑層。在所說明 之實施例中,層150係蝕刻終止層或CMp終止層。 在根據較佳實施例且參看圖々至"之方法的第一階段 中,由螺距倍增形成間隔物圖案。
參看圖5,包含由可界定之材料特徵124定界之空間η] 的圖案形成於可界定之層120中。料空間122可由(例如) 光微影形成,其中,可選擇地界定之層120經由一主遮罩暴 露於輻射且既而顯影。在顯影後,剩餘可界定之材料(所說 明之實施例中之光阻劑)形成諸如所說明之線124(以橫截面 來展示)之遮罩特徵。
所得線124之螺距等於線124之寬度與相鄰空間122之寬 度的和。為最小化使用線124及空間122之此圖案形成的特 徵之臨界尺寸,螺距較佳處於或接近用於圖案化可界定之 層120之光微影技術的限值。舉例而言,對於利用248 光 之光微影而言’線124之螺距可為約1〇〇 nm。因此,螺距可 處於光微影技術之最小螺距’且以下論述之間隔物圖案可 有利地具有一低於光微影技術之最小螺距的螺距。 如圖5所說明’一預備步驟可包含形成一系列之光阻劑 線。因此’光微影可用於在遮罩材料中形成複數個線。習 知光微影可形成具有不小於可由光子界定之螺距的螺距之 線。然而’後續螺距倍增將形成具有小於可由習知光微影 114277.doc •23· 1327746 界定之螺距的螺距之線β 如圖6中所示,視需要可首先將空間122擴大或縮小至所 要尺寸。舉例而言’可藉由蝕刻光阻劑線124而擴大空間122 以形成經修改之空間122a及線124a。較佳使用一諸如硫氧 化物電漿(例如包含S〇2、〇2、沁及^之電漿)之各向同性蝕 刻來蝕刻光阻劑線124。若使用一”各向同性”蝕刻,則蝕刻 降解來自所有方向之經暴露之表面。因此,與在圖6中已示 意描繪之線124a的角相比,線124a之角在實務中可係較不 銳利的且經良好界定的。如自下文中圖9至丨丨之論述將瞭 解’蝕刻之程度較佳經選擇使得線124a之寬度大體上等於 稍後形成之間隔物175之間的所要間距。有利地,此蝕刻允 許線124a比另外使用用於圖案化光可界定之層u〇之光微 影技術而可能形成的線124a更窄。此外,蝕刻可使線124a 之邊緣平滑’因而改良此等線之均一性。在某些實施例中, 可藉由將線124擴大至一所要尺寸而縮小線124&之間的空 間。舉例而言,可在線124上沈積額外材料或可使線124發 生化學反應以形成具有一較大體積的材料以增大線124之 尺寸。 較佳將(經修改之)光可界定之層丨2〇a中之圖案轉移至臨 時層140以允許沈積間隔物材料層17〇(圖9)。臨時層14〇較佳 由可經受下文論述之間隔物材料沈積及蝕刻之製程條件的 材料形成。在間隔物材料之沈積與可界定之層12〇相容之其 他實施例中,可省略臨時層140,且可將間隔物材料直接沈 積於光可界定之層120自身之光界定特徵124或經修改之光 114277.doc •24· 1327746 界定特徵124a上。 在所說明之實施例中’除具有高於光阻劑之抗熱性外, 形成臨時層140之材料較佳經選擇使得其可相對於間隔物 175(圖1〇)及下伏蝕刻終止層ι5〇之材料而選擇性移除。如上 所述,層140較佳由非晶碳形成。 如圖7中所示,較佳首先將經修改之可界定之層12〇a中之 圖案轉移至硬質遮罩層130。此轉移較佳使用一各向異性蝕 刻來完成,諸如一使用碳氟化合物電漿之蝕刻。較佳碳氟 化合物電漿钱刻劑化學組成包括用·於蝕刻較佳DaRC材料 之 cf4、cfh3、cf2h2及 cf3h。 在所說明之實施例中,一圖案形成於一上覆層中且隨後 轉移至一下伏層。在圖7中,在層12〇a及層13〇已被蝕刻之 處,所說明之該等層之壁係垂直的。蝕刻製程中之變化可 改變精度,以該精度,一上覆層中之圖案對應於一下伏層 中形成之圖案。雖然以垂直壁使圖案在層之間轉移通常示 思性地說明為一精確製程,但在實務中可難以達成此精 度。因此,圖案轉移意欲涵蓋在下伏與上覆圖案之間的大 體對應。類似地,圖案轉移意謂涵蓋最初界定圖案之特徵 的U改(例如藉由倍增或收縮該等特徵),其中此修改不改變 螺距。 如圖8中所示,既而將可界定之層12〇中之圖案轉移至臨 時層140。若臨時層14〇由基於碳之材料形成,則此轉移較 佳使用含S〇2之電聚(例如含有s〇2、〇2及Ar之電漿)來完 成。有利地’含S〇2之電漿可以一速率蝕刻較佳臨時層14〇 U4277.doc -25- 1327746 之碳’該速率大於蝕刻硬質遮罩層130及/或蝕刻終止層15〇 之速率20倍’且更佳大於其40倍。在Abatchev等人於2004 年8月31曰申5月之題為Critical Dimension Control之美國專 利申請案第1〇/931,772號(代理人案號MICRON.286A;
Micron參考號2003-1348)中描述一適當的含s〇2之電漿,該 專利申清案之全揭示内谷以應用方式併入本文中且構成 本說明書之部分。含S〇2之電漿可同時姓刻臨時層14〇且亦 移除剩餘之可界定之層120a。所得線124b構成佔位或心
轴’沿佔位或心轴將形成間隔物175(圖1〇)之圖案。 可使用各種替代技術及方法在層之間轉移圖案。舉例而 言,替代或除使用收縮步驟修改可界定之層12〇中之初始特 徵外,可在無預先修改之情況下將圖案轉移至臨時層14〇 中。既而可執行一後續收縮步驟以修改臨時層14〇中之特 徵。 接者,如圖9中所示 平父住毯復式沈積 间间初符科層
17〇,使得其與包括硬質遮罩層13〇、硬質遮罩15〇及臨時層 140之側壁的經暴露表面之特徵—致。視需要,可在沈積層 170之前移除硬質遮罩層13()β間隔物材料可為可充當用於 將一圖㈣移至下伏基板11()之—遮罩或另外可允許經由 正形成之遮罩處理下伏結構之任一材料。間隔物材料較 佳:υ可以良好階梯覆蓋率而沈積;2)可在—與臨時層⑽ 相容之溫度下而沈積;及3)可相對於臨時層刚或臨時層 140之下之任何層而選擇性地钱刻。較佳材料包括二氧切 及氮化石夕。較佳藉由化學氣相沈積或原子層沈積來沈積間 114277.doc -26 - 1327746 隔物材料。層170較佳沈積至約20至60 nm之間的一厚度, 且更佳沈積至約20至50 nm之間的一厚度。較佳地,階梯覆 蓋率為約80%或更高,且更佳約9〇%或更高。 如圖10中所示,既而間隔層17〇經受一各向異性蝕刻以自 部分形成之積體電路1 〇 〇之水平表面1 8 〇移除間隔物材料。 可使用用於氧化物間隔物材料之HBr/cl電漿執行此蝕刻 (亦已知為間隔物蝕刻p Ch/HBr可用於蝕刻矽。蝕刻包括 一物理組份且較佳亦可包括一化學組份,且可為(例如)諸如 Clz、HBr蝕刻之一反應性離子蝕刻(RIE)。(例如)以約3〇〇 至1000 W之最大功率及約50至250 W之最小功率、在約7至 60 mT〇rr之壓強下使用流出約〇至5〇 sccm之cu及約〇至2〇〇 seem之HBr的LAMTCP9400可執行此蝕刻。—AME5〇〇〇裝 置亦可完成類似蝕刻,雖然可能需要不同的配方及設置。 在執行一間隔物蝕刻後,其可留下具有相對於線之有效減 小之螺距的狹長間隔物之圖案。 參看圖11 ’接著移除硬質遮罩層130(若仍存在)及臨時層 140以留下獨立式間隔物175。(例如)使用諸如使用s〇2之蝕 刻之含硫電漿蝕刻來選擇性地移除臨時層140。可用於移除 如所述之臨時層140之其他例示性蝕刻包括〇2電漿蝕刻或 下游微波碳條。以此方式,移除一圖案之特徵以留下由間 隔物形成之另一圖案。 因此’在某些實施例中,已使用一間隔物材料執行螺距 減小以形成遮罩特徵。以此方式形成之遮罩特徵可具有小 於光阻劑線之螺距且可包含經減小螺距的遮罩線,該等遮 114277.doc •27- 1327746 罩線由經減小螺距之空間來分離。因此,已實現螺距倍增。 在所說明之實施例中,間隔物175之螺距約為最初由光微 .影形成的光阻劑線124及空間122(圖5)之螺距的一半。有利 地’可形成具有約1〇〇 nm或更小螺距之間隔物175。因為間 隔物175形成於特徵或線12415之侧壁上,故間隔物175通常 圍繞周邊而形成’既而跟隨可界定之層12〇中之特徵或線 124 a的圖案的輪廓。因此,如圖η中所示’間隔物175通常 形成一封閉迴路。 # 圖12說明一中間層330 »該中間層330可稱為ILD,但為方 便起見’其自身將被描述為一層。層330可由絕緣材料334 形成’絕緣材料334具有關於圖2之絕緣材料234而上述之特 性。此外,層330可對應於在圖4至11中所示之基板11〇的上 部。下伏層320由關於圖2之層220而上述之材料形成。舉例 而言’圖12展示對應於圖2申展示之特徵222的複數個特徵 322。溝槽324可分離特徵322,如同溝槽224分離特徵222— 樣。間隔物175較佳具有一約50 nm之寬度379»具有寬度377 鲁 之空間分離間隔物175。寬度377較佳為約50 nm。 展示間隔物175覆蓋層330。較佳根據關於圖4至11而描述 之方法及組態形成間隔物。然而,在圖12之實施例中,已 完成在圖11中未說明之一額外步驟;已將間隔物圖案轉移 至下伏硬質遮罩層150中◎圖12說明間隔物175可有利地加 以對準使得其之間的空間直接覆蓋特徵322。因此,由一或 多層遮罩材料覆蓋絕緣層。在此實施例中,間隔物175及對 應硬質遮罩材料150包含遮罩材料^間隔物175由硬質遮罩 114277.doc -28- 1327746 材料150支撐,硬質遮罩材料150可具有關於圖々至^中之層 150而描述之特性。 圖13說明沿圖12之線13_13獲得之橫載面平面圖。可看到 絕緣材料334下伏於間隔物175且支撐間隔物17卜間隔物 m可形成-遮罩或圖案,其允錢刻步驟作用於除由間隔 物175閉塞之表面之外的所有經暴露表面。示意性地且不必 按比例地說明間隔物175。舉例而言,間隔物可具有一長度 38卜該長度381長於任―特^間隔物175之t度或間隔 • 物175之間的寬度377。因此,間隔物175較佳形成更狹長之 迴路,該迴路具有不同於圖13中可展示之比例的比例。間 隔物175可在一記憶體陣列之整個佔據面積上而延伸。間隔 物175亦可由所要架構分段。 圖14A說明類似於圖13之平面圖的平面圖,不同之處在於 已沈積(例如使用旋塗式方法)且圖案化一第二遮罩48〇以部 分覆蓋間隔物175。為方便起見,已使用影線說明第二遮罩 480及間隔物175,即使在14A之視圖中,第二遮罩4㈣及間 ® 隔物175未展示為單個平面中之橫截面(參見圖146)。第二 遮罩480界定一窗口 482,該窗口 482可用於界定如下文所說 明之一系列接觸通路。然而,在某些實施例中,狹長間隔 物較佳擴展一陣列之長度。此外,在某些實施例中,諸如 窗口 482之窗口可沿記憶體陣列之長度重複若干次。儘管在 以下論述之圖式中僅說明一系列之接點,但根據經揭示之 實施例之第二遮罩較佳將包括用於同時蝕刻整個陣列之多 個系列之接觸通路的多個窗口。 114277.doc •29· 1327746 如圖14A中所說明,第二遮罩48〇及間隔物175皆屏蔽下伏 絕緣材料334。以此方式,第二遮罩480及間隔物175一起工 作以自兩個重疊圖案有效地形成一經組合之圖案或遮罩。 第二遮罩480中之窗口 482具有一長度483及一寬度481。長 度483長得足以(較佳在整個陣列上)橫跨間隔物之經螺距倍 增之尺寸上的多個間隔物。在圖14A之視圖中,經螺距倍增 之尺寸係在整個紙面上左右延伸之水平尺寸。然而,窗口 482之寬度481並非寬得足以完全暴露如圖13中所示之包含 間隔物175之狹長迴路中之任一者。較佳地,寬度481係寬 得足以經由習知微影技術而以微影方式界定。較佳地,窗 口 482之寬度481及長度483兩者皆不如臨界尺寸一樣短。在 一較佳實施例中,窗口 482係可使用習知(相對於螺距倍增) 技術而完全界定的。因此,可使用非經減小螺距之光微影 術界定窗口 482之寬度48卜舉例而言,在一較佳實施例中, 寬度481係在一約1〇〇 nm至約200 nm之範圍内。 第二遮罩480可由可選擇地界定之層形成,該可選擇地界 定之層具有關於圖4之可選擇地界定之層12〇而描述之特 性。舉例而言,第二遮罩480較佳由光阻劑形成。 用於形成諸如圖14A中所示之第二遮罩480之遮罩的某些 有利方法包括在諸如間隔物175之下伏遮罩特徵的一部分 上施加一交叉光阻劑圖案。當一圖案之一狹長尺寸未與一 第二圖案之狭長尺寸對準或平行時,該第一圖案與該第二 圖案"交又·•。舉例而言,因為長度483長於寬度481,故可 為第一遮罩480之圖案在長度483之尺寸上係"狹長的"。 114277.doc -30· 1327746 然而,因為圖13之間隔物”迴路"丨75在長度38丨(圖丄3)之尺寸 上係較長的,故第二遮罩480之圖案與由間隔物175形成之 圖案交又。有利地,如此處經由窗口 482所示,所說明之交 又圖案留下未由光阻劑覆蓋之經減小螺距之遮罩特徵及鄰 近經減小螺距之空間的多個部分。此外,在窗口 482内,間 隔物175之間的經減小螺距之空間留下經暴露之下伏層 3 34。因此,可將第二遮罩4 8〇描述為一施加於間隔物175之 光微影遮罩圖案。可將窗口 482描述為具有一與間隔物J 75 之圖案交又之狹長尺寸483的第二遮罩480中之一開口。窗 口 482之狹長尺寸與間隔物"交又",因為其不平行於間隔物 175之狹長尺寸。有利地,窗口 482之狹長尺寸可平行於間 隔物175之臨界尺寸或經減小螺距之尺寸。較佳地,窗口 482 之狹長尺寸垂直於間隔物175之狹長尺寸。 在某些有利實施例中,可首先使用諸如用於平坦化及抗 反射的BARC之平坦化材料塗覆圖13中展示之間隔物圖 案。舉例而言’平坦化材料可填充間隔物之間的空間、形 成一覆蓋間隔物頂部之平坦表面◊既而此硬質遮罩(未圖示) 可具有一在其頂部形成之遮罩,該遮罩具有與第二遮罩48〇 之圖案相同的圖案。若形成硬質遮罩層,則可需要一額外 #刻步驟以在時間來臨時移除硬質遮罩材料。因此,如圖 所不’可使用可選擇地界定之層48〇直接形成圖i4A之圖 案’或可將第二遮罩圖案轉移至一插入硬質遮罩層以獲得 圖14A之圖案。 圖14B說明沿圖14a中所示之線14Β·14β獲得之一橫截 114277.doc •31- 1327746 面。第二遮罩480中之窗口 482允許暴露間隔物175及某些下 伏絕緣材料334。亦說明窗口 482之長度483。此視圖亦說明 如何組合由第二遮罩480及間隔物175形成之圖案以形成一 來源於兩個上覆圖案之下伏圖案(圖15)。 可將第二遮罩480及間隔物175之經組合之圖案轉移至一 下伏硬質遮罩層。因此,第二遮罩480及間隔物175可用於 屏蔽一下伏硬質遮罩層之部分。若蝕刻掉硬質遮罩層之未 屏蔽部分’則已將經組合之圖案有效地轉移至單個下伏硬 質遮罩層,使得在蝕刻基板之前,可移除第二遮罩48〇及間 隔物175 ^此配置之一優勢為在蝕刻期間減小通路之有效縱 橫比。 圖15為展示已在絕緣層334中形成之通路5 84之橫截面 圖。第二遮罩480及間隔物175已保護絕緣材料334之部分免 受蝕刻劑材料,藉此允許通路584經由絕緣材料334向下延 伸直至通路584到達特徵322。蝕刻較佳係一定向蝕刻或一 各向異性姓刻,使得通路5 8 4之側壁係垂直的。絕緣材料3 3 4 可包含(例如)BPSG、TEOS或Si〇2。較佳地,用於形成通路 584之钱刻劑材料係選擇性的且終止於特徵322上。 通路584較佳經組態以容納一諸如金屬之導電材料。此 外,通路584較佳經定位以允許形成一導電接點,該導電接 點使諸如由特徵322表示之電晶體源極區之下伏特徵與上 覆層中之其他組件(例如位元線)連接。因此,在已於一下伏 層320中形成特徵322後,可在一中間層33〇中有利地形成通 路584 °中間層可由任一絕緣材料形成。 114277.doc -32-
hj j υ γ 形 "^刻之前,όΓ M女4 电例中’在移除間隔物及第二遮罩480之 成通路584。然而,在某些實施例中,在 心則,可將第二圖案轉移至一硬質遮罩層。此外,在 ^刻之則,可將兩個圖案合併至一下伏硬質遮罩上。因此, 可移除較佳第二遮罩及間隔物之抗蝕劑且可使用硬質遮罩 來圖案化通路蝕刻。 圖16為呈—交替圖案之通路584及絕緣材料334之橫截面 圖。已移除間隔物175及第二遮罩48〇。因此,當從上方查 看時,如自圖18B將更好瞭解,通路584在絕緣材料334中形 j狹長槽或腔。該等槽在大體平行於現已被移除之狹長間 隔物之圖案的一尺寸上係狹長的。槽在彼尺寸上係狹長 的,因為使用習知微影來界定彼尺寸。在每一槽之底部處 係一特徵3 2 2,該特徵3 2 2在已填充通路5 8 4之前經由一對應 通路584而暴露。 視所涉及之化學而定,在通路蝕刻期間或之後,可移除 間隔物175及第二遮罩480。舉例而言,可使用習知剝離劑 或基於氧之電漿來剝離光阻劑。可藉由選擇性蝕刻及/或化 學機械研磨(CMP)來移除剩餘遮罩材料。在某些實施例甲, 在同一步驟中移除光阻劑及其他遮罩材料係有利的。可使 用可由CMP蝕刻或乾式蝕刻平坦化之一導電材料填充通 路。若使用CMP,則硬質遮罩層15〇可充當一 〇ΜΡ終止劑。 圖17說明在已使用一接點材料732填充通路584之後的圖 16中所示之結構《較佳地’接點材料732完全填充通路584。 接點材料732通常溢出通路584以在中間層330上形成一餘 114277.doc •33· 1327746 量層740。接點材料732可為任一導電材料。在一較佳實施 例中,接點材料732係經摻雜之多晶矽。在某些實施例中, 接點材料可為諸如鎢、銅或鋁之導電金屬或諸如合金、金 屬石夕化物等之金屬化合物。接點材料通常包括多層。舉例 而言,一鈦黏著層、一金屬氮化物障蔽層及—金屬填充物 層可皆組合地使用。視材料而定,可使用各種方法中之任 一者沈積此襯墊及填充物導電材料。此等方法包括Cvd、 ALD、PVD '電鍍及諸如選擇性CVD之相關選擇性方法。 圖18A說明在已蝕刻掉溢出接點材料740之後的複數個接 點732之橫截面圖。接點732係有利地以一對一對準之方式 與特徵322對準。因為已使用一間隔物製程形成接點732, 故其之臨界尺寸與特徵322之臨界尺寸相容。 圖18Β說明接點732之橫截面平面圖。如此圖展示,接點 732有利地在一列中彼此平行而形成。在上文所述之狹長槽 或腔中形成接點732。因此,可將接點732描述為狹長導電 特徵。如自上文所闡述之細節而顯而易見的,每一接點732 之寬度833有利地由使用間隔物175(圖12)形成之間隔物圖 案之解析度而部分地決定β此外,每一接點732之長度881 有利地由使用諸如光阻劑之習知可選擇地界定之材料形成 的一圖案的解析度而部分地決定。 圖19說明以一橫截面展示之一積體電路的一部分。在層 320及840中’所說明之積體電路之特性類似於參看圖2而上 述之彼等特性。然而,如下文中進一步描述,與圖2之接點 232不同之處在於接點732匹配於特徵322及上覆位元線或 114277.doc •34- 1327746 數位線842之螺距。 參看圖19,在一下伏基板層320中,特徵322及溝槽324 有利地具有關於圖2及圖12至圖17之特徵222及322而描述 之特性。 進一步參看圖19,一上覆層840可包括位元線或數位線 842。該等線842較佳具有圖2之線242之特性。類似地,線 842之寬度843及間隙844之寬度845具有圖2中所描述之寬 度243及寬度245之特性。此外,厚度841可具有上文中描述 之且在圖2中說明之厚度241的特性。 進一步參看圖19 ’ 一中間層330位於下伏層320與上覆層 840之間。有利地,中間層330可具有一小於1 μπι之厚度 831。較佳地’層330具有在1〇〇 ηπι與700 nm之間的一厚度 831。在一較佳實施例中’層330具有一約500 nm之厚度831。 中間層330包括接點732及絕緣材料834。與圖2中說明之 實施例形成相比,接點7 3 2較佳未逐;斬變細,而在其下端具 有與其在其上端具有之寬度大約相同的寬度。此外,圖19 之接點732係較薄的。舉例而言,接點732之上端之寬度837 小於寬度237 ^實際上,上端之寬度837與接點732之下端之 寬度833大約相同。接點732在與所展示之橫截面之平面交 又的尺寸上係較長的,使得橫截面說明接點732之一臨界尺 寸。 有利地,使用一螺距倍增製程形成接點732,該螺距倍增 製程使用間隔物技術以增大一尺寸上之特徵密度。因此, 接點732具有與特徵322之螺距寬度相同之螺距寬度。在圖 114277.doc •35· 1327746 19之橫截面中’所有五個所說明之特徵322鄰接一對應接點 732 °實際上’接點732具有與特徵322及上覆位元線或數位 線842之間距類似的間距。 在某些實施例中,接點732可具有不同組態。舉例而言, 與經說明之接點732之角相比,特徵可具有較不銳利地界定 之角。此外’接點732之比例、形狀、間距、高度、寬度及 輪廓可不同於圖19中之說明。 在一較佳實施例中,接點732係多晶矽插塞。在有利之實 施例中’接點732連接記憶體陣列之元件;然而,此等接點 可將任一電氣裝置之部分或組件連接至任一其他電氣裝置 或組件。 此外,接點732較佳在其下端具有一小於120 nm之寬度 833。更佳地’寬度833小於70 nm。尤其更佳地,寬度 為約50 nm。將來,寬度833可減小至小於5〇 nm。 如上所述,接點732較佳在一尺寸上已被螺距倍增,但在 另一尺寸上’已經由光微影而界定之接點尺寸732係更狹長 的。此外’如上文中所闡述,此等接點較佳經由以下步驟 形成:界定經螺距倍增之圖案;經由習知光微影製程形成 窗口;在經螺距倍增之圖案上重疊窗口;及經由源自重疊 之經組合的遮罩蝕刻接觸通路。 此外,本文中所論述之原理及優勢適用於各種情形其 中,組合具有不同臨界尺寸之兩個或兩個以上的遮罩圖案 以形成諸如接點之電路特徵。 因此,熟習此項技術者將瞭解,可在不背離本發明之範 114277.doc -36 - 1327746 疇的情況下對上述之方法及結構進行各種其他省略、添加 及修改。如由所附申請專利範圍所界定,所有此等修改及 改變意欲屬於本發明之範疇。 【圖式簡單說明】 圖1A至1F為根據如上所述之先前技術螺距倍增方法形成 的遮罩線之示意性橫截面側視圖。 圖2為使用經交錯之接點以與一經螺距倍增之電晶體陣 列形成界面之一積體電路的一部分之示意性橫截面側視 圖。 圖3為展示經交錯之接點之布局的沿圖2中之積體電路之 線3-3獲得的一截面之示意性橫截面平面圖。 圖4為用於形成一積體電路之遮罩及基板層之示意性橫 截面側視圖。 圖5展示在光微影圖案化一上覆抗蝕劑層之後的圖4之結 構。 圖6展不在一受控各向同性抗蝕劑收縮步驟之後的圖$之 結構。 圖7展示在轉移圖案至一硬質遮罩層之後的圖6之結構。 圖8展示在轉移圖案至一臨時層(例如,一可移式硬質遮 罩層)之後的圖7之結構。 圖9展示在毯覆性沈積一間隔物材料之後的圖8之結構。 圖丨〇展示在一間隔物蝕刻之後的圖9之結構。 圖11展示在移除剩餘臨時(例如,可移式硬質遮罩)層、留 下獨立式間隔物之後的圖1 〇的結構。 H4277.doc •37· 1327746 圖12為在形成間隔物之後用以形成一積體電路之多層之 示意性橫截面側視圖。 圖13為沿圖12之經部分形成之積體電路的線13-13獲得 之示意性橫截面平面圖。 圖14A為在已施加一遮罩之後的圖13之積體電路之示意 性橫截面平面圖。 圖14B為沿圖14A之經部分形成之積體電路的線14B-14B 獲得之示意性橫截面側視圖。 圖15展示在已形成接觸通路之後的圖14B之結構。 圖16展示在移除間隔物及上覆遮罩材料之後的圖15之結 構。 圖17展示在已使用接點材料填充接觸通路之後的圖16之 結構。 圖18 A展示在已蝕刻掉溢出接點材料、留下經分離之接點 之後的圖17之結構。 圖18B為沿圖18A之線1 8B-18B獲得之示意性橫截面平面 圖。 圖19展示在由圖4至18B之製程形成之接點上且與其接觸 而形成位元線之後的圖18 A之結構。 【主要元件符號說明】 10 線 20 消耗材料層 30 基板 40 佔位/心轴 114277.doc •38- 1327746 50 間隔物材料層 60 間隔物 70 水平表面 80 水平表面 100 積體電路 110 基板 120 遮罩層/可選擇地界定之層 120a 光可界定之層
122 空間 122a 空間 124 線 124a 線 124b 線 130 遮罩層/硬質遮罩層 140 遮罩層/臨時層 15 0 遮罩層/钱刻終止層
170 間隔物材料層 175 間隔物 180 水平平面 220 下伏基板層 222 特徵 223 寬度 224 溝槽 225 寬度 114277.doc -39- 1327746 中間層 厚度 接點 寬度 絕緣材料 寬度 上覆層 厚度 線 寬度 間隙 寬度 下伏層 特徵 溝槽 中間層 絕緣材料 長度 寬度 寬度 長度 第二遮罩 寬度 窗口 114277.doc 4831327746
584 732 740 831 833 837 840 842 843 844 845 881 長度 通路 接點材料 餘量層 厚度 寬度 寬度 層 厚度 位元線/數位線 寬度 間隙 寬度 長度 114277.doc •41

Claims (1)

  1. I32774& 〇95132〇42號專利申請案 ‘ 中文申請專利範圍替換本(98年4月) 十、申請專利範圍: 一種形成電接點之方法,其包含: 長·供一經多層遮罩材料覆蓋之絕緣層; 在該具有一第一圖案之遮罩材料中形成一系列可選擇 地界定之線; 使用一間隔物材料對該等線執行螺距減小,以形成由 經減小螺距之空間分離的經減小螺距之遮罩線,該等遮 罩線沿一間隔物軸係狹長的; • 在該等遮罩特徵之一部分上施加一第二、交又光阻劑 圖案,該交又圖案具有一窗口,該窗口留下未由該光阻 劑覆蓋之該等經減小螺距之遮罩線及鄰近之經減小螺距 之工間的多個部分’該窗口具有—不平行於該等經減小 螺距之遮罩線之狹長轴的狹長軸; 透過部分由該等經減小螺距之空間界定之一第三圖案 蝕刻該絕緣層以在該絕緣層中形成接觸通路; 使用一導電材料填充該等接觸通路以形成電接點。 2.如π求項1之方法,其中當蝕刻該絕緣層時,該間隔物材 料尚未被移除》 3. 4. 5.6. 月求項1之方法,其中在螺距倍增之前修改該等可選 擇地界定之線之該第一圖案。 如明求項1之方法,其中在已將該第一圖案轉移至一下伏 層之後但在螺距減小之前,完成修改。 如仴求項4之方法’其中該下伏層包含非晶碳^ 如明求項1之方法’其中在執行螺距減小之前將該第三 114277-980429.doc 含碳層 圖案轉移至 如 請求項1之方法_ 材料。 ’、中將該第三圖案轉移至一硬質遮罩 8·如請求項7之 塗層。 、’其中該硬質遮罩材料係一介電抗反射 9. 如請求項7之 石夕。. 在’其中該硬質遮罩材料係富矽之氮氧化 10. 如請求項丨之 一 ,其中該窗口具有一至少200奈米之沿 伏我釉的長度。 U.如請求項1之方法, 其中該等電接點係一記憶體陣列中 之 位元線接點。 12’如請求項1之方法,其中該等電接點 快閃記憶體中。 13.如請求項1夕古、i , 、,其中該等電接點係在記憶體陣列内 形成之接點。 如請求項1 沄,其中該光阻劑在蝕刻該絕緣層期間保 持與該等經減小螺距之遮罩線重疊。 如°月求項1之方法’其中該等電接點具有-小於70奈米之 臨界尺寸。 W如請求们之方法,其中該光阻劑係一氣化氯敏感光 劑。 17‘如η月求項i之方法,其中該光阻劑係一氣化氮敏感光劑。 18. 一種形成一積體電路之狹長通路之方法,該方法包含: 經組態而用於NAND 阻 阻 114277-980429.doc -2- 1327746 在一下伏層上沈積至少一層遮罩材料; 使用習知光微影術在一層遮罩材料中形成一第一組 線; ' 形成減小螺距之間隔物線’其沿平行於該第一組線之 一轴係狹長的,該等間隔物線產生一第一圖案; 使用習知光微影術在一覆蓋該等減小螺距之線的層中 形成-第二圖案,該第二圖案具有一開口,該開口具有 一沿一平行於該等減小螺距之線之狹長軸之軸的第一寬 度,可不使用螺距減小技術而界定該第一寬度;及 透過經由重疊該兩圖案所界定之—組合圖案㈣㈣ 緣層’而在該下伏層中形成接觸通路。 19. 如請求項18之方法,其t該開σ之第—寬度係至少15〇奈 米。 20. 如請求項18之方法’其包含使用金屬填充該等接觸通路 以形成一記憶體陣列之接點之另一步驟。 21. 如叫求項18之方法,其中該第二圖案係使用光微影術而 形成。 22. 如請求項18之方法,其中料接觸通路經組態以收納一 記憶體陣列中之位元線接點之導電材料。 23. 如請求項18之方法,其中該等接觸通路經組態以形成用 於NAND快閃記憶體中的接點。 24. —種製造電腦記憶體之組件之方法,其包含: 使用光微影術以在-遮罩材料中形成複數個線,該等 線具有一由光子所界定之螺距; 114277-980429.doc 1327746 在該等線上施加一間隔物材料; 執行-間隔物蝕刻以產生相對於該等線具有經減小螺 距的—間隔物圖案,該等間隔物係沿間隔物轴延伸; 將-具有-開口之光微影遮罩圖案施加至該間隔物圖 案’該開口具有一與該等狹長間隔物軸交又之狹長軸; 敍刻掉未由該遮罩圖案或該間隔物圖案遮蔽之一下伏 層之部分以在該下伏層中形成槽; 使用導電材料填充該等槽以形成複數個記憶體組件;及 選擇性地移除該遮罩材料及該間隔物材料。 θ求項24之方法,其中該等槽在一大體平行於該等間 隔物軸之尺寸上係狹長的,且其中該等記憶體組件因此 &一平行於該等間隔物軸之轴係狹長的。 26·如請求項24之方法’其中使用光微影術以在-遮罩材料 中形成複數個線包含: 在一光阻中形成一第一複數個線;及 將該第一複數個線之一圖案轉移至該遮罩材料中。 27. 如凊求項24之方法,其中該等記憶體組件包含一記憶體 陣列中之位元線接點。 〜 28. 如吻求項24之方法,其中該等記憶體組件係快閃記 憶體中之接點。 29. 如請求項24之方法,其中該遮罩材料包含一硬質遮罩。 如-月求項29之方法,其中該硬質遮罩材料係一介電抗反 射塗層。 31.如請求項29之方法,其中該硬質遮罩材料係富石夕之氮氧 114277-980429.doc 化矽β 3 2,如請求項24之方法,其中該遮罩材料包含非晶碳。 33·如請求項24之方法,其中該光微影遮罩圖案具有一個以 上之開口,以致形成多列槽。 34. 〆種製造一積體電路之方法,其包含: 铺設材料以形成一絕緣層、一覆蓋該絕緣層之臨時層 及一覆蓋該臨時層之第一可選擇地界定之層; 在該第一可選擇地界定之層中形成一對應於一第一圖 案之特徵; 將該第一圖案轉移至該臨時層; 在該臨時層中之該等特徵之側壁上形成間隔物; 移除該臨時層之該等特徵且留下對應於一第二圖案之 該等間隔物; 在該等間隔物上施加一第二可選擇地界定之層; 在該第二可選擇地界定之層中形成對應於一第三圖案 之特徵; 在由該第一圖案及該第三圖案中之空間暴露之一下伏 層中蝕刻孔; 將導電材料插入該等孔中,使得該導電材料形成電路 特徵’每一特徵具有一長度及一寬度纟中該寬度係由 該第一圖案之解析度決定,且該長度係由該第三圖案之 解析度決定。 35. 如:亡項34之方法,其中該第一圖案或該第三圖案中之 至>一者係藉由使用施加至—模具之機械力來壓印一層 "4277.980429.doc 而界定。 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 如請求項34之方法,其 至少一者係-第—圖案或該第三圖案中之 石你由光微影術所界定。 如請求項34之方法,其中 ^ 臨時層係由非晶碳形成。 -種電腦記憶體陣列,其包含. _曰曰㈣成 一系列之電晶體; 覆蓋該等電晶體之 么 /…系列之位元線;及 在該荨電晶體與該裳办-^ Μ. ®L 70線之間的—系列接點,該等 接點在一尺寸上具有 寸 影術界定之另一尺寸。 八匀』由%知光微 其中該等電晶體具有一 其中該等電晶體具有一 如請求項38之電腦記憶體陣列, 小於約70奈米之臨界尺寸。 如請求項38之電腦記憶體陣列, 約50奈米之臨界尺寸。 ’其中該等位元線具有一 其中該等位元線具有一 其中該等接點具有^一小 如請求項38之電腦記憶體陣列 小於約70奈米之臨界尺寸。 如請求項38之電腦記憶體陣列 約50奈米之臨界尺寸。 如請求項38之電腦記憶體陣列 於70奈米之臨界尺寸。 如請求項38之電腦記憶體陣列,其中該等接點具有一約 5〇奈米之臨界尺寸。 如請求項38之電腦記憶體陣列,纟中該等接點具有一大 約與該等電晶體之該臨界尺寸相同之臨界尺寸。 114277-980429.doc • 6 · 1327746 其中該等接點具有—大 同之臨界尺寸。 其中該等接點包含多行 46·如請求項38之電腦記憶體陣列, 約與該等位元線之該臨界尺寸相 47.如請求項38之電腦記憶體陣列, 經對準之接點。 48. 如請求項38之電腦記憶體陣列,#中該等接點具有一大 於100奈米之非臨界尺寸。 49. 如請求項38之電腦記憶體陣列,其中該等接點具有一約 200奈米之非臨界尺寸。
    50· —種積體電路,其包含: 多個具有一螺距寬度之電晶體; 多個具有一螺距寬度之上覆數位線;及 在該等電晶體與該等數位線之間垂直延伸之多個電接 點,該等接點具有一接近該等電晶體及該等數位線之該 等螺距寬度之螺距寬度,其中該等電接點具有一經減小 螺距之尺寸及一未經減小螺距之尺寸。 51.如請求項50之積體電路,其中該等電接點係以多列來排 列。 II4277-980429.doc
TW095132042A 2005-08-31 2006-08-30 Method of forming pitch multipled contacts TWI327746B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/215,982 US7829262B2 (en) 2005-08-31 2005-08-31 Method of forming pitch multipled contacts

Publications (2)

Publication Number Publication Date
TW200721253A TW200721253A (en) 2007-06-01
TWI327746B true TWI327746B (en) 2010-07-21

Family

ID=37628492

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095132042A TWI327746B (en) 2005-08-31 2006-08-30 Method of forming pitch multipled contacts

Country Status (7)

Country Link
US (3) US7829262B2 (zh)
EP (1) EP1929508A2 (zh)
JP (1) JP5299678B2 (zh)
KR (2) KR20100109985A (zh)
CN (1) CN101292327B (zh)
TW (1) TWI327746B (zh)
WO (1) WO2007027558A2 (zh)

Families Citing this family (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005094231A2 (en) * 2004-03-19 2005-10-13 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100833201B1 (ko) * 2007-06-15 2008-05-28 삼성전자주식회사 콘택 플러그 및 배선 라인 일체형 구조의 미세 패턴을가지는 반도체 소자 및 그 제조 방법
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7888721B2 (en) * 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) * 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) * 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
JP2007266491A (ja) * 2006-03-29 2007-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) * 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) * 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7960797B2 (en) * 2006-08-29 2011-06-14 Micron Technology, Inc. Semiconductor devices including fine pitch arrays with staggered contacts
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7923373B2 (en) * 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US8481417B2 (en) 2007-08-03 2013-07-09 Micron Technology, Inc. Semiconductor structures including tight pitch contacts and methods to form same
JP2009054956A (ja) * 2007-08-29 2009-03-12 Toshiba Corp 半導体メモリ
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7759201B2 (en) * 2007-12-17 2010-07-20 Sandisk 3D Llc Method for fabricating pitch-doubling pillar structures
US7790531B2 (en) * 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
KR100919349B1 (ko) * 2007-12-27 2009-09-25 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
EP2248156B1 (en) * 2008-02-28 2018-09-05 Hewlett-Packard Development Company, L.P. Semiconductor substrate contact via
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US7981592B2 (en) * 2008-04-11 2011-07-19 Sandisk 3D Llc Double patterning method
US7713818B2 (en) 2008-04-11 2010-05-11 Sandisk 3D, Llc Double patterning method
US7786015B2 (en) * 2008-04-28 2010-08-31 Sandisk 3D Llc Method for fabricating self-aligned complementary pillar structures and wiring
US7989307B2 (en) * 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US20090302472A1 (en) * 2008-06-05 2009-12-10 Samsung Electronics Co., Ltd. Non-volatile memory devices including shared bit lines and methods of fabricating the same
KR101215173B1 (ko) * 2008-06-09 2012-12-24 에스케이하이닉스 주식회사 반도체 소자의 형성 방법
US7781269B2 (en) * 2008-06-30 2010-08-24 Sandisk 3D Llc Triangle two dimensional complementary patterning of pillars
US7732235B2 (en) * 2008-06-30 2010-06-08 Sandisk 3D Llc Method for fabricating high density pillar structures by double patterning using positive photoresist
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8076056B2 (en) * 2008-10-06 2011-12-13 Sandisk 3D Llc Method of making sub-resolution pillar structures using undercutting technique
US8080443B2 (en) 2008-10-27 2011-12-20 Sandisk 3D Llc Method of making pillars using photoresist spacer mask
US8492282B2 (en) * 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8796155B2 (en) * 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8114765B2 (en) 2008-12-31 2012-02-14 Sandisk 3D Llc Methods for increased array feature density
US8084347B2 (en) 2008-12-31 2011-12-27 Sandisk 3D Llc Resist feature and removable spacer pitch doubling patterning method for pillar structures
US8268543B2 (en) * 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) * 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
DE102009023251B4 (de) * 2009-05-29 2011-02-24 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines Kontaktelements mit großem Aspektverhältnis und mit einer günstigeren Form in einem Halbleiterbauelement zur Verbesserung der Abscheidung einer Beschichtung
US8026172B2 (en) * 2009-06-29 2011-09-27 Sandisk 3D Llc Method of forming contact hole arrays using a hybrid spacer technique
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
US7923305B1 (en) 2010-01-12 2011-04-12 Sandisk 3D Llc Patterning method for high density pillar structures
US8026178B2 (en) 2010-01-12 2011-09-27 Sandisk 3D Llc Patterning method for high density pillar structures
FR2960700B1 (fr) * 2010-06-01 2012-05-18 Commissariat Energie Atomique Procede de lithographie pour la realisation de reseaux de conducteurs relies par des vias
DE102010035602A1 (de) * 2010-06-10 2011-12-15 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur Strukturierung einer Schicht unter Einsatz einer Hartmaske
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8216939B2 (en) 2010-08-20 2012-07-10 Micron Technology, Inc. Methods of forming openings
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8461053B2 (en) * 2010-12-17 2013-06-11 Spansion Llc Self-aligned NAND flash select-gate wordlines for spacer double patterning
US8586478B2 (en) * 2011-03-28 2013-11-19 Renesas Electronics Corporation Method of making a semiconductor device
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8664077B2 (en) * 2012-02-14 2014-03-04 Nanya Technology Corp. Method for forming self-aligned overlay mark
US9276001B2 (en) * 2012-05-23 2016-03-01 Nanya Technology Corporation Semiconductor device and method for manufacturing the same
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9269747B2 (en) 2012-08-23 2016-02-23 Micron Technology, Inc. Self-aligned interconnection for integrated circuits
US9034197B2 (en) * 2012-09-13 2015-05-19 HGST Netherlands B.V. Method for separately processing regions on a patterned medium
US9111857B2 (en) 2012-09-21 2015-08-18 Micron Technology, Inc. Method, system and device for recessed contact in memory array
US20140134844A1 (en) * 2012-11-12 2014-05-15 Infineon Technologies Dresden Gmbh Method for processing a die
US20150118832A1 (en) * 2013-10-24 2015-04-30 Applied Materials, Inc. Methods for patterning a hardmask layer for an ion implantation process
US9159579B2 (en) * 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
US9437479B2 (en) * 2013-11-19 2016-09-06 Applied Materials, Inc. Methods for forming an interconnect pattern on a substrate
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9679946B2 (en) * 2014-08-25 2017-06-13 HGST, Inc. 3-D planes memory device
US9324619B2 (en) * 2014-08-25 2016-04-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
KR102265271B1 (ko) 2015-01-14 2021-06-17 삼성전자주식회사 반도체 소자 및 그 제조방법
KR102323251B1 (ko) 2015-01-21 2021-11-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조방법
KR102337410B1 (ko) 2015-04-06 2021-12-10 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US10103032B2 (en) * 2015-11-20 2018-10-16 Tokyo Electron Limited Methods of forming etch masks for sub-resolution substrate patterning
JP6907217B2 (ja) 2016-01-20 2021-07-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク
CN108780777B (zh) * 2016-02-02 2023-02-17 东京毅力科创株式会社 利用选择性沉积对金属和通孔进行自对准
TWI661466B (zh) * 2016-04-14 2019-06-01 日商東京威力科創股份有限公司 使用具有多種材料之一層的基板圖案化方法
US10163690B2 (en) * 2016-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. 2-D interconnections for integrated circuits
CN108735711B (zh) * 2017-04-13 2021-04-23 中芯国际集成电路制造(北京)有限公司 一种半导体器件及其制备方法、电子装置
CN109309091A (zh) * 2017-07-28 2019-02-05 联华电子股份有限公司 图案化方法
TWI658763B (zh) * 2017-10-11 2019-05-01 欣興電子股份有限公司 製造導線之方法
US10347487B2 (en) * 2017-11-14 2019-07-09 Micron Technology, Inc. Cell contact
US10790155B2 (en) 2018-06-27 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices
CN112928057B (zh) * 2019-12-05 2023-05-19 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11502041B2 (en) 2020-04-22 2022-11-15 Nanya Technology Corporation Method of forming a pattern
CN112018127B (zh) * 2020-07-21 2024-06-18 长江存储科技有限责任公司 金属层的形成方法、3d存储器件及其制造方法
US11257766B1 (en) 2020-08-21 2022-02-22 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices, memory devices, and electronic systems

Family Cites Families (195)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US77524A (en) * 1868-05-05 Improvement in harvesters
US292991A (en) * 1884-02-05 Machine for cutting heads of boxes
US4234362A (en) * 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4508579A (en) 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (de) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4648937A (en) * 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
EP0238690B1 (en) 1986-03-27 1991-11-06 International Business Machines Corporation Process for forming sidewalls
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4838991A (en) * 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US4776922A (en) * 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) * 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
US5047117A (en) * 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
DE4034612A1 (de) * 1990-10-31 1992-05-07 Huels Chemische Werke Ag Verfahren zur herstellung von methacryloxy- oder acryloxygruppen enthaltenden organosilanen
IT1243919B (it) 1990-11-20 1994-06-28 Cons Ric Microelettronica Procedimento per l'ottenimento di solchi submicrometrici planarizzati in circuiti integrati realizzati con tecnologia ulsi
US5330879A (en) 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
DE4236609A1 (de) 1992-10-29 1994-05-05 Siemens Ag Verfahren zur Erzeugung einer Struktur in der Oberfläche eines Substrats
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US5532741A (en) * 1993-05-19 1996-07-02 Rohm Co., Ltd. Video image display and video camera for producing a mirror image
US6042998A (en) 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
KR970007173B1 (ko) 1994-07-14 1997-05-03 현대전자산업 주식회사 미세패턴 형성방법
JPH0855920A (ja) 1994-08-15 1996-02-27 Toshiba Corp 半導体装置の製造方法
JPH0855908A (ja) 1994-08-17 1996-02-27 Toshiba Corp 半導体装置
US5600153A (en) * 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR100190757B1 (ko) * 1995-06-30 1999-06-01 김영환 모스 전계 효과 트랜지스터 형성방법
JP3393286B2 (ja) * 1995-09-08 2003-04-07 ソニー株式会社 パターンの形成方法
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
TW329539B (en) 1996-07-05 1998-04-11 Mitsubishi Electric Corp The semiconductor device and its manufacturing method
JP3164026B2 (ja) 1996-08-21 2001-05-08 日本電気株式会社 半導体装置及びその製造方法
US5880018A (en) * 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US6395613B1 (en) * 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US5895740A (en) 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
KR100231134B1 (ko) 1997-06-14 1999-11-15 문정환 반도체장치의 배선 형성 방법
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
KR100247862B1 (ko) * 1997-12-11 2000-03-15 윤종용 반도체 장치 및 그 제조방법
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
JP2975917B2 (ja) 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 半導体装置の製造方法及び半導体装置の製造装置
KR100301038B1 (ko) * 1998-03-02 2001-09-06 윤종용 씨오비(cob)를구비한반도체메모리장치및그제조방법
US5933725A (en) * 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
TW376582B (en) 1998-06-26 1999-12-11 Vanguard Int Semiconduct Corp Method of forming COB DRAM with self-aligned pole and bitline contact plug
US6020255A (en) 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company Dual damascene interconnect process with borderless contact
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6060383A (en) 1998-08-10 2000-05-09 Nogami; Takeshi Method for making multilayered coaxial interconnect structure
US6071789A (en) * 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
EP1153136A2 (en) * 1998-12-09 2001-11-14 The General Hospital Corporation Enhanced packaging of herpes virus amplicons and generation of recombinant virus vectors
WO2000039845A1 (en) * 1998-12-28 2000-07-06 Asahi Kasei Microsystems Co., Ltd. Method for forming contact hole
US6204187B1 (en) 1999-01-06 2001-03-20 Infineon Technologies North America, Corp. Contact and deep trench patterning
US6211044B1 (en) 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
JP2000307084A (ja) 1999-04-23 2000-11-02 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6110837A (en) * 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6136662A (en) * 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
JP2000357736A (ja) 1999-06-15 2000-12-26 Toshiba Corp 半導体装置及びその製造方法
KR100333382B1 (ko) * 1999-06-24 2002-04-18 박종섭 반도체 장치의 다층금속배선 형성방법
JP2001077196A (ja) * 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6730571B1 (en) 1999-10-14 2004-05-04 Chartered Semiconductor Manufacturing Ltd. Method to form a cross network of air gaps within IMD layer
US6362057B1 (en) 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) * 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP3805603B2 (ja) * 2000-05-29 2006-08-02 富士通株式会社 半導体装置及びその製造方法
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6455372B1 (en) 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
SE517275C2 (sv) * 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
US6335257B1 (en) * 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6664028B2 (en) 2000-12-04 2003-12-16 United Microelectronics Corp. Method of forming opening in wafer layer
JP3406302B2 (ja) 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6960806B2 (en) * 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US6522584B1 (en) 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) * 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (de) 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US7045383B2 (en) * 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
JP4969001B2 (ja) * 2001-09-20 2012-07-04 株式会社半導体エネルギー研究所 半導体装置及びその作製方法
JP2003133437A (ja) * 2001-10-24 2003-05-09 Hitachi Ltd 半導体装置の製造方法および半導体装置
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
TW576864B (en) * 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10207131B4 (de) 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US6620715B1 (en) 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
KR100428791B1 (ko) * 2002-04-17 2004-04-28 삼성전자주식회사 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US20030207584A1 (en) * 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) * 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6602779B1 (en) 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6703312B2 (en) 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
JP4102112B2 (ja) * 2002-06-06 2008-06-18 株式会社東芝 半導体装置及びその製造方法
US6818141B1 (en) 2002-06-10 2004-11-16 Advanced Micro Devices, Inc. Application of the CVD bilayer ARC as a hard mask for definition of the subresolution trench features between polysilicon wordlines
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6559017B1 (en) 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
KR100476924B1 (ko) 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6924191B2 (en) * 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
AU2003280498A1 (en) * 2002-06-27 2004-01-19 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6689695B1 (en) 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US6835663B2 (en) * 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6664154B1 (en) * 2002-06-28 2003-12-16 Advanced Micro Devices, Inc. Method of using amorphous carbon film as a sacrificial layer in replacement gate integration processes
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6913871B2 (en) 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
US6800930B2 (en) * 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6673684B1 (en) * 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6764949B2 (en) * 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US7205598B2 (en) * 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
US6794699B2 (en) * 2002-08-29 2004-09-21 Micron Technology Inc Annular gate and technique for fabricating an annular gate
US6756284B2 (en) 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
JP4058327B2 (ja) * 2002-10-18 2008-03-05 富士通株式会社 半導体装置の製造方法
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
JP4034164B2 (ja) 2002-10-28 2008-01-16 富士通株式会社 微細パターンの作製方法及び半導体装置の製造方法
US6888755B2 (en) * 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US7119020B2 (en) 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
US7015124B1 (en) 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6773998B1 (en) * 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (ja) * 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7291878B2 (en) * 2003-06-03 2007-11-06 Hitachi Global Storage Technologies Netherlands B.V. Ultra low-cost solid-state memory
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
KR100536801B1 (ko) * 2003-10-01 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
TWI220560B (en) * 2003-10-27 2004-08-21 Powerchip Semiconductor Corp NAND flash memory cell architecture, NAND flash memory cell array, manufacturing method and operating method of the same
US6867116B1 (en) * 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
JP2005150333A (ja) * 2003-11-14 2005-06-09 Sony Corp 半導体装置の製造方法
KR100554514B1 (ko) 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US6998332B2 (en) * 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US6875703B1 (en) * 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US7372091B2 (en) * 2004-01-27 2008-05-13 Micron Technology, Inc. Selective epitaxy vertical integrated circuit components
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
WO2005094231A2 (en) 2004-03-19 2005-10-13 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US7153780B2 (en) * 2004-03-24 2006-12-26 Intel Corporation Method and apparatus for self-aligned MOS patterning
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US6955961B1 (en) * 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US7183205B2 (en) * 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
JP4543767B2 (ja) * 2004-06-10 2010-09-15 株式会社ニコン 露光装置及びデバイス製造方法
US7473644B2 (en) * 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7074666B2 (en) * 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
KR100704470B1 (ko) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7175944B2 (en) 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
KR100614651B1 (ko) * 2004-10-11 2006-08-22 삼성전자주식회사 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법
US7176130B2 (en) * 2004-11-12 2007-02-13 Freescale Semiconductor, Inc. Plasma treatment for surface of semiconductor device
US7208379B2 (en) 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
US7298004B2 (en) 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
KR100596795B1 (ko) * 2004-12-16 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 형성방법
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
KR100787352B1 (ko) 2005-02-23 2007-12-18 주식회사 하이닉스반도체 하드마스크용 조성물 및 이를 이용한 반도체 소자의 패턴형성 방법
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640639B1 (ko) * 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006351861A (ja) * 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR101200938B1 (ko) 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
US7244638B2 (en) 2005-09-30 2007-07-17 Infineon Technologies Ag Semiconductor memory device and method of production
KR100672123B1 (ko) 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US20070210449A1 (en) * 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7351666B2 (en) * 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7825460B2 (en) * 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof
US20080292991A1 (en) 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7851135B2 (en) 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers

Also Published As

Publication number Publication date
US20070049035A1 (en) 2007-03-01
US7829262B2 (en) 2010-11-09
TW200721253A (en) 2007-06-01
JP5299678B2 (ja) 2013-09-25
US8609324B2 (en) 2013-12-17
CN101292327A (zh) 2008-10-22
WO2007027558A3 (en) 2007-05-18
US8426118B2 (en) 2013-04-23
KR20080043861A (ko) 2008-05-19
CN101292327B (zh) 2010-04-14
JP2009506576A (ja) 2009-02-12
EP1929508A2 (en) 2008-06-11
KR20100109985A (ko) 2010-10-11
WO2007027558A2 (en) 2007-03-08
US20110014574A1 (en) 2011-01-20
US20130210228A1 (en) 2013-08-15
KR101003897B1 (ko) 2010-12-30

Similar Documents

Publication Publication Date Title
TWI327746B (en) Method of forming pitch multipled contacts
US20220262626A1 (en) Methods of forming electronic devices using pitch reduction
KR101449772B1 (ko) 효율적인 피치 멀티플리케이션 프로세스
US10396281B2 (en) Methods for forming arrays of small, closely spaced features
US9412591B2 (en) Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
TWI503863B (zh) 包含緊密間距接點的半導體結構及其形成方法
TW200952041A (en) Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same