TWI289326B - Method of forming a recessed structure employing a reverse tone process - Google Patents

Method of forming a recessed structure employing a reverse tone process Download PDF

Info

Publication number
TWI289326B
TWI289326B TW094116565A TW94116565A TWI289326B TW I289326 B TWI289326 B TW I289326B TW 094116565 A TW094116565 A TW 094116565A TW 94116565 A TW94116565 A TW 94116565A TW I289326 B TWI289326 B TW I289326B
Authority
TW
Taiwan
Prior art keywords
layer
shape
transferring
multilayer structure
protrusions
Prior art date
Application number
TW094116565A
Other languages
English (en)
Other versions
TW200603261A (en
Inventor
Sidlgata V Sreenivasan
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of TW200603261A publication Critical patent/TW200603261A/zh
Application granted granted Critical
Publication of TWI289326B publication Critical patent/TWI289326B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76817Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics using printing or stamping techniques

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Surface Acoustic Wave Elements And Circuit Networks Thereof (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

1289326 第94116565號專利申請案發明說明書修正本96年1月 九、發明說明: C發明所屬之技術領域3 發明領域 本發明之領域是一般性地關於結構的微製造技術。更 5 特別的是,本發明是關於先利用微修蝕刻(trim etch)製程, 再利用凹陷結構的反向特性化(reverse toning),來產生凹陷 結構。
發明背景 10 微製造技術包含很小結構的製造,例如微米或更小等 級的尺寸。微製造對其產生很大影響的一個領域是積體電 路加工產業。隨著半導體加工產業持續追求更大的產能, 同時要增加形成於基材上的單位面積電路之際,微製造變 得日盈重要。微製造技術提供更好的製程控制,同樣地也 15谷許所形成的結構在其最小特徵尺寸(minimum feature dimension)上更為縮小。其他採用微製造技術的發展領域 包括生物技術、光學技術、機械系統以及相同性質的產業 在核發給Plat等人的美國專利第6,541,36〇號揭示一種 2〇縮小採用微製造技術所形成之結構的最小特徵尺寸的方法 ,其中記載一種雙層微修蝕刻製程,用以形成具有小臨界 尺寸(critical dimension)的積體電路閘結構。更特別的, 等人記載了一種多層結構的形成方法,該結構是透過在一 多晶石夕層上沈積-有機底層,在該有機底層上沈積一成像 5 1289326 第94116565號專利申請案發明說明書修正本96年1月 層,以及圖案化該成像層而形成。然後再利用該成像層作 為硬罩(hard mask)來選擇性地微修蝕刻該有機底層,以形 成比成像層所產生的圖案小的圖案。接著除去該硬罩成像 層,最後用該有機底層所形成的圖案蝕刻多晶矽層的部分 5 。這個方法使得形成一種線寬小於該成像層圖案的線寬之 閘圖案成為可能。 但是,需求的一種技術是要可以形成具有線寬縮小臨 | 界尺寸的孔/槽的結構者。 【發明内容】 10 發明概要 本發明提供一種在基材上形成凹陷的方法,該方法包 括在基材上形成-個具有第一特徵的圖案層;微修餘刻該 等第-特徵以界定具有一形狀的微修特徵;以及把該形狀 的反向形狀轉移至基材中。 15圖式簡單說明 I 第1«(是-多層結構的簡化立面圖; 第囷疋壓印微影技術(imPrint lithography)所形成的 多層結構之簡化立面圖; 第3圖疋第1圖所不的多層結構在暴露於微修钱刻製程 2〇之後,該多層結構的簡化立面圖; 第4圖疋第3圖所示的多層結構在經過選擇性蝕刻之後 ’該多層結構的簡化立面圖; /第5圖是第4圖所示的多層結構在暴露於浸樹抑 etch) 之後,該多層結構的簡化立面圖,· 6
1289326 第94116565號專利申請案發明說明書修正本96年1月 第6圖是第5圖所示的多層結構在沈積了一被覆層 (conformal layer)之後,該多層結構的簡化立面圖; 第7圖是第6圖所示的多層結構在經過毯覆式蝕刻 (blanket etch)且形成了一冠狀表面之後,該多層結構的簡化 5 立面圖; 第8圖是第7圖所示的多層結構在冠狀表面經過姓刻製 程而使基材的數個區域暴露出來之後,該多層結構的簡化 立面圖; 第9圖是第8圖所示的基材在把多層結構的圖案轉移至 10 該基材中之後,該基材的簡化立面圖; 第10圖是第5圖所示的多層結構在經過本發明之另一個 實施例中的被覆層沈積之後,該多層結構的簡化立面圖; 第11圖是依據本發明之另一個實施例中的多層結構之 簡化立面圖; 15 第12圖是第11圖所示的多層結構在暴露於微修蝕刻製 程之後,該多層結構的簡化立面圖; 第13圖是第12圖所示的多層結構在經過本發明之另一 個實施例中的後處理之後’該多層結構的簡化立面圖; 第14圖是本發明之另一個實施例中的多層結構之簡化 20 立面圖;以及 第15圖是本發明之另一個實施例中的多層結構之簡化 立面圖。
【實施方式I 較佳實施例之詳細說明 7 1289326 第94116565號專利申請案發明說明書修正本96年1月 參看第1圖,圖中顯示多層結構40包含一基材30、一轉 移層37和一成像層43,其中轉移層37位於成像層43和基材 30之間。基材30的形成材料包括,但不限於,矽、砷化鎵 、石英、溶融氧化石夕(fused siiiCa)、藍寶石、有機聚合物、 5石夕乳烧聚合物、删石夕酸鹽玻璃(borosilicate glass)、氟碳聚 合物或其等之混合物。轉移層37和成像層43可以依據所需 要的材料和應用,使用任何現有技術來製成。舉例而言, • 從轉層37和成像層43以及基材30去除材料所採用的蝕刻製 程,可以是半導體加工技術中已知的任何技術。所採用的 10触刻製程取決於所用材料和所希望的應用。可用以沈積轉 移層37和成像層43的技術包括,但不限於,化學氣相澱積 (CVD)、物理氣相沈積(PVD)、濺射澱積、旋塗和滴注 (dispensing)液體。 轉移層37可以是一個抗反射塗,比如可從 15 Brewer Science,Inc· of R〇ua,Missouri 獲得的 DUV30J-6 〇 ! 此外,轉移層37可以是例如,含矽低介電(1〇w_k)層,或者 BCB層。在另一個實施例裏,形成轉移層37的組成物中可 以不含石夕,其組成如下: 組成物1 20 丙烯酸異冰片醋 丙烯酸己酯 二丙烯酸乙二醇酯 2-經基-2-甲基-1-笨基-丙燒酮 在組成物1中,丙烯酸異冰片酯約占組成的55%,丙烯 8 1289326 第94116565號專利申請案發明說明書修正本96年1月 酸己酯約占27%,二丙烯酸乙二醇酯約占15%,起始劑2-羥基-2-甲基-1-苯基-丙烷-1-酮約占3%。該起始劑的商標名 為 DAROCUR® 1173 ,由 New York,Tarrytown的 CIBA®銷售 。前述組成物也包含穩定劑,在化學技藝中以其能增加組 5 成物工作壽命而眾所周知。
成像層43具有複數個特徵(features)44和45,為成像層 43提供不同於轉移層37的蝕刻性質。特徵44和45可以由包 括光微影技術(各種不同的波長,包括G線,I線,248 nm, 193nm,157nm以及13.2 — 13.4nm),電子束微影技術,Χίο 射線微影技術,離子束微影術,原子束微影術以及壓印微 影術。壓微影術在許多出版物中都有記載,比如已提出申 請成為美國專利申請案第10/264,960號的美國公開專利申 請案第 2004/0065976號,名稱為”Method and a Mold to Arrange Features on a Substrate to Replicate Features Having 15 Minimal Dimensional Variability” ;已提出申請成為美國專 利申請案第10/264,926號的美國公開專利申請案第 2004/0065252號,名稱為”Method of Forming a Layer on a Substrate to Facilitate Fabrication of Metrology Standards” ;以及已提出申請成為美國專利申請案第10/235,314號的美 20 國公開專利申請案第2004/0046271號,名稱為’’Functional
Patterning Material for Imprint Lithography Processes”;戶斤有 這些專利申請案都已讓與本發明的受讓人,且已併入到本 文中供參考。代表性的微影操作系統可從Molecular Imprints,Inc.獲得,其商標名為IMPRIO 100TM ;;該公司 9
1289326 第94116565號專利申請案發明說明書修正本96年1月 的商業所在地為 1807-C Braker Lane, Suite 1〇〇,Austin,
Texas 78758。在™可取得IMPRI0 l〇〇TM的系統說明,且其内容已併入到本文中供參考。 參看第1圖和第2圖,採用壓印微影技術形成特徵44和 5 45時可能會導致成像層43形成殘餘部分n疊加在特徵44上 。因此,為了去除殘餘部分11,可能要採用貫穿钱刻 (break-through etch)來形成第1圖所示的多層結構4〇。 參看第1圖,如上所述,特徵44和45給成像層43提供了 不同於轉移層37的蝕刻特性。結果,成像層43的蝕刻特性 10就使得成像層43可以用作為轉移層37的硬罩。為達該目的 ,成像層43可以由一種含矽量介於3%到4〇重量%的矽有機 材料’或者其他能夠光學成像的材料形成。成像層43可透 過在轉移層37上旋轉塗覆具有足夠厚度的含矽材料而澱積 成’以為特疋的餘刻製程獲得所關於轉移層3 7所需的姓刻 15鑑別特性。用以形成成像層43的代表性材料包括組成物2和 組成物3,後續將有更詳盡討論。在把特徵44和45圖案化至 成像層43之後’多層結構40被暴露於微修餘刻製程。在另 一實施例中,成像層43和轉移層37可借助R〇hm and Haas of Philadelphia,PA的SiBER™ DUV雙層光阻平臺來形成。 20 SiBERTM DUV雙層光阻平臺的說明可由 http : // electronicmaterials.rohmhaas com/ hiiQir»^〇s^ /micro/lithographv/248photo.asp?caid=240取得,其内容亦併 入本文中供參考。 參看第3圖,微修蝕刻製程在多層結構4〇上形成了突出 1289326 第94116565號專利申請案發明說明書修正本%年1 部42。每,突出和包括特徵2—部分與之 移層37,稱為主體47。_中所示之特徵4 : 藉微修_製程縮小之,提供特徵45—寬度”心 = 10 ,在微肺·程中,從特徵45上錢了部分材料: 第職示的寬度,V,大於寬度”a2'同樣的,有部分材料 從轉移層37被絲。具體的說,轉移層37被部分地暴露於 微絲刻製程,使得轉移層37和特徵44相重疊的部分如 第!圖所示,被去除。這是微修姓刻製程中,成像層们的 作用就像是轉移層37的鮮所致。轉移層37和特徵Μ相重 疊的部分也受到局部侧。在這種方式下,主體π的尺寸在 整個長度上會有所不同。在主體47與基材3Q的介面,主體47 的寬度’’h ”大於主體47與成像層43介面處的主體47的寬度 ’V、寬度’V’可實質等於或小於寬度”a2,,,而寬度”b2,,可小 於寬度”a2”。 15 參看第3圖和第4圖,在多層結構4〇經過上述的微修餘 刻製程之後,多層結構4〇隨後被暴露於第二個蝕刻製程以 使轉移層37的殘留部分形成均勻的尺寸。具體地說,就是 利用成像層43的殘留部分作為遮罩,對轉移層^了進行選擇 性蝕刻。以方式,就形成了多層結構140。多層結構140具 20有突出部42,其寬度為”c 1,,。寬度”cΓ,可實質相等於寬度”b2” 。在另一實施例中,寬度”Cl”可小於寬度,,b2”。把多層結構 140暴露於上述蝕刻製程的結果是特徵45會變有小刻面,稱 為刻面材料。除去刻面部分是所希望的。除去刻面部分可 採用的方式視形成刻面部分所用的材料而定。為達該目的 11 1289326 5 10 15
20 第94116565號專利申請案發明說明書修正本%年i月 ,除去刻面材料所採用的-種方式是把刻面材料暴露於氮 氟酸(HF)浸液中。另外,形·面部分的材料也可以是 感光材料,因為刻面部分可暴露在輻射下,繼而暴露於化學 藥劑中以去除刻面部分,就像光阻材料n過,所希望 的是,除去刻面部分的製程能最小化或者關突㈣叫 留部分形成刻面的情形,以形成如第5圖所示之突起%。 參看第5圖和第6圖,除去刻面部分後,突起54的反向 特性(reverse tone)被轉移至基材3〇。就此,一被覆層奶可^ 沈積在突起54上,形成多層結構34〇。這可以借助多種方= 來實現,包括旋轉塗佈技術,接觸平坦化邮 planarization)以及類似技術,惟不限於此。此處,被覆層=
可由-可聚合材料形成。以下是形減覆層46的代表性^ 成物: I 組成物2 羥基-官能性聚矽氧燒 六甲氧甲基三聚氰胺 甲苯績酸 曱戊酮 組分3 羥基-官能性聚矽氧燒 六甲氧甲基三聚氰胺 伽瑪-環氧丙基氧丙基三甲氧基石夕烷 甲苯磺酸 甲戊酮 12 1289326 第94116565號專利申請案發明說明書修正本96年1月 組成物2中,羥基-官能性聚矽氧烷約占組成物的4%, 六曱氧甲基三聚氰胺約占0.95%,甲苯磺酸約占〇·〇5%,曱 戊酮約占95%。組成物3中,羥基-官能性聚矽氧烷約占組 成物的4%,六曱氧曱基三聚氰胺約占〇·7%,伽瑪-環氧丙基 5 氧丙基三甲氧基矽烷約占0.25%,甲苯磺酸0.05%,甲戊酮 約占95%。
10 15
20 被覆層46包括第一和第二相對面。第一側48面向基材 30。第二側面向遠離基材3〇的方向,形成標準化表面 (normalization surface)50。透過確保突起54與標準化表面50 之間的距離hhhh和kg實質相等,而且凹陷58與標 準化表面50之間的距離h,匕,“和匕實質相等,為標準化 表面50提供一個實質標準的輪廓。 把標準輪廓提供給標準化表面50的一種方式是用具有 平:L·表面62的平坦化模具6〇接觸被覆層46。其後,平坦化 模具60從«層46被分離開來,而且__射在被覆層 46上使其聚合’從而使之固化6。照射在被覆層46上的輕射 線可以疋i外線、域射、電磁波、可見光、熱以及類似 的輻射線。在另-實施财,照射於被覆祕上的輻射線 可以在平坦化模⑽自被覆層46被分離之前就先行照射。 為了確保被覆層46不㈣附在平坦化模具60上,可以在平 坦化_0找積—層絲峰覆蓋層_coating)64 〇 此外,可叫過_成被制的 劑來改善其職彳m ^^ /性蜊提供所需的脫模性,以減 13 1289326 第94116565號專利申請案發明說明書修正本%年1月 少被覆層46對平坦化模具6〇的粘附。本發明中,表面活性 劑係指任何含有疏水性末端基的分子。表面活性劑可以含 氟,例如包含一氟鏈,或者表面活性劑分子結構中也可以 不含鼠。一種代表性的表面活性劑可以從DUpqNtm公司購 5得,其商標名為ZONYL®FSO-100,該活性劑包含一個一般 性結構H,其中,Ri = f(CF2CF2)y,γ在的範圍,包 含7 ’ R2 = CH2CH20(CH2CH20)xH,X在〇到 15的範圍,包 含15。當然,表面活性劑可以和被施於平坦化模具6〇的低 表面能覆蓋層64 —起使用,或代替之。 10 參看第6圖和第7圖,採用毯覆式蝕刻去除被覆層46的 某些部分以提供給多層結構34〇—冠狀表面66。該冠狀表面 66由各突起54的一個露出表面68和毯覆式蝕刻之後殘留於 被覆層46上的部分70的上表面所構成。該毯覆式蝕刻可以 是濕蝕刻,也可以是乾蝕刻。在其他實施例中,可以採用 15 一種化學機械研磨法/平坦化技術來除去被覆層46的某些 部分,以提供給多層結構340—冠狀表面66。 參看第6圖、第7圖及第8圖,冠狀表面66被施以非等向 性電漿蝕刻(anisotropic plasma etch)。非等向性蝕刻之蝕刻 化學特性係選定成可以將突起54做最大化蝕刻,而將部分 20 70做最小化蝕刻。在本例中,係利用突起54和被覆層46之 間的矽含量差異。具體地說,採用具有氧系化學特性的電 漿蝕刻,限定一原位硬化的遮罩72在部分7〇接近冠狀表面 66的區域被生成,從而形成多層結構44〇。這是含矽可聚合 材料和氧電漿之間相互作用的結果。硬化的遮罩72和蝕刻 14 1289326 第94116565號專利申請案發明說明書修正本96年1月 重疊的區域74被曝露 製程之非等向性則是造成與突起54相 出來。 5
10 參看第8圖和第9圖,多層結構44〇之圖案可形成轉移到 基材3〇之圖案的基礎。具體的說,多層結構糊所界定成的 結構形狀可藉由制-種非等向性氟電漿軸㈣被轉移到 基材30。這鋪賴益處是,在基_裏形成的凹陷之尺 寸和形成凹陷形狀的基礎之圖案化層,像是第丨圖所示的成 像層43相比要小的多。如果第6圖所示的被覆層仏也是用含 石夕的感光材料形成,則第6圖所示的被覆層杯就可以採用一 種和去除光时料相㈣方絲絲。因此料需要採用 毯覆式氟钱刻了。
參看第4圖和第1G圖,圖中所示為另_實施例,該實施 例避開必需採用上述HF浸液的問題。具體地說,就是在突 出部42形成之後,才沈積被覆層46。此處,被覆層牝和突 15出部42的刻面區域係由蝕刻特性相似的材料製成。 具體地說,希望和刻面區域有關聯的钮刻速率不要大 於和被覆層46有關聯的蝕刻速率。這樣,就可以像上述有 關第6圖和第7圖所討論的一樣來進行毯覆式蝕刻。但是, 應明白,該表面不需要像上述示於第7圖的冠狀表面66一樣 2〇的平坦。接著,就像上述就第7圖、第8圖及第9圖所討論的 一樣’在基材30形成區域74中的凹陷。 參看第11圖,圖中教示本發明的另一個實施例,說明 可以採用前述製程,在基材3〇上一已存在的層内形成凹陷 結構。此處,一多層結構540具有基材3〇,一底層141,一 15 1289326 第94116565號專利申請案發明說明書修正本96年1月 轉移層137,以及一成像層143,且底層141位於轉移層137 和基材30之間,轉移層137位於成像層143和底層141之間。 轉移層137和成像層143可以分別由上述關於第1圖所示之 轉移層37和成像層43所提及的材料形成,而且可以分別按 5照上述第1圖中所示的關於轉移層37和成像層43的形成方 法形成。 底層141可以用低介電材料形成,比如含石夕低介電材料 • ,BCB,二氧化矽,旋塗玻璃,FSG以及多晶矽。底層141 可以採用上述第1圖中關於轉移層37和成像層43的任何一 ίο種形成技術來形成。在一個代表性實施例中,底層141可以 利用旋塗技術沈積在基材30上,且由一種低介電性的含石夕 介電質組成。 參看第12圖和第13圖,如同上述關於第丨圖中的成像層 43和轉換層37所述的,成像層143的蝕刻特性不同於轉移層 15 137的餘刻特性。在這種方式下,採用一種微修蝕刻製程以 • 形成突出部142。如圖所示,突出部142可用上述第3圖中的 相關方法形成,除了不同於第3圖所示之主體47是連接在美 材30和特徵45之間,主體147是連接在特徵145和底層141之 間。在對多層結構54〇施過上述的微修餘刻製程後,為择得 2〇底層141裏的凹陷結構174,可以進一步實施上述關於第4圖 、第5圖、第6圖、第7圖、第8圖以及第9圖中所討論的附加 製程。雖然圖中未示出,但是凹形結構174也可延伸至完全 穿過底層141,並終止於基材30。 參看第14圖,在另一實施例中,成像層43可位於基材 16 1289326 第94116565號專利申請案發明說明書修正本96年1月 30上形成多層結構640。成像層43可以由一種有機光阻形成 ,像是Rohm and Haas of Philadelphia,PA製之I-線,193nm ,和248nm微影光阻。I-線,193nm,和248nm微影光阻的 說明可由 http : //electronicmaterials.rohmhaasxom/businesses 5 /micro/lith〇graphv/248photo.asD?caid=::235^i#,且已併入做 為本發明之參考。成像層43也可以用電子束有機光阻形成
,該材料可以從曰本東京的Zeon Corporation獲得。電子束 有機光阻的說明可以從 http > //www.zeonxo.ip/business e/ enterprise/imagelec/zep7000.html取得,且已併入做為本發明 10 之參考。為縮小特徵45的寬度’’a!”,可以用一種等向蝕刻成 分對成像層43進行有機蝕刻。但是,這樣可能導致特徵45 變成有小刻面,稱為刻面材料。為了把多層結構640所界定 的結構形狀轉移至基材3〇,可以進行如上述有關第4圖、第 5圖、第6圖、第7圖、第8圖以及第9圖中所討論的附加製程 15 。但是,在多層結構640上採用上述關於第6圖和第7圖中的 毯覆式姓刻,可能需要一過度钱刻(over—etch)以消除上述的 刻面材料。 參看第15圖,在另一實施例中,成像層143可位於底層 141之上以形成多層結構74〇,其中多層結構74〇可施以上述 20關於多層結構640的製程,以使多層結構740所界定的結構 形狀被轉移至底層141。 本發明之上述實施例均是示例性的。對上述的教示内 容可進行許多變化和修正,但都涵蓋在本發明的範圍之 内。因此,本發明之範圍應參考申請專利範圍及其等效範 17 1289326 第94116565號專利申請案發明說明書修正本奶約月 圍以為確定。 【圖式簡單·說^明】 第1圖是一多層結構的簡化立面圖; 弟2圖疋一壓印微影技術(imprint lith〇graphy)所形成的 5多層結構之簡化立面圖; 第3圖是第1圖所示的多層結構在暴露於微修蝕刻製程 之後’該多層結構的簡化立面圖;
第4圖是第3圖所示的多層結構在經過選擇性蝕刻之後 ,該多層結構的簡化立面圖; 10 第5圖是第4圖所示的多層結構在暴露於浸蝕(dip etch) 之後,該多層結構的簡化立面圖; 第6圖是第5圖所示的多層結構在沈積了一被覆層 (conformal layer)之後,該多層結構的簡化立面圖; 第7圖是第6圖所示的多層結構在經過毯覆式蝕刻 15 (blanket etch)且形成了一冠狀表面之後,該多層結構的簡化 立面圖; 第8圖是第7圖所示的多層結構在冠狀表面經過蝕刻製 程而使基材的數個區域暴露出來之後,該多層結構的簡化 立面圖; 2〇 第9圖是第8圖所示的基材在把多層結構的圖案轉移至 該基材中之後,該基材的簡化立面圖; 第10圖是第5圖所示的多層結構在經過本發明之另一個 實施例中的被覆層沈積之後,該多層結構的簡化立面圖; 第11圖是依據本發明之另一個實施例中的多層結構之 18 1289326 第94116565號專利申請案發明說明書修正本96年1月 簡化立面圖; 第12圖是第11圖所示的多層結構在暴露於微修蝕刻製 程之後,該多層結構的簡化立面圖; 第13圖是第12圖所示的多層結構在經過本發明之另一 5 個實施例中的後處理之後,該多層結構的簡化立面圖; 第14圖是本發明之另一個實施例中的多層結構之簡化 立面圖;以及
第15圖是本發明之另一個實施例中的多層結構之簡化 立面圖。 10 【主要元件符號說明】 11…殘餘部分 60…平坦化模具 30…基材 62…平坦表面 37…轉移層 64…覆蓋層 40…多層結構 66…冠狀表面 42…突出部 68…暴露表面 43…成像層 70…殘留在被覆層46上的部分 44…特徵 72…遮罩 45…特徵 74…遮罩72和突起54相重疊 46…被覆層 的區域 47…主體 137…轉移層 48…被覆層46的第一面 141…底層 50…標準化表面 143…成像層 54…突出部 145…特徵 58···凹陷 147···主體 19 1289326 第94116565號專利申請案發明說明書修正本96年1月 174·.·凹陷結構 ay特徵45的寬度 340···多層結構 br··主體47的寬度 440·.·多層結構 b2…主體47的寬度 540···多層結構 ki,k3,k5,k7,k9 …突起54 640···多層結構 與標準化表面50之間的距離 740···多層結構 k2,1¾,k6,k8…凹陷58與標 ar··特徵45的寬度 準化表面50之間的距離 20

Claims (1)

  1. 96. 1. 18 —~~η 1289326 年月曰修(更)正本 第941165656號專利申請案f 正本96年1月 十、申請專利範圍: 1· 一種在一表面形成凹陷的方法,該方法包括·· 在該表面上形成一具有複數第一尺寸和一形狀的 複數個特徵之圖案層;以及 把該形狀的反向形狀轉移至該表面,該表面具有不 同於該等第一尺寸的複數第二尺寸。
    2. 如申請專利範圍第!項之方法,其中轉移製程進一步包 括把該形狀的反向形狀轉移至一基材。 3. 如申請專利範圍第旧之方法,其中轉移製程進一步包 括把該形狀的反向形狀轉移至一底層。 4. 如申清專利範圍第旧之方法,其中轉移製程進一步包 括把該形狀的反向形狀轉移至該表面的-個與該等特 徵之一相重疊的區域。 5.如申請專利範圍第1項之方法,其中轉移製程進-步包 括把該形狀的反向形狀轉移至一底層之—與該等特徵 相重疊的區域。 ” ㈤第1項之方法,其中轉移製程進一步包 括產生複數個從該等特徵延伸至該表㈣突出部,並用 蓋該複數個突出部,而該被覆層和該等突出 Μ:::第:相似的_的#_成。 、之方法,其中轉移製程進一步包 -被覆Μ :心等特徵延伸至該表面的突*部,並用 部之一 突出部’且該_層和該等突出 附屬部分是用具有相似的矽含量的材料形 1 1289326 成,而該等突出部之一第二附屬部分則含有不同於該第 一附屬部分的矽含量。
    8. 如申請專利範圍第1項之方法,其中轉移製程進一步包 括產生複數個從該等特徵延伸至該表面的突出部,並用 一被覆層覆蓋該複數個突出部,且該被覆層和該等突出 部之一第一部分對於一特定的蝕刻化學作用具有相似 的蝕刻特性,而該等突出部之一第二部分對於該特定的 蝕刻化學作用具有不同於該被覆層的蝕刻特性。 9. 如申請專利範圍第1項之方法,其中該等第二尺寸小於 該等第一尺寸。 10. 如申請專利範圍第1項之方法,其中成形製程進一步包 括在該圖案層和該表面之間置入一轉移層,且該轉移製 程進一步包括蝕刻該轉移層以產生複數個沿一第一方 向的尺寸實質等於該反向形狀沿該第一方向的尺寸之 突出部。 2 1289326 第94116565號專利申請案發明說明書修正本96年1月 七、指定代表圖·· (一) 本案指定代表圖為:第(9 )圖。 (二) 本代表圖之元件符號簡單說明: 30…基材 74···硬罩72和突出體54相重疊 的區域 八、本案若有化學式時,請揭示最能顯示發明特徵的化學式:
TW094116565A 2004-05-21 2005-05-20 Method of forming a recessed structure employing a reverse tone process TWI289326B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US85087604A 2004-05-21 2004-05-21
US10/946,570 US7186656B2 (en) 2004-05-21 2004-09-21 Method of forming a recessed structure employing a reverse tone process

Publications (2)

Publication Number Publication Date
TW200603261A TW200603261A (en) 2006-01-16
TWI289326B true TWI289326B (en) 2007-11-01

Family

ID=35429090

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094116565A TWI289326B (en) 2004-05-21 2005-05-20 Method of forming a recessed structure employing a reverse tone process

Country Status (7)

Country Link
US (1) US7186656B2 (zh)
EP (1) EP1761949A4 (zh)
JP (2) JP5059608B2 (zh)
KR (1) KR101139302B1 (zh)
CN (1) CN101356303B (zh)
TW (1) TWI289326B (zh)
WO (1) WO2005114719A2 (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US7906180B2 (en) * 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7384569B1 (en) * 2004-08-02 2008-06-10 Advanced Micro Devices, Inc. Imprint lithography mask trimming for imprint mask using etch
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7585424B2 (en) * 2005-01-18 2009-09-08 Hewlett-Packard Development Company, L.P. Pattern reversal process for self aligned imprint lithography and device
JP4247198B2 (ja) * 2005-03-31 2009-04-02 株式会社東芝 半導体装置の製造方法
US7482280B2 (en) * 2005-08-15 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
US7259102B2 (en) * 2005-09-30 2007-08-21 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
US7767570B2 (en) 2006-03-22 2010-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy vias for damascene process
US7759253B2 (en) * 2006-08-07 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and material for forming a double exposure lithography pattern
US7776628B2 (en) * 2006-11-16 2010-08-17 International Business Machines Corporation Method and system for tone inverting of residual layer tolerant imprint lithography
US20110105366A1 (en) * 2007-06-18 2011-05-05 Illumina, Inc. Microfabrication methods for the optimal patterning of substrates
US8136224B1 (en) 2008-05-15 2012-03-20 Western Digital (Fremont), Llc Method and system for providing a perpendicular magnetic recording head utilizing a mask having an undercut line
WO2010010928A1 (ja) * 2008-07-24 2010-01-28 日産化学工業株式会社 コーティング組成物及びパターン形成方法
US8415010B2 (en) * 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
WO2010111307A1 (en) * 2009-03-23 2010-09-30 Intevac, Inc. A process for optimization of island to trench ratio in patterned media
US8492009B1 (en) 2009-08-25 2013-07-23 Wd Media, Inc. Electrochemical etching of magnetic recording layer
US8828253B2 (en) 2010-09-09 2014-09-09 Asml Netherlands B.V. Lithography using self-assembled polymers
WO2012061816A2 (en) 2010-11-05 2012-05-10 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
US8778848B2 (en) 2011-06-09 2014-07-15 Illumina, Inc. Patterned flow-cells useful for nucleic acid analysis
CA2856163C (en) 2011-10-28 2019-05-07 Illumina, Inc. Microarray fabrication system and method
US8870345B2 (en) * 2012-07-16 2014-10-28 Xerox Corporation Method of making superoleophobic re-entrant resist structures
KR20140046266A (ko) * 2012-10-10 2014-04-18 삼성디스플레이 주식회사 패턴 형성 장치, 패턴 형성 장치의 제조 방법 및 패턴 형성 방법
US9105295B2 (en) * 2013-02-25 2015-08-11 HGST Netherlands B.V. Pattern tone reversal
KR102243630B1 (ko) 2013-12-30 2021-04-23 캐논 나노테크놀로지즈 인코퍼레이티드 20nm 이하 특징부의 균일한 임프린트 패턴 전사 방법
KR102279239B1 (ko) 2014-07-25 2021-07-19 삼성전자주식회사 임프린트 공정을 이용한 역상 패턴 전사방법
US10580659B2 (en) 2017-09-14 2020-03-03 Canon Kabushiki Kaisha Planarization process and apparatus
US10304744B1 (en) 2018-05-15 2019-05-28 International Business Machines Corporation Inverse tone direct print EUV lithography enabled by selective material deposition
US11398377B2 (en) 2020-01-14 2022-07-26 International Business Machines Corporation Bilayer hardmask for direct print lithography

Family Cites Families (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6053675B2 (ja) * 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4426247A (en) * 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
JPS61190947A (ja) * 1985-02-19 1986-08-25 Toshiba Corp 微細パタ−ンの形成方法
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) * 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
FR2604553A1 (fr) * 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
JPS63155621A (ja) * 1986-12-18 1988-06-28 Oki Electric Ind Co Ltd 半導体装置の製造方法
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
KR930000293B1 (ko) 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 미세패턴형성방법
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
JP3001607B2 (ja) * 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
DE59010728D1 (de) 1989-04-24 1997-07-31 Siemens Ag Verfahren zur Erzeugung ätzresistenter Strukturen
US5110514A (en) * 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
DE4029912A1 (de) * 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5545367A (en) * 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
JPH06244156A (ja) * 1993-02-15 1994-09-02 Nippon Telegr & Teleph Corp <Ntt> パタ―ン形成法
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) * 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
JP2837063B2 (ja) * 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5776748A (en) * 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5434107A (en) * 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
JPH08262717A (ja) * 1995-03-27 1996-10-11 Fujitsu Ltd レジスト組成物及びレジストパターンの形成方法
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) * 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5597438A (en) * 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5684066A (en) * 1995-12-04 1997-11-04 H.B. Fuller Licensing & Financing, Inc. Protective coatings having enhanced properties
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6074827A (en) * 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US5942449A (en) * 1996-08-28 1999-08-24 Micron Technology, Inc. Method for removing an upper layer of material from a semiconductor wafer
JP3258240B2 (ja) * 1996-09-10 2002-02-18 株式会社日立製作所 エッチング方法
US5948470A (en) * 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
DE19828969A1 (de) 1998-06-29 1999-12-30 Siemens Ag Verfahren zur Herstellung von Halbleiterbauelementen
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) * 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
CN2349218Y (zh) * 1998-11-18 1999-11-17 中国科学院长春光学精密机械研究所 一种用于准分子激光刻蚀的附着式掩膜组件
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
JP4286374B2 (ja) * 1999-03-30 2009-06-24 新日鐵化学株式会社 シリコーン樹脂及びこれを含有する感光性樹脂組成物
US6342097B1 (en) * 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
JP2000323461A (ja) 1999-05-11 2000-11-24 Nec Corp 微細パターン形成装置、その製造方法、および形成方法
US6150190A (en) 1999-05-27 2000-11-21 Motorola Inc. Method of formation of buried mirror semiconductive device
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
TW442961B (en) * 1999-10-08 2001-06-23 Taiwan Semiconductor Mfg Manufacturing method of double-recess crown capacitor of DRAM
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
ATE294648T1 (de) * 1999-12-23 2005-05-15 Univ Massachusetts Verfahren zur herstellung von submikron mustern auf filmen
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6566258B1 (en) * 2000-05-10 2003-05-20 Applied Materials, Inc. Bi-layer etch stop for inter-level via
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6777170B1 (en) * 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US6468853B1 (en) 2000-08-18 2002-10-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a shallow trench isolation structure with reduced local oxide recess near corner
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
JP3848070B2 (ja) 2000-09-27 2006-11-22 株式会社東芝 パターン形成方法
CN100365507C (zh) * 2000-10-12 2008-01-30 德克萨斯州大学系统董事会 用于室温下低压微刻痕和毫微刻痕光刻的模板
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
CN100347608C (zh) * 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6489237B1 (en) * 2001-12-04 2002-12-03 Taiwan Semiconductor Manufacturing Company Method of patterning lines in semiconductor devices
US6767202B2 (en) * 2001-12-18 2004-07-27 3M Innovative Properties Company Tooling with helical coils for structured surface articles
DE10200678B4 (de) * 2002-01-10 2006-05-11 Infineon Technologies Ag Verfahren zum Bearbeiten eines Substrats zum Ausbilden einer Struktur
US6737202B2 (en) 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6495430B1 (en) 2002-05-21 2002-12-17 Macronix International Co., Ltd. Process for fabricating sharp corner-free shallow trench isolation structure
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US6820677B2 (en) 2002-08-20 2004-11-23 Ford Motor Company Method of making a spray formed article
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US6943117B2 (en) * 2003-03-27 2005-09-13 Korea Institute Of Machinery & Materials UV nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography

Also Published As

Publication number Publication date
US20050260848A1 (en) 2005-11-24
EP1761949A4 (en) 2011-04-20
WO2005114719A2 (en) 2005-12-01
KR101139302B1 (ko) 2012-05-25
WO2005114719A3 (en) 2008-10-09
EP1761949A2 (en) 2007-03-14
TW200603261A (en) 2006-01-16
US7186656B2 (en) 2007-03-06
JP5059608B2 (ja) 2012-10-24
JP2012054612A (ja) 2012-03-15
CN101356303A (zh) 2009-01-28
JP5563544B2 (ja) 2014-07-30
KR20070013305A (ko) 2007-01-30
CN101356303B (zh) 2012-04-04
JP2008517448A (ja) 2008-05-22

Similar Documents

Publication Publication Date Title
TWI289326B (en) Method of forming a recessed structure employing a reverse tone process
TWI279834B (en) Reverse tone patterning on surfaces having surface planarity perturbations
TWI301999B (en) Eliminating printability of sub-resolution defects in imprint lithography
US9831117B2 (en) Self-aligned double spacer patterning process
TWI292590B (en) Pattern reversal employing thick residual layers
TWI453106B (zh) 奈米尺寸形狀之大面積圖案化技術
TWI302718B (en) Patterning surfaces while providing greater control of recess anisotropy
US7323417B2 (en) Method of forming a recessed structure employing a reverse tone process
TWI452419B (zh) 細微圖案光罩及其製造方法、及使用其之細微圖案形成方法
TW201719755A (zh) 特徵尺寸縮減技術(二)
KR20060004679A (ko) 임프린트 리소그래피를 사용하는 층진 구조물의 형성 방법
KR101988193B1 (ko) 화학적 폴리싱 및 평탄화를 위한 방법
JP5848386B2 (ja) インサイチュ嵌込み構造物形成方法
JP5728478B2 (ja) 隣接するフィールドのアラインメント方法
TWI272649B (en) Method of forming an in-situ recessed structure
JP6534959B2 (ja) 有機膜の形成方法及び半導体装置用基板の製造方法
KR20220034874A (ko) 스핀-온 및 cvd 증착된 유기 막의 평탄화를 위한 방법
CN111542919A (zh) 先进的接触孔图案化的方法
US7575855B2 (en) Method of forming pattern