KR20070013305A - 리버스 톤 공정을 사용하는 리세스형 구조물 형성 방법 - Google Patents

리버스 톤 공정을 사용하는 리세스형 구조물 형성 방법 Download PDF

Info

Publication number
KR20070013305A
KR20070013305A KR1020067024158A KR20067024158A KR20070013305A KR 20070013305 A KR20070013305 A KR 20070013305A KR 1020067024158 A KR1020067024158 A KR 1020067024158A KR 20067024158 A KR20067024158 A KR 20067024158A KR 20070013305 A KR20070013305 A KR 20070013305A
Authority
KR
South Korea
Prior art keywords
layer
shape
transferring
multilayer structure
etching
Prior art date
Application number
KR1020067024158A
Other languages
English (en)
Other versions
KR101139302B1 (ko
Inventor
시들가타 브이 스리니바산
Original Assignee
몰레큘러 임프린츠 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 몰레큘러 임프린츠 인코퍼레이티드 filed Critical 몰레큘러 임프린츠 인코퍼레이티드
Publication of KR20070013305A publication Critical patent/KR20070013305A/ko
Application granted granted Critical
Publication of KR101139302B1 publication Critical patent/KR101139302B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76817Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics using printing or stamping techniques

Abstract

본 발명은 기판상에 리세스를 형성하는 방법을 제공하는데, 방법은 기판상에 제 1 형상부를 갖는 패터닝 층을 형성하는 단계; 제 1 형상부를 트림 식각하여 모양을 갖는 트림된 형상부를 정의하는 단계; 및 모양의 역상을 기판에 전사하는 단계를 포함한다.
Figure 112006084293444-PCT00001
리세스, 리버스 톤, 식각

Description

리버스 톤 공정을 사용하는 리세스형 구조물 형성 방법{METHOD OF FORMING A RECESSED STRUCTURE EMPLOYING A REVERSE TONE PROCESS}
본 발명의 분야는 일반적으로 구조물의 미소 제작에 관한 것이다. 보다 구체적으로, 본 발명은 트림 식각 공정 및 후속하는 리세스형 구조물의 리버스 톤 방법을 이용하여 리세스형 구조물을 생성하는 것에 관한 것이다.
미소-제작은, 예를 들면 마이크로미터 또는 더 작은 정도의 형상부를 갖는 매우 작은 구조물의 제조를 포함한다. 미소-제작이 상당한 영향을 주는 하나의 영역이 집적 회로의 제조 공정이다. 반도체 제조 산업이 더 큰 생산 수율을 얻기 위하여 노력함에 따라 기판에 형성된 단위 면적당 회로는 증가하므로, 미소-제작은 더욱 중요하게 된다. 형성된 구조물의 최소 형상부의 치수 감소가 커짐에도, 미소-제작은 더 양호한 공정 제어를 제공한다. 미소-제작이 사용되는 다른 개발 영역은 생명 공학, 광학 기술, 기계 시스템 등이다.
미소 제작으로 형성된 구조물의 최소 형상부 치수를 감소하는 방법은 Plat et al.의 미국 특허 번호 6,541,360에 개시된다. Plat et al. 은 작은 핵심 치수를 갖는 집적 회로의 게이트 구조물을 형성하기 위한 이중층 트림 식각 공정을 개시한다. 보다 구체적으로, Plat et al.은 폴리실리콘층 상에 유기 하부층을 증착하고, 유기 하부층 상에 이미지 층을 증착한 후, 이미지 층을 패터닝하는 것에 의한 다중층 구조물의 형성 공정을 개시한다. 다음에 이미지 층은 유기 하부층을 선택적으로 트림 식각하는데 하드 마스크로 이용됨으로써, 이미지 층에 의하여 생성되는 것보다 더 작은 패턴을 형성한다. 다음에 하드 마스크 이미지 층은 제거되고, 마지막으로 폴리실리콘 층의 부분은 유기 하부층에 의하여 형성된 패턴을 사용하여 식각된다. 이것은 이미지 층의 패턴 너비보다 더 작은 너비를 갖는 게이트 패턴의 형성을 허용한다.
하지만, 필요로 하는 기술은 감소된 핵심 치수의 홀/트렌치를 갖는 구조물을 형성하는 기술이다.
발명의 개요
본 발명은 기판 상에 리세스를 형성하는 방법을 제공하는데, 이 방법은 기판상에 제 1 형상부를 갖는 패터닝층을 형성하는 단계; 제 1 형상부를 트림 식각하여 모양을 갖는 트림된 형상부를 정의하는 단계; 및 모양의 역상을 기판에 전사하는 단계를 포함한다. 이들 구체예 및 다른 것들은 아래에서 보다 충분히 설명될 것이다.
도 1은 다충층 구조물의 단순 정면도;
도 2는 임프린트 리쏘그래피에 의하여 형성된 다중층 구조물의 단순 정면도;
도 3은 다중층 구조물이 트림 식각 공정에 노출된 후의 도 1에서 나타낸 다중층 구조물의 단순 정면도;
도 4는 다중층 구조물이 선택적으로 식각된 후의 도 3에서 나타낸 다중층 구조물의 단순 정면도;
도 5는 다중층 구조물이 딥(dip) 식각에 노출된 후의 도 4에서 나타낸 다중층 구조물의 단순정면도;
도 6은 다중층 구조물에 등각층이 증착된 후의 도 5에서 나타낸 다중층 구조물의 단순 정면도;
도 7은 전면 식각 및 크라운형 표면의 형성 후의 도 6에서 나타낸 다중층 구조물의 단순 정면도;
도 8 은 크라운형 표면이 식각 공정을 받아 기판의 영역이 노출된 후의 도 7에서 나타낸 다중층 구조물의 단순 정면도;
도 9는 다중층 구조물의 패턴을 전사한 후의 도 8에서 나타낸 기판의 단순 정면도;
도 10은 본 발명의 또 다른 구체예에 따라 등각층이 증착된 후의 도 5에서 나타낸 다중층 구조물의 단순 정면도;
도 11은 본 발명의 또 다른 구체예에 따른 다중층 구조물의 단순 정면도;
도 12는 다중층 구조물이 트림 식각 공정에 노출된 후의 도 11에 나타낸 다중층 구조물의 단순 정면도;
도 13은 본 발명의 또 다른 구체예에 따른 후공정 후의 도 12에서 나타낸 다중층 구조물의 단순 정면도;
도 14는 본 발명의 또 다른 구체예에서 다중층 구조물의 단순 정면도;
도 15는 본 발명의 또 다른 구체예에서 다중층 구조물의 단순 정면도이다.
도 1을 참조하면, 다중층 구조물(40)은 기판(30), 전사층(37), 및 이미지층(43)을 가지며, 전사층(37)은 이미지층(43) 및 기판(30) 사이에 위치되는 것으로 나타나 있다. 기판(30)은 실리콘, 갈륨 비소, 석영, 용융 실리카, 사파이어, 유기 폴리머, 실록산 폴리머, 붕규산 유리, 플루오로카본 폴리머, 또는 이것들의 조합을 포함하는 물질로부터 형성되나, 이들에 한정되지 않는다. 전사층(37) 및 이미지층(43)은, 물질 및 원하는 용도에 따라 알려진 어떠한 기술을 사용해서도 형성될 수 있다. 예를 들면, 전사층(37) 및 이미지층(43)뿐만 아니라 기판(30)으로부터 물질을 제거하기 위하여 사용될 수 있는 식각 공정은 반도체 공정 기술에서 잘 알려져 있다. 사용되는 식각 공정은 사용된 물질 및 원하는 용도에 따라 결정된다. 전사층(37) 및 이미지층(43)을 증착하기 위하여 사용될 수 있는 기술은 화학 증착법(CVD), 물리 증착법(PVD), 스퍼터 증착법, 스핀-코팅법 및 액체 분배법을 포함하나, 이들에 한정되지 않는다.
전사층(37)은 Brewer Science, Inc.(Rolla, Missouri)사로부터 입수할 수 있는 DUV30J-6과 같은 반사 방지 코팅(BARC)층일 수 있다. 게다가, 전사층(37)은 실리콘-함유 저유전율 층, 또는 예를 들면 BCB 층일 수 있다. 다른 구체예에서, 전사층(37)은 실리콘이 없으며, 하기 물질로 이루어질 수 있다.
조성물 1
이소보르닐 아크릴레이트
n-헥실 아크릴레이트
에틸렌 글리콜 디아크릴레이트
2-히드록시-2-메틸-1-페닐-프로판-1-온
조성물 1에서, 이소보르닐 아크릴레이트는 조성물의 대략 55%을 포함하고, n-헥실 아크릴레이트는 대략 27%를 포함하고, 에틸렌 글리콜 디아크릴레이트는 대략 15%를 포함하며, 그리고 개시제인 2-히드록시-2-메틸-1-페닐-프로판-1-온은 대략 3%를 포함한다. 개시제는 CIBA®(Tarrytown, New York)의 DAROCUR® 1173이라는 상품명으로 판매된다. 상기 확인된 조성물은 화학 분야에서 조성물의 사용 기간을 증가시키는 것으로 잘 알려진 안정화제를 또한 포함한다.
이미지층(43)은, 전사층(37)의 식각 특성과는 상이한 식각 특성을 가지는 이미지층(43)을 제공하기 위하여 복수의 형상부(44, 45)를 갖는다. 형상부(44, 45)는, 포토리쏘그래피(G 라인, I 라인, 248nm, 193nm, 157nm, 및 13.2-13.4nm를 포함하는 다양한 파장), e-빔 리쏘그래피, x-레이 리쏘그래피, 이온-빔 리쏘그래피, 원자 빔 리쏘그래피, 및 임프린트 리쏘그래피를 포함하는 기술에 의하여 형성될 수 있으나, 이들에 한정되지 않는다. 임프린트 리쏘그래피는 수많은 문헌에 기재되며, 예를 들면 미국 공개 특허 공보 2004/0065976, 출원번호 10/264,960, 발명의 명칭 "Method and a Mold to Arrange Features on a Substrate to Replicate Features Having Minimal Dimensional Variability"; 미국 공개 특허 공보 2004/0065252, 출원번호 10/264,926, 발명의 명칭 "Method of Forming a Layer on a Substrate to Facilitate Fabrication of Metrology Standards"; 및 미국 공개 특허 공보 2004/0046271, 출원번호 10/235,314, 발명의 명칭 "Functional Patterning Material for Imprint Lithography Processes"이고, 상기 모두는 본 발명의 출원인에게 양도되었으며, 본 명세서에서 참고자료로 포함된다. 대표적 리쏘그래피 시스템은, 영업소가 1807-C Braker Lane, Suite 100, Austin, Texas 78758인 Molecular Imprints 사의 IMPRIO 100TM라는 상품명으로 입수가능하다. IMPRIO 100TM에 관한 시스템 설명은 www.molecularimprints.com에서 입수 가능하고, 이것은 본 명세서에서 참조로 포함된다.
도 1 및 2를 참조하면, 임프린트 리쏘그래피를 사용하여 형상부(44, 45)를 형성하는 것은 형상부(44)와 중첩하는 이미지층(43)의 잔류 부분(11) 형성을 초래할 수 있다. 따라서, 잔류 부분(11)을 제거하기 위하여, 도 1에서 나타낸 다중층 구조물(40)이 형성되는, 획기적 식각 기술이 사용될 수 있다.
도 1을 참조하면, 상기 언급된 바와 같이 형상부(44, 45)는 전사층(37)의 식각 특성과는 상이한 식각 특성을 이미지층(43)에 제공한다. 이 목적을 위하여, 이미지층(43)의 식각 특성은 이미지 층(43)이 전사층(37)에 대한 하드 마스크로 기능하게 한다. 이 목적을 위하여, 이미지 층(43)은, 실리콘 함량이 3중량% 내지 40중량% 범위의 실리콘 함량을 갖는 실리콘 유기 물질, 또는 포토-이미지가 가능한 다른 물질일 수 있다. 이미지층(43)은 전사층(37)상에서 실리콘-함유 물질을 충분한 두께로 스핀-코팅함으로써 증착될 수 있으며, 주어진 식각 공정에 대하여 전사층(37)과 구별되는 원하는 식각 특성이 얻어진다. 이미지 층(43)을 형성하는 대표적 물질은 조성물 2 및 조성물 3을 포함하며, 이는 아래에서 보다 충분히 논의된다. 형상부(44, 45)를 이미지층(43)으로 패터닝한 후, 다중층 구조물(40)은 트림 식각 공정에 노출된다. 또 다른 구체예에서, 이미지층(43) 및 전사층(37)은, Rohm and Haas (Philadelphia, PA)로부터 입수할 수 있는 SiBERTM DUV 이중층 레지스트 플랫폼에 의하여 형성될 수 있다. SiBERTM DUV 이중층 레지스트 플랫폼에 관한 설명은 http://electronicmaterials.rohmhass.com/businesses/micro/lithography/ 248photo.asp?caid=240에서 입수할 수 있으며, 이것은 본 명세서에서 참고자료로 포함된다.
도 3을 참조하면, 트림 식각 공정은 다충층 구조물(40)에서 돌출부(42)를 형성한다. 돌출부(42) 각각은 형상부(45), 및 여기에 중첩하는 전사층(37)의 일부분을 포함하며, 이 전사층의 일부분은 바디(47)라 지칭된다. 도 1에서 나타낸 형상부(45)의 너비 'a1'은 트림 식각 공정에 의하여 감소되어, 형상부(45)에 너비 'a2' 가 제공된다. 특히, 트림 식각 공정 중 형상부(45)로부터 물질이 제거되어, 도 1에서 나타낸 너비 'a1'가 너비 'a2'보다 더 크게 된다. 유사하게 전사층(37)로부터 물질이 제거될 수 있다. 특히 전사층(37)은 부분적으로 트림 식각 공정에 노출되어, 도 1에서 나타낸 형상부(44)와 중첩하는 전사층(37)의 부분이 제거된다. 이것은 트림 식각 공정 중 전사층(37)을 위한 마스크로서 기능하는 이미지 층(43)에 기인한다. 형상부(45)와 중첩하는 전사층(37)의 부분은 부분적으로 또한 식각된다. 이러한 방식으로, 바디(47)는 길이에 대하여 다양한 치수를 갖는다. 바디(47)와 기판(30)의 경계면에서 바디(47)의 너비 'b1'은 바디(47)와 이미지 층(43)의 경계면에서 바디(47)의 너비 'b2'보다 크다. 너비 'b1'은 너비 'a2'와 실질적으로 동일하거나 작을 수 있으며, 너비'b2'는 너비 'a2'보다 작을 수 있다.h
도 3 및 4를 참조하면, 다중층 구조물(40)이 상기 트림 식각 공정을 받게 한 후, 후속하여 다중층 구조물(40)을 제 2 식각 공정에 노출시켜 전사층(37)의 잔류 부분이 균일한 치수를 갖게 한다.
특히, 전사층(37)은 이미지층(43)의 잔류 부분을 마스크로 사용하여 선택적으로 식각될 수 있다. 이러한 방식으로, 다중층 구조물(140)이 형성된다. 다중층 구조물(140)은 너비 'c1'을 갖는 돌출부(42)를 갖는다. 너비 'c1'은 실질적으로 너비 'b2'와 같을 수 있다. 또 다른 구체예에서, 너비 'c1'은 너비 'b2'보다 작을 수 있다. 다중층 구조물(140)을 상기 식각 공정에 노출시킨 결과, 형상부(45)는 깍인면을 가지게 되고, 이는 깍인 면 물질로 지칭된다. 깍인 면 부분을 제거하는 것이 바람직하다. 깍인 면 부분을 제거하는 방법은 깍인 면이 형성되는 물질에 따라 결정된다. 이 목적을 위하여, 깍인 면 물질을 제거하기 위한 하나의 방식은 깍인 면 물질을 불산(HF) 딥(dip)에 노출시키는 것에 의한다. 대안으로는, 깍인 면 부분을 방사선에 노출시킨 후 화학물질에 노출시켜 깍인 면 부분을 제거하는 것으로, 깍인 면 부분이 형성되는 물질이 포토-반응성일 수 있는 점에서 이는 포토-레지스트 물질과 다르지 않다. 하지만, 도 5에서 나타낸 돌출부(54)를 형성하기 위하여, 깍인 부분을 제거하는 공정에서 돌출부(42)의 잔류 부분을 깍는 과정을 최소화하거나 또는 피하는 것이 바람직하다.
도 5 및 6을 참조하면, 깍인 부분 제거 후 돌출부(54)의 리버스 톤이 기판(30)으로 전사된다. 이러한 목적을 위하여, 등각층(46)이 다중층 구조물(340)을 형성하는 돌출부(54)상에 증착될 수 있다. 이것은 스핀-온 기술, 접촉 평탄화 등을 포함하는 방법에 의하여 달성될 수 있으나, 이들에 한정되지 않는다. 이러한 목적을 위하여, 등각층(46)은 중합가능 물질로부터 형성된다. 등각층(46)을 형성하는 대표적인 조성물은 아래와 같다.
조성물 2
히드록실-기능성 폴리실록산
헥사메톡시메틸멜라민
톨루엔술폰 산
메틸 아밀 케톤
조성물 3
히드록실-기능성 폴리실록산
헥사메톡시메틸멜라민
감마-글리시독시프로필트리메톡시실란
톨루엔술폰 산
메틸 아밀 케톤
조성물 2에서, 히드록실-기능성 폴리실록산은 대략 조성물의 4%를 포함하고, 헥사메톡시메틸멜라민은 대략 0.95%를 포함하고, 톨루엔술폰 산은 대략 0.05%를 포함하고, 그리고 메틸 아밀 케톤은 대략 95%를 포함한다.
조성물 3에서 히드록실-기능성 폴리실록산은 조성물의 대략 4%를 포함하고, 헥사메톡시메틸멜라민은 대략 0.7%를 포함하고, 감마-글리시독시프로필트리메톡시실란은 대략 0.25%를 포함하고, 톨루엔술폰 산은 대략 0.05%를 포함하고, 그리고 메틸 아밀 케톤은 대략 95%를 포함한다.
등각층(46)은 제 1 및 제 2 대향 측면을 포함한다. 제 1 측면(48)은 기판(30)을 향한다. 제 2 측면은 기판(30)으로부터 떨어진 측을 향하여, 표준면(50)을 형성한다. 표준면(50)은 실질상 표준화된 프로파일을 구비하여, 돌출부(54) 및 표준면(50)사이의 거리 k1, k3, k5, k7, 및 k9가 실질적으로 동일하며, 리세스(58) 및 표준면(50)사이의 거리 k2, k4, k6, 및 k8가 실질적으로 동일한 것을 보장한다.
표준면(50)을 표준화된 프로파일로 만들기 위한 하나의 방법은 등각층(46)을, 평탄면(62)을 갖는 평탄화 몰드(60)에 접촉시키는 것이다. 이후, 평탄화 몰드(60)는 등각층(46)에서 분리되고, 등각층(46) 상에 방사선이 가해져 등각층(46)은 중합되며, 따라서 등각층은 고화된다. 등각층(46)에 조사되는 방사선은 자외선, 열, 전자기, 가시광선, 가열 등일 수 있다. 또 다른 구체예에서, 등각층(46)에 가해지는 방사선은 평탄화 몰드(60)가 등각층(46)에 분리되기 전에 가해질 수 있다. 등각층(46)이 평탄화 몰드(60)에 부착되지 않는 것을 확보하기 위하여, 저 표면 에너지 코팅(64)이 평탄화 물드(60)상에 증착될 수 있다.
대안으로, 등각층(46)의 릴리스 특성은 등각층(46)이 제조되는 물질에 계면활성제를 포함시킴으로써 개선될 수 있다. 계면 활성제는 원하는 릴리스 특성을 제공하여, 평탄화 몰드(60)에 등각층(46)의 부착성을 감소시킨다. 본 발명의 이런 목적을 위하여, 계면 활성제는 하나의 꼬리가 소수성인 임의의 분자로 정의된다. 계면 활성제는 예를 들면 불소 사슬을 포함하는 것과 같이 불소를 함유하거나, 계면활성제 분자 구조에서 어떠한 불소도 포함하지 않을 수 있다. 대표적 계면활성제는 DUPONTTM사의 상품명 ZONYL®FSO-100로 입수할 수 있으며, 이것은 R1R2의 일반 구조식을 갖는데, 여기서 R1=F(CF2CF2)Y이고, Y는 1 내지 7의 범위를 포함하며, R2=CH2CH2O(CH2CH2O)XH이며, 여기서 X는 0 내지 15의 범위를 포함한다. 계면활성제는, 평탄화 몰드(60)에 도포될 수 있는 저 표면 에너지 코팅과 결합, 또는 대신하여 사용될 수 있다는 것이 이해될 것이다.
도 6 및 7을 참조하면, 전면 식각이 등각층(46)의 부분을 제거하는 데 사용되어, 다중층 구조물(340)에 크라운형 표면(66)을 제공한다. 크라운형 표면(66)은 돌출부(54) 각각의 노출면(68) 및 전면 식각 후 등각층(46)상에 잔류하는 부분(70)의 상면(70)에 의하여 정의된다. 전면 식각은 습식 식각 또는 건식 식각일 수 있다. 또 다른 구체예에서, 화학적 기계적 연마/평탄화 공정이 등각층(46)의 부분을 제거하는 데 사용되어, 크라운형 표면(66)을 갖는 다중층 구조물(340)을 제공할 수 있다.
도 6, 7 및 8을 참조하면, 크라운형 표면(66)은 비등방성 플라즈마 식각을 받게 된다. 비등방성 식각 공정에서 식각용 화학물질은 부분(70)의 식각을 최소화하면서, 돌출부(54)의 식각을 최대화하도록 선택된다. 본 실시예에서, 돌출부(54) 및 등각층(46) 사이의 실리콘 함량 차이가 이용되었다. 특히, 산소계 화학물질로 플라즈마 식각을 사용하여, 크라운형 표면(66)에 근접한 부분(70)의 영역에서, 원위치 경화된 마스크(72)가 다중층 구조물(440)을 형성하면서 생성될 수 있는 것이 측정되었다. 이것은 중합가능 실리콘-함유 물질이 산소 플라즈마와 상호 작용함으로부터 발생한다. 경화 마스크(72) 및 식각 공정의 비등방성의 결과로서, 돌출부(54)와 중첩하는 영역(74)이 노출된다.
도 8 및 9를 참조하면, 다중층 구조물(440)에 의하여 정의되는 패턴은 기판(30)으로 전사되는 패턴의 기초를 형성할 수 있다. 특히, 다중층 구조물(440)에 의하여 정의되는 구조물의 모양은 비등방성 불소 플라즈마 식각을 사용하여 기판(30)으로 전사될 수 있다. 이 공정의 장점은, 도 1에서 나타낸 이미지층(43)과 같이 리세스 모양의 기초를 형성하는 패턴층보다 훨씬 더 작은 치수로 리세스가 기판(30)에 형성될 수 있는 것이다. 또한, 도 6에서 나타낸 등각층(46)은 실리콘-함유 포토-반응성 물질로부터 만들어지고, 도 6에서 나타낸 등각층(46)의 제거는 포토-레지스트 물질의 제거와 동일한 방식으로 달성될 수 있다. 그 결과, 전면 불소 식각을 사용하는 것이 필요하지 않을 수 있다.
도 4 및 10을 참조하면, 상기 논의된 HF 딥의 필수적 사용을 피할 수 있는 또 다른 구체예가 나타난다. 특히, 돌출부(42)의 형성 후 등각층(46)이 증착된다. 이러한 목적을 위하여, 등각층(46) 및 돌출부(42)의 깍인 영역은 유사한 식각 특성을 갖는 물질로부터 만들어진다. 특히, 깍인 영역과 연관된 식각 속도가 등각층(46)과 연관된 식각 속도 이하인 것이 바람직하다. 이러한 방식으로, 전면 식각은 도 6 및 7에 관하여 상술한 바에 따라 수행될 수 있다. 하지만, 표면은, 도 7에서 나타낸 크라운형 표면(66)처럼 평면일 필요는 없다. 이후, 영역(74)에서 리세스는 도 7, 8, 및 9에서 논의된 바와 같이 기판(30)에 형성된다.
도 11을 참조하면, 상기 공정이 기판(30)상의 기존층에서 리세스형 구조물을 형성하는 데 사용될 수 있음을 증명하는 본 발명의 추가적인 구체예가 기재된다. 이 목적을 위하여, 다중층 구조물(540)은 기판(30), 하부층(141), 전사층(137), 및 이미지층(143)을 가지는데, 하부층(141)은 전사층(137) 및 기판(30) 사이에 위치하며, 전사층(137)은 이미지층(143) 및 하부층(141) 사이에 위치한다. 전사층(137) 및 이미지층(143)은 각각, 도 1에서 나타낸 전사층(37) 및 이미지층(43)에 관하여 상기 기술한 바와 같이 형성될 수 있고, 그리고 도 1에서 나타낸 전사층(37) 및 이미지층(43)에 관하여 상기 기술한 바와 같이 각각 형성될 수 있다.
하부층(141)은 실리콘-함유 저유전율 물질(low-k), BCB, 이산화규소, 스핀-온-유리, FSG, 및 폴리실리콘과 같은 저유전율 물질로부터 형성될 수 있다. 하부층(141)은 도 1에서 나타낸 전사층(37) 및 이미지층(43)에 관하여 상기 논의된 기술 중 임의의 것을 사용하여 형성될 수 있다. 대표적인 구체예에서, 하부층(141)은 스핀-코팅 기술을 이용하여 기판(30)상에 증착될 수 있으며, 저유전율의 실리콘-함유 절연체로 구성된다.
도 12 및 13을 참조하면, 도 1에서 나타낸 이미지층(43) 및 전사층(37)에 관하여 상기 언급된 바와 같이, 이미지층(143)은 전사층(137)의 식각 특성과는 상이한 식각 특성을 갖는다. 이러한 방식으로, 트림 식각 과정은 돌출부(142)를 형성하는 데 사용된다. 나타나는 바와 같이, 돌출부(142)는 도 3에 관하여 상기 논의된 방식으로 형성되지만, 도 3에서는 기판(30) 및 형상부(45)사이로 연장되는 바디(47)와는 달리, 바디(147)는 형상부(145) 및 하부층(141)사이로 연장되는 점이 예외이다. 다중층 구조물(540)이 상기 언급한 트림 식각 공정을 받게 한 후, 도 4,5,6,7,8 및 9에 관하여 상기 논의된 바에 따른 추가적 처리공정이 진행되어 하부층(141)에서 리세스형 구조물(174)를 얻을 수 있다. 나타내지 않았지만, 리세스형 구조물(174)는 하부층(141)을 완전히 관통하여 연장되고, 기판(30)에서 끝난다.
도 14을 참조하면, 또 다른 구체예에서 이미지 층(43)은 기판(30)상에 위치되어 다중층 구조물(640)를 형성할 수 있다. 이미지층(43)은, 예를 들면 Rohm and Hass(Philadelphia, PA)로부터 입수할 수 있는 I-라인, 193nm, 및 248nm 포토리쏘그래피 레지스트와 같은 유기 레지스트로부터 형성될 수 있다. I-라인, 193nm, 및 248nm 포토리쏘그래피 레지스트에 관한 설명은 http://electronicmaterials. rohmhaas.com/business/micro/lithography/248photo.asp?caid=235에서 얻을 수 있으며, 이것은 본 명세서에 참고자료로 포함된다. 이미지층(43)은 Zeon사(Tokyo, 일본)로부터 입수할 수 있는 전자 빔 유기 레지스트로부터 또한 형성될 수 있다. 전자 빔 유기 레지스트에 관한 설명은 http://www.zeon.co.jp/business e/ enterprise/imagelec/zep7000.html에서 얻을 수 있으며, 이것은 본 명세서에 참고자료로 포함된다. 형상부(45)의 너비'a1'을 감소시키기 위하여, 이미지층(43)은 등방성 식각 요소를 갖는 유기 식각과정을 받을 수 있다. 하지만, 이것은 형상부(45)가 깍인 면을 갖도록 할 수 있는데, 이것은 깍인 물질로 지칭된다. 도 4, 5, 6, 7, 8, 및 9에 관하여 상기 논의된 공정이 추가적으로 취해져, 다중층 구조물(640)에 의하여 정의된 구조물의 모양이 기판(30)으로 전사될 수 있다. 하지만, 도 6 및 7에 관하여 상기 논의된 전면 식각을 다중층 구조물(640) 상에 사용하는 것은 상기 논의된 깍인 물질을 제거하기 위하여 과도-식각을 요구할 수도 있다.
도 15를 참조하면, 또 다른 구체예에서, 이미지층(143)은 하부층(141)상에 위치되어 다중층 구조물(740)을 형성할 수 있으며, 여기서 다중층 구조물(740)은 다중층 구조물(640)에 관하여 상기 언급된 공정을 받게 하여 다중층 구조물에 의하여 정의된 구조물의 모양이 하부층(141)으로 전사되도록 할 수도 있다.
본 발명의 상술한 구체예는 예시적인 것이다. 많은 변화 및 변형이 상기 언급된 내용에 적용될 수 있으나, 이는 본 발명의 범위 내에 있다. 따라서 본 발명의 범위는 후속하는 청구항 및 청구항의 균등 범위 전체가 참조되어 결정되어야 한다.

Claims (10)

  1. 표면에서 리세스를 형성하는 방법으로서, 상기 방법은
    상기 표면상에 제 1 치수 및 모양을 갖는 형상부를 가지는 패터닝 층을 형성하는 단계;
    상기 모양의 역상을 상기 제 1 치수와 상이한 제 2 치수를 가지는 상기 표면에 전사하는 단계를 포함하는 것을 특징으로 하는 표면 리세스 형성 방법.
  2. 제 1 항에 있어서, 전사 단계는 상기 모양의 역상을 기판에 전사하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서, 전사 단계는 상기 모양의 역상을 하부층으로 전사하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  4. 제 1항에 있어서, 전사 단계는 상기 모양의 역상을, 상기 형상부의 하나에 중첩하는 상기 표면의 영역으로 전사하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  5. 제 1항에 있어서, 전사 단계는 상기 모양의 역상을, 상기 형상부와 중첩하는 하부층의 영역으로 전사하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  6. 제 1 항에 있어서, 전사 단계는 상기 형상부로부터 상기 표면까지 연장되는 복수의 돌출부를 생성하는 단계 및 상기 복수의 돌출부를 등각층으로 덮는 단계를 더 포함하며, 상기 등각층 및 상기 돌출부의 하위-부분은 비슷한 실리콘 함량을 갖는 물질로 형성된 것을 특징으로 하는 방법.
  7. 제 1항에 있어서, 전사 단계는 상기 형상부로부터 상기 표면으로 연장되는 복수의 돌출부를 생성하는 단계 및 상기 복수의 돌출부를 등각층으로 덮는 단계를 더 포함하며, 상기 등각층 및 상기 돌출부의 제 1 하위 부분은 비슷한 실리콘 함량을 가지는 물질로부터 형성되며, 상기 돌출부의 제 2 하위-부분은 상기 제 1 부분과 상이한 실리콘 함량을 가지는 것을 특징으로 하는 방법.
  8. 제 1항에 있어서, 전사 단계는 상기 형상부로부터 상기 표면으로 연장되는 복수의 돌출부를 생성하는 단계 및 상기 복수의 돌출부를 등각층으로 덮는 단계를 더 포함하며, 상기 등각층 및 상기 돌출부의 제 1 부분은 주어진 식각용 화학물질에 대하여 유사한 식각 특성을 가지며, 상기 돌출부의 제 2 부분은 상기 주어진 식각용 화학물질에 대하여 상기 등각층과는 상이한 식각 특성을 가지는 것을 특징으로 하는 방법.
  9. 제 1항에 있어서, 상기 제 2 치수는 상기 제 1 치수보다 작은 것을 특징으로 하는 방법.
  10. 제 1항에 있어서, 형성 단계는 상기 패터닝 층 및 상기 표면 사이에 전사층을 위치시키는 단계를 더 포함하고, 그리고 전사 단계는 상기 전사층을 식각하여 상기 역상의 상기 제 1 방향의 치수와 실질적으로 동일한 제 1 방향의 치수를 갖는 복수의 돌출부를 생성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
KR1020067024158A 2004-05-21 2005-05-19 리버스 톤 공정을 사용하는 리세스형 구조물 형성 방법 KR101139302B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US85087604A 2004-05-21 2004-05-21
US10/850,876 2004-05-21
US10/946,570 US7186656B2 (en) 2004-05-21 2004-09-21 Method of forming a recessed structure employing a reverse tone process
US10/946,570 2004-09-21
PCT/US2005/017756 WO2005114719A2 (en) 2004-05-21 2005-05-19 Method of forming a recessed structure employing a reverse tone process

Publications (2)

Publication Number Publication Date
KR20070013305A true KR20070013305A (ko) 2007-01-30
KR101139302B1 KR101139302B1 (ko) 2012-05-25

Family

ID=35429090

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067024158A KR101139302B1 (ko) 2004-05-21 2005-05-19 리버스 톤 공정을 사용하는 리세스형 구조물 형성 방법

Country Status (7)

Country Link
US (1) US7186656B2 (ko)
EP (1) EP1761949A4 (ko)
JP (2) JP5059608B2 (ko)
KR (1) KR101139302B1 (ko)
CN (1) CN101356303B (ko)
TW (1) TWI289326B (ko)
WO (1) WO2005114719A2 (ko)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7384569B1 (en) * 2004-08-02 2008-06-10 Advanced Micro Devices, Inc. Imprint lithography mask trimming for imprint mask using etch
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US7585424B2 (en) * 2005-01-18 2009-09-08 Hewlett-Packard Development Company, L.P. Pattern reversal process for self aligned imprint lithography and device
JP4247198B2 (ja) * 2005-03-31 2009-04-02 株式会社東芝 半導体装置の製造方法
US7482280B2 (en) * 2005-08-15 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
US7259102B2 (en) * 2005-09-30 2007-08-21 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
US7767570B2 (en) 2006-03-22 2010-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy vias for damascene process
US7759253B2 (en) * 2006-08-07 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and material for forming a double exposure lithography pattern
US7776628B2 (en) * 2006-11-16 2010-08-17 International Business Machines Corporation Method and system for tone inverting of residual layer tolerant imprint lithography
WO2008157640A2 (en) 2007-06-18 2008-12-24 Illumina, Inc. Microfabrication methods for the optimal patterning of substrates
US8136224B1 (en) 2008-05-15 2012-03-20 Western Digital (Fremont), Llc Method and system for providing a perpendicular magnetic recording head utilizing a mask having an undercut line
JP5397636B2 (ja) * 2008-07-24 2014-01-22 日産化学工業株式会社 コーティング組成物及びパターン形成方法
US8415010B2 (en) * 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
JP2012522327A (ja) * 2009-03-23 2012-09-20 インテバック・インコーポレイテッド パターニングされた媒体の溝と島の比率の最適化のための方法
US8492009B1 (en) 2009-08-25 2013-07-23 Wd Media, Inc. Electrochemical etching of magnetic recording layer
NL2007161A (en) 2010-09-09 2012-03-12 Asml Netherlands Bv Lithography using self-assembled polymers.
WO2012061816A2 (en) 2010-11-05 2012-05-10 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
US8778848B2 (en) 2011-06-09 2014-07-15 Illumina, Inc. Patterned flow-cells useful for nucleic acid analysis
CA2856163C (en) 2011-10-28 2019-05-07 Illumina, Inc. Microarray fabrication system and method
US8870345B2 (en) * 2012-07-16 2014-10-28 Xerox Corporation Method of making superoleophobic re-entrant resist structures
KR20140046266A (ko) * 2012-10-10 2014-04-18 삼성디스플레이 주식회사 패턴 형성 장치, 패턴 형성 장치의 제조 방법 및 패턴 형성 방법
US9105295B2 (en) * 2013-02-25 2015-08-11 HGST Netherlands B.V. Pattern tone reversal
US9514950B2 (en) 2013-12-30 2016-12-06 Canon Nanotechnologies, Inc. Methods for uniform imprint pattern transfer of sub-20 nm features
KR102279239B1 (ko) 2014-07-25 2021-07-19 삼성전자주식회사 임프린트 공정을 이용한 역상 패턴 전사방법
US10580659B2 (en) 2017-09-14 2020-03-03 Canon Kabushiki Kaisha Planarization process and apparatus
US10304744B1 (en) 2018-05-15 2019-05-28 International Business Machines Corporation Inverse tone direct print EUV lithography enabled by selective material deposition
US11398377B2 (en) 2020-01-14 2022-07-26 International Business Machines Corporation Bilayer hardmask for direct print lithography

Family Cites Families (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6053675B2 (ja) 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
EP0091651B1 (en) 1982-04-12 1988-08-03 Nippon Telegraph And Telephone Corporation Method for forming micropattern
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
JPS61190947A (ja) * 1985-02-19 1986-08-25 Toshiba Corp 微細パタ−ンの形成方法
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
JPS63155621A (ja) * 1986-12-18 1988-06-28 Oki Electric Ind Co Ltd 半導体装置の製造方法
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4936951A (en) 1987-10-26 1990-06-26 Matsushita Electric Industrial Co., Ltd. Method of reducing proximity effect in electron beam resists
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
DE59010728D1 (de) 1989-04-24 1997-07-31 Siemens Ag Verfahren zur Erzeugung ätzresistenter Strukturen
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
JP2586692B2 (ja) 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
JPH06244156A (ja) * 1993-02-15 1994-09-02 Nippon Telegr & Teleph Corp <Ntt> パタ―ン形成法
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
JP2837063B2 (ja) 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5434107A (en) 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
JPH08262717A (ja) 1995-03-27 1996-10-11 Fujitsu Ltd レジスト組成物及びレジストパターンの形成方法
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US5684066A (en) 1995-12-04 1997-11-04 H.B. Fuller Licensing & Financing, Inc. Protective coatings having enhanced properties
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US5942449A (en) 1996-08-28 1999-08-24 Micron Technology, Inc. Method for removing an upper layer of material from a semiconductor wafer
JP3258240B2 (ja) * 1996-09-10 2002-02-18 株式会社日立製作所 エッチング方法
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6071372A (en) 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6033977A (en) 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
DE19828969A1 (de) 1998-06-29 1999-12-30 Siemens Ag Verfahren zur Herstellung von Halbleiterbauelementen
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
CN2349218Y (zh) * 1998-11-18 1999-11-17 中国科学院长春光学精密机械研究所 一种用于准分子激光刻蚀的附着式掩膜组件
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
JP4286374B2 (ja) 1999-03-30 2009-06-24 新日鐵化学株式会社 シリコーン樹脂及びこれを含有する感光性樹脂組成物
US6342097B1 (en) 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
JP2000323461A (ja) * 1999-05-11 2000-11-24 Nec Corp 微細パターン形成装置、その製造方法、および形成方法
US6150190A (en) 1999-05-27 2000-11-21 Motorola Inc. Method of formation of buried mirror semiconductive device
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
TW442961B (en) 1999-10-08 2001-06-23 Taiwan Semiconductor Mfg Manufacturing method of double-recess crown capacitor of DRAM
DE19958966A1 (de) 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
CA2395760A1 (en) 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6566258B1 (en) 2000-05-10 2003-05-20 Applied Materials, Inc. Bi-layer etch stop for inter-level via
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6730256B1 (en) 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6777170B1 (en) 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US6468853B1 (en) 2000-08-18 2002-10-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a shallow trench isolation structure with reduced local oxide recess near corner
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
JP3848070B2 (ja) 2000-09-27 2006-11-22 株式会社東芝 パターン形成方法
WO2002067055A2 (en) 2000-10-12 2002-08-29 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6541360B1 (en) 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
WO2003035932A1 (en) * 2001-09-25 2003-05-01 Minuta Technology Co., Ltd. Method for forming a micro-pattern on a substrate by using capillary force
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6489237B1 (en) * 2001-12-04 2002-12-03 Taiwan Semiconductor Manufacturing Company Method of patterning lines in semiconductor devices
US6767202B2 (en) * 2001-12-18 2004-07-27 3M Innovative Properties Company Tooling with helical coils for structured surface articles
DE10200678B4 (de) * 2002-01-10 2006-05-11 Infineon Technologies Ag Verfahren zum Bearbeiten eines Substrats zum Ausbilden einer Struktur
US6737202B2 (en) 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6743713B2 (en) 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6495430B1 (en) 2002-05-21 2002-12-17 Macronix International Co., Ltd. Process for fabricating sharp corner-free shallow trench isolation structure
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6820677B2 (en) 2002-08-20 2004-11-23 Ford Motor Company Method of making a spray formed article
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6770852B1 (en) 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
WO2004086471A1 (en) * 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography

Also Published As

Publication number Publication date
US7186656B2 (en) 2007-03-06
KR101139302B1 (ko) 2012-05-25
CN101356303A (zh) 2009-01-28
US20050260848A1 (en) 2005-11-24
TW200603261A (en) 2006-01-16
TWI289326B (en) 2007-11-01
JP2012054612A (ja) 2012-03-15
CN101356303B (zh) 2012-04-04
EP1761949A4 (en) 2011-04-20
JP5059608B2 (ja) 2012-10-24
JP5563544B2 (ja) 2014-07-30
JP2008517448A (ja) 2008-05-22
WO2005114719A3 (en) 2008-10-09
EP1761949A2 (en) 2007-03-14
WO2005114719A2 (en) 2005-12-01

Similar Documents

Publication Publication Date Title
KR101139302B1 (ko) 리버스 톤 공정을 사용하는 리세스형 구조물 형성 방법
US7323417B2 (en) Method of forming a recessed structure employing a reverse tone process
US7357876B2 (en) Eliminating printability of sub-resolution defects in imprint lithography
US7279113B2 (en) Method of forming a compliant template for UV imprinting
US8012394B2 (en) Template pattern density doubling
US7771917B2 (en) Methods of making templates for use in imprint lithography
US7547504B2 (en) Pattern reversal employing thick residual layers
US20040211754A1 (en) Method of forming stepped structures employing imprint lithography
US20040124174A1 (en) Double-metal EUV mask absorber
US7041604B2 (en) Method of patterning surfaces while providing greater control of recess anisotropy
US7241395B2 (en) Reverse tone patterning on surfaces having planarity perturbations
TW200938950A (en) Fine pattern mask, process for producing the same, and process for forming fine pattern by using the same
JP5848386B2 (ja) インサイチュ嵌込み構造物形成方法
US7205244B2 (en) Patterning substrates employing multi-film layers defining etch-differential interfaces
Garner Lithography for enabling advances in integrated circuits and devices
KR20170120516A (ko) 유기막 형성 방법 및 반도체 장치용 기판의 제조 방법
US7252777B2 (en) Method of forming an in-situ recessed structure
Kawaguchi et al. Fabrication of 65-nm Holes for 157-nm Lithography

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160407

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170410

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180404

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190409

Year of fee payment: 8