JP5059608B2 - リバーストーン処理を利用したリセス構造の形成方法 - Google Patents

リバーストーン処理を利用したリセス構造の形成方法 Download PDF

Info

Publication number
JP5059608B2
JP5059608B2 JP2007527480A JP2007527480A JP5059608B2 JP 5059608 B2 JP5059608 B2 JP 5059608B2 JP 2007527480 A JP2007527480 A JP 2007527480A JP 2007527480 A JP2007527480 A JP 2007527480A JP 5059608 B2 JP5059608 B2 JP 5059608B2
Authority
JP
Japan
Prior art keywords
layer
multilayer structure
etching
shape
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007527480A
Other languages
English (en)
Other versions
JP2008517448A (ja
JP2008517448A5 (ja
Inventor
スリニーヴァッサン,シトルガタ・ヴイ
Original Assignee
モレキュラー・インプリンツ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by モレキュラー・インプリンツ・インコーポレーテッド filed Critical モレキュラー・インプリンツ・インコーポレーテッド
Publication of JP2008517448A publication Critical patent/JP2008517448A/ja
Publication of JP2008517448A5 publication Critical patent/JP2008517448A5/ja
Application granted granted Critical
Publication of JP5059608B2 publication Critical patent/JP5059608B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76817Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics using printing or stamping techniques

Description

本発明の技術分野は一般に、構造体の微細加工に関する。より詳細には本発明は、トリムエッチング処理を利用してリセス構造を生成した後でリセス構造をリバーストーン(reverse toning)することに関する。
微細加工は、例えば、およそマイクロメートル又はそれよりも小さいフューチャを有する極めて小さい構造体の加工を伴う。微細加工がかなり大きな影響を有している1つの分野は、集積回路の処理である。半導体処理産業が基板上に形成される単位面積当たりの回路を増大させながら、より大きな生産歩留りを継続的に追求するにつれて、微細加工は益々重要になってきている。微細加工は、形成される構造体の最小フューチャ寸法の縮小を図ることができるが、高度なプロセス制御を必要とする。微細加工が利用されてきた他の開発分野には、バイオ技術、光学技術、機械システム、又は同様のものがある。
微細加工によって形成される構造体の最小フューチャ寸法を縮小する方法は、Platらに付与された米国特許第6,541,360号に開示されており、小さな臨界寸法を有する集積回路ゲート構造体を形成する2層トリムエッチングプロセスを記載している。更に具体的には、Platらは、ポリシリコン層上に有機基層を堆積させ、該有機基層の上にイメージング層を堆積させて、該イメージング層をパターン形成することによって多層構造体を形成することを記載している。次いでイメージング層は、有機基層を選択的にトリムエッチングし、イメージング層によって生成されるものよりも小さなパターンを形成するためのハードマスクとして利用される。次に、ハードマスクイメージング層が除去され、最終的にポリシリコン層の一部が有機基層によって形成されたパターンを用いてエッチングされる。これによりイメージング層のパターン幅よりも狭幅のゲートパターンの形成が可能になる。
しかしながら望まれているものは、より小さな臨界寸法のホール/トレンチを有する構造体を形成する技術である。
米国特許第6,541,360号 米国特許公開第2004/0065976号 米国特許公開第2004/0065252号 米国特許公開第2004/0046271号
本発明は基板上にリセス部を形成する方法を提供し、本方法は、第1のフューチャを有するパターン形成層を基板上に形成する段階と、第1のフューチャをトリムエッチングしてある形状を有するトリムフューチャを形成する段階と、該形状の反転形状を基板に転写する段階とを含む。これらの実施形態及びその他のものは以下で十分に説明される。
図1を参照すると、基板30、転写層37、イメージング層43を有する多層構造体40が示されており、転写層37はイメージング層43と基板30との間に配置されている。基板30は、限定ではないが、シリコン、ヒ化ガリウム、石英、溶融シリカ、サファイア、有機ポリマー、シロキサンポリマー、ホウケイ酸塩ガラス、フッ素樹脂、又はこれらの組合せを含む部材から形成することができる。転写層37とイメージング層43は、望ましい部材や用途に応じた知られているあらゆる技術を用いて形成することができる。例えば基板30からだけでなく転写層37やイメージング層43から部材を除去するのに利用することができるエッチング処理は、半導体処理技術分野において知られた任意のものとすることができる。利用されるエッチング処理は、利用される部材や望ましい用途に依存する。転写層37やイメージング層43を堆積させるのに利用することができる技術は、限定ではないが、化学蒸着法(CVD)、物理蒸着法(PVD)、スパッタ堆積法、スピンコーティング、液体分注法を含む。
転写層37は、ミズーリ州ローラのBrewer Science,Inc.から入手可能なDUV30J−6などの反射防止被覆(BARC)層とすることができる。更に転写層37は、例えば、シリコン含有のlow−k層又はBCB層とすることができる。別の実施形態では、転写層37の組成はシリコンが含まれておらず、以下のものからなる。
組成1
アクリル酸イソボルニル
アクリル酸n−ヘキシル
エチレングリコールジアクリラート
2−ヒドロキシ−2−メチル−1−フェニル−プロパン−1−オン
組成1では、アクリル酸イソボルニルは組成の約55%を構成し、アクリル酸n−ヘキシルは約27%、エチレングリコールジアクリラートは約15%、更に開始剤である2−ヒドロキシ−2−メチル−1−フェニルプロパン−1−オンは約3%を構成している。開始剤は、ニューヨーク州タリータウンのCIBA(登録商標)によってDAROCUR(登録商標)1173の商標の下で販売されている。上述で認識された組成はまた、化学技術分野で組成の動作寿命を増大させることが良く知られている安定剤を含む。
イメージング層43は、転写層37のエッチング特性とは異なるエッチング特性をイメージング層43に与える複数のフューチャ44、45を有する。フューチャ44、45は、限定ではないが、フォトリソグラフィ(G線、I線、248nm、193nm、157nm、13.2〜13.4nmを含む種々の波長)、e−ビームリソグラフィ、X線リソグラフィ、イオンビームリソグラフィ、原子線リソグラフィ、インプリントリソグラフィを含むこのような技術によって形成することができる。インプリントリソグラフィは、米国特許出願第10/264,960号として出願された名称「Method and a Mold to Arrange Features on a Substrate to Replicate Features Having Minimal Dimensional Variability(基板上にフューチャを配置して最小寸法を有するフューチャを複製するための方法及びモールド)」の米国公開特許公開第2004/0065976号;米国特許出願第10/264,926号として出願された名称「Method of Forming a Layer on a Substrate to Facilitate Fabrication of Metrology Standards(計測標準部の加工を容易にするために基板上に層を形成する方法)」の米国特許公開第2004/0065252号、米国特許出願第10/235,314号として出願された名称「Functional Patterning Material for Imprint Lithography Processes(インプリントリソグラフィ処理のための機能的パターン形成部材)」の米国特許公開第2004/0046271号などの多くの公報において記載されており、これらの全ては本発明の譲受人に譲渡されており、引用により本明細書に組み込まれる。例示的なリソグラフィシステムは、78758テキサス州オースチンの1807−C ブレーカーレーン、スイート100に事業所を有するMolecular Imprints,Inc.からIMPRIO100(商標)という商品名で入手可能である。IMPRIO100(商標)のシステム説明書は、www.molecularimprints.comで入手可能であり、引用により本明細書に組み込まれる。
図1、2を参照すると、フューチャ44、45の形成にインプリントリソグラフィを利用すると、イメージング層43にフューチャ44と重なる残留部分11が形成される。従って、残留部分11を除去するため、図1に示されている多層構造体40が形成されるように貫通孔を各々利用することができる。
図1を参照すると、上述のようにフューチャ44、45は、転写層37のエッチング特性とは異なる各特性をイメージング層43に与える。そのためには、イメージング層43のエッチング特性により、イメージング層43は転写層37用のハードマスクとして機能することが可能となる。そのためにはイメージング層43は、重量で3%から40%の範囲にあるシリコン含有量を有する有機部材、又はフォトイメージ可能とすることができる他の部材から形成することができる。イメージング層43は、所与のエッチング処理において転写層37に対し望ましいエッチング差の特性が得られるように十分な厚さでシリコン含有部材を転写層37上にスピンコートで堆積させる。イメージング層43を形成する例示的な部材は、以下で更に十分に説明する組成2、組成3を含む。フューチャ44、45をイメージング層43にパターン形成した後、多層構造体40はトリムエッチング処理を受ける。別の実施形態では、イメージング層43と転写層37は、ペンシルバニア州フィラデルフィアのRohm and Haasから入手可能なSiBER(商標)DUV2層レジストプラットフォームによって形成することができる。SiBER(商標)DUV2層レジストプラットフォームについての説明書は、http://electronicmaterials.rohmhaas.com/businesses/micro/lithography/248photo.asp?caid=240で入手可能であり、引用により本明細書に組み込まれる。
図3を参照すると、トリムエッチング処理が多層構造体40に突出部42を形成する。突出部42の各々は、フューチャ45と、これと重なる、本体47と呼ばれる転写層37の一部とを含む。図1で示されているフューチャ45の幅「a1」は、トリムエッチング処理によって縮小され、幅「a2」のフューチャ45となる。具体的には、図1に示されている幅「a1」が幅「a2」よりも大きくなるように、トリムエッチング処理中に部材をフューチャ45から除去する。同様に部材が転写層37から除去される。具体的には、転写層37は部分的にトリムエッチング処理を受けて、図1に示すようにフューチャ44と重なった転写層37の一部が除去される。これは、イメージング層43がトリムエッチング処理中に転写層37に対するマスクとして機能することによって生じる。フューチャ45と重なった転写層37の一部も同様に部分的にエッチングされる。このように本体47は、その長さにわたって変化する寸法を有することになる。本体47と基板30との境界面における本体47の幅「b1」は、本体47とイメージング層43との境界面における本体47の幅「b2」よりも大きい。幅「b1」は幅「a2」と実質的に同じか小さく、幅「b2」は幅「a2」よりも小さい。
図3、図4を参照すると、多層構造体40は上述のトリムエッチング処理を受けた後に第2のエッチング処理を受け、転写層37の残留部分を一様な寸法とする。具体的には転写層37が、イメージング層43の残留部分をマスクとして用いて選択的にエッチングされる。このようにして多層構造体140が形成される。多層構造体140は、幅「c1」を備えた突出部42を有する。幅「c1」は幅「b2」と実質的に同一とする。別の実施形態では、幅「c1」は幅「b2」よりも小さい。上述のエッチング処理を多層構造体140に受けさせた結果として、フューチャ45はファセットを有するようになり、ファセット部材と呼ばれる。ファセット部分は除去することが望ましい。ファセット部分を除去する方法は、ファセット部分が形成される部材に応じて決まる。ファセット部材を除去する1つの方法は、このファセット部材をフッ化水素酸(HF)浸液に曝すことに基づく。或いはファセット部分を除去するために、ファセット部分が形成された部材を、放射に露出させ、続いて化学物質に曝す。すなわちファセット部分は光応答性を有し、フォトレジスト部材と類似している。しかしながら、ファセット部分を除去する処理は、突出部42の残留部分のファセット形成を最小限に止め又は排除し、図5に示される突出部54を形成することが望ましい。
図5、図6を参照すると、ファセット部分を除去した後、突出部54のリバーストーン(reverse tone)が基板30へ転写される。このために順応層46を突出部54を覆って堆積させ、多層構造体340を形成する。これは、限定ではないが、スピンオン技術、接触平坦化法、又は同様のものを含む方法によって行うことができる。このために、順応層46は重合可能部材から形成する。順応層46を形成する例示的組成は以下の通りである。
組成2
ヒドロキシル−機能性ポリシロキサン
キサメトキシメチルメラミン
トルエンスルホン酸
メチルアミルケトン

組成3
ヒドロキシル−機能性ポリシロキサン
キサメトキシメチルメラミン
γ−グリシドキシプロピルトリメトキシシラン
トルエンスルホン酸
メチル アミル ケトン
組成2では、ヒドロキシル−機能性ポリシロキサンは組成の約4%を構成し、キサメトキシメチルメラミンは約0.95%、トルエンスルホン酸は約0.05%、更にメチルアミルケトンは約95%を構成している。組成3では、ヒドロキシル−機能性ポリシロキサンは組成の約4%を構成し、キサメトキシメチルメラミンは約0.7%、γ−グリシドキシプロピルトリメトキシシランは約0.25%、トルエンスルホン酸は約0.05%、更にメチルアミルケトンは約95%を構成している。
順応層46は、第1と第2の向き合っている側を含む。第1の側48は基板30に面している。第2の側は基板30から外方に向いており、正規化面50を形成する。正規化面50は、突出部54と正規化面50との間の距離k1、k3、k5、k7、k9が実質的に同一であり、へこんだ部分58と正規化面50との間の距離k2、k4、k6、k8が実質的に同一であることを確実にすることによって実質的に正規化されたプロファイルを備える。
正規化面50に正規化プロファイルをもたらす1つの方法は、順応層46を平坦面62を有する平坦化モールド60と接触させることである。この後、平坦化モールド60は順応層46から分離され、放射線を順応層46に入射させて順応層46を重合させ、従って固化させる。順応層46に入射する放射線は、紫外線、熱放射、電磁放射、可視光、温度放射、その他の同様のものとすることができる。別の実施形態では、順応層46に入射する放射線は、平坦化モールド60が順応層46から分離される前に入射させることができる。順応層46が平坦化モールド60に確実に付着しないように、低表面エネルギーコーティング64を平坦化モールド60上に設けることができる。
或いは、順応層46の剥離特性は、順応層46が製作される部材内に界面活性剤を含めることにより改善することができる。界面活性剤は、順応層46の平坦化モールド60への接着性を低減させる望ましい剥離特性を与える。本発明の目的において、界面活性剤はその1つのテイル(tail)が疎水性である、あらゆる分子と定義される。界面活性剤は、フッ素(例えばフッ素鎖を含む)含んでもよいが、或いは界面活性剤分子構造内にどのようなフッ素も含まなくともよい。例示的界面活性剤は、DUPONT(商標)からZONYL(登録商標)FSO−100という商品名で入手可能であり、これはR12の一般構造体を有し、式中、R1=F(CF2CF2)y、yは1から7のこれらを含めた範囲、R2=CH2CH2O(CH2CH2O)xHであり、xは0から15のこれらを含めた範囲にある。界面活性剤は、平坦化モールド60に適用することができる低表面エネルギーコーティング64と共に、又はその代わりに用いることができることを理解されたい。
図6、図7を参照すると、ブランケットエッチングを利用して、順応層46の一部を除去し、クラウン面66を有する多層構造体340を生成している。クラウン面66は、突出部54の各々の露出面68と、ブランケットエッチング後に順応層46上に残留する部分70の上部表面とによって定められる。ブランケットエッチングは、ウェットエッチング又はドライエッチングとすることができる。別の実施形態では、化学機械研磨/平坦化法を利用して、順応層46の一部分を除去し、クラウン面66を備えた多層構造体340を形成することができる。
図6、7、8を参照すると、クラウン面66が異方性プラズマエッチングを受ける。異方性エッチングのエッチング性質は、突出部54のエッチングを最大にすると同時に、部分70のエッチングを最小にするように選択される。この例では、突出部54と順応層46との間のシリコン含有量の差を利用した。具体的には、酸素ベースの化学作用を伴うプラズマエッチングを利用することにより、クラウン面66に近接する部分70の領域にin−situ硬化マスク72が生成され、多層構造体440を形成することが明らかになった。これは、シリコン含有重合可能部材の酸素プラズマとの相互作用により生じる。硬化マスク72とエッチング処理の異方性の結果として、突出部54と重なった領域74が露出する。
図8、図9を参照すると、多層構造体440によって形成されたパターンが、基板30に転写されるパターンのベースを形成している。具体的には、多層構造体440によって定められた構造の形状を、異方性フッ素プラズマエッチングを利用することによって基板30に転写することができる。この処理の利点は、リセス部の形状のベースを形成する図1に示されるイメージング層43などのパターン形成層と比べ、遙かに小さな寸法を有するリセス部を基板30内に形成することができる点である。また図6に示されている順応層46がシリコン含有光応答性部材から形成されている場合には、図6に示されている順応層46の除去は、フォトレジスト部材の除去と同様の方法で行うことができる。結果としてブランケットフッ素エッチングを利用する必要はないことになる。
図4と10を参照すると、上記で説明したHF浸液利用の必要性を回避する別の実施形態が示されている。具体的には、突出部42の形成後に順応層46が堆積される。このために、順応層46と突出部42のファセット領域は、同様のエッチング特性を有する部材から作られる。具体的には、ファセット領域に関するエッチング速度は、順応層46に関するエッチング速度よりも大きくないのが望ましい。この方法で、ブランケットエッチングは、図6、7に関して上記で説明した様に実施することができる。しかしながら、表面は図7に示されるクラウン面66に関して上記で示されているように平坦である必要はない点を理解されたい。この後、図7、8、9で上述したように、基板30の領域74にリセス部が形成される。
図11を参照すると、本発明の別の実施形態が記載されており、前述の処理を利用して、基板30上の既存層内にリセス構造を形成できることを示している。このために、多層構造体540は、基板30、基層141、転写層137、イメージング層143を有し、基層141は転写層137と基板30との間に配置され、転写層137はイメージング層143と基層141との間に配置されて示されている。転写層137とイメージング層143は、図1にそれぞれ示されている転写層37とイメージング層43に関して上述の部材によって形成することができ、図1にそれぞれ示されている転写層37とイメージング層43に関して上述のように形成することができる。
基層141は、シリコン含有low−k、BCB、二酸化ケイ素、スピンオンガラス、FSG、ポリシリコンなどのlow−k部材から形成することができる。基層141は、図1に示されている転写層37とイメージング層43に関して上記で説明した技術のいずれかを利用して形成することができる。例示的な実施形態では、基層141は、スピンコート技術を利用して基板30上に堆積させることができ、low−kのシリコン含有誘電体からなる。
図12、13を参照すると、図1に示されるイメージング層43と転写層37に関して上述したように、イメージング層143は、転写層137のエッチング特性とは異なる、関連するエッチング特性を有する。この方法では、突出部142を形成するためにトリムエッチング手法が利用される。図のように、基板30とフューチャ45との間に延びる図3の本体47とは異なり、本体147がフューチャ145と基層141との間に延びる点以外は、突出部142は、図3に関して上記で説明した方法で形成されている。多層構造体540に上述のトリムエッチング処理を受けさせた後、図4、5、6、7、8、9に関して上記で説明したような追加の処理を行い、基層141内にリセス構造174を得ることができる。図示しないが、リセス構造174は、基層141を貫通して延び、基板30で終端することができる。
図14を参照すると、別の実施形態において、イメージング層43を基板30上に配置し、多層構造体640を形成することができる。イメージング層43は、ペンシルバニア州フィラデルフィアのRohm and Haasから入手可能なI線、193nm、248nmのフォトリソグラフィレジストなどの有機レジストで形成することができる。I線、193nm、248nmのフォトリソグラフィレジストの説明書は、http://electronicmaterials.rohmhaas.com/businesses/micro/lithography/248 photo.asp?caid=235から入手可能であり、引用により本明細書に組み込まれる。イメージング層43はまた、日本国東京のZeon Corporationから入手可能な電子ビーム有機レジストで形成してもよい。電子ビーム有機レジストについての説明書は、http://www. zeon.co.jp/business e/enterprise/imagelec/zep7000.htmlから入手可能であり、引用により本明細書に組み込まれる。フューチャ45の幅「a1」を縮小するために、イメージング層43は等方性エッチング成分を有する有機エッチングを受けることができる。しかしながらこれは、ファセット部材と呼ばれるファセットが形成されるフューチャ45をもたらす可能性がある。多層構造体640によって形成された構造体形状を基板30に転写させるために、図4、5、6、7、8、9に関して上記で説明したように追加の処理を受けることができる。しかしながら、多層構造体640に対して図6、7に関して上述したブランケットエッチングを利用すると、上述のファセット部材を排除するためにオーバーエッチングを必要とする可能性がある。
図15を参照すると、別の実施形態において、イメージング層143を基層141上に配置して多層構造体740を形成することができ、ここで多層構造体740は、多層構造体740によって形成された構造体形状が基層141に転写されるように多層構造体640に関する上述の処理を受けることができる。
上述の本発明の実施形態は例示的なものである。本発明の範囲内に留まりながら、上記の開示に対して多くの変更及び修正を加えることができる。従って本発明の範囲は、添付の請求項並びに均等物の全範囲に関連して決定すべきである。
多層構造体の簡易立面図である。 インプリントリソグラフィによって形成された多層構造体の簡易立面図である。 図1に示されている多層構造体がトリムエッチング処理を受けた後の該多層構造体の簡易立面図である。 図3に示されている多層構造体を選択的にエッチングした後の該多層構造体の簡易立面図である。 図4に示されている多層構造体が浸液エッチングを受けた後の該多層構造体の簡易立面図である。 図5に示されている多層構造体の順応層堆積後の簡易立面図である。 図6に示されている多層構造体のブランケットエッチング及びクラウン面形成後の簡易立面図である。 クラウン面にエッチング処理を受けさせて基板領域を露出させた後の図7に示されている多層構造体の簡易立面図である。 多層構造体のパターン転写後の図8に示されている基板の簡易立面図である。 本発明の別の実施形態による順応層の堆積後の図5に示されている多層構造体の簡易立面図である。 本発明の別の実施形態による多層構造体の簡易立面図である。 図11に示されている多層構造体がトリムエッチング処理を受けた後の簡易立面図である。 本発明の別の実施形態による後処理の後の図12に示されている多層構造体の簡易立面図である。 本発明の別の実施形態による多層構造体の簡易立面図である。 本発明の別の実施形態による多層構造体の簡易立面図である。
符号の説明
140 多層構造体、42 突出部、45 フューチャ、43 イメージング層、37 転写層、30 基板

Claims (3)

  1. 表面に第2の寸法(c1)と第2の形状を有するリセス部を形成する方法であって、
    前記表面上に、転写層と、この転写層の上側に前記第2の寸法より大きい第1の寸法(a1)前記第2の形状と同じ第1の形状を備えたフューチャを有するイメージング層とを有するパターン形成層を形成するステップと、
    前記フューチャをマスクとして前記転写層をエッチングすることによって、前記転写層に、前記第2の寸法と第2の形状とを有する突出部を形成するステップと、
    前記突出部を、重合固化可能な材料からなる順応層で覆って該順応層を固化するステップと、
    該順応層の上方を除去して前記突出部の頂部を露出させるとともに、該突出部を、前記重合固化可能な材料と相互作用してin-situ硬化マスクを生成する酸素ベースの化学作用を伴うプラズマエッチングにより除去して、該突出部に対応するとともに前記第2の寸法を有するリセス部反転形状を作成するステップと、
    リセス部反転形状を前記表面にエッチングによって転写するステップと、
    を含む方法。
  2. 前記転写ステップは、前記リセス部反転形状を基板に転写するステップを更に含む請求項1に記載の方法。
  3. 前記転写ステップは、前記リセス部反転形状を基層に転写するステップを更に含む請求項1に記載の方法。
JP2007527480A 2004-05-21 2005-05-19 リバーストーン処理を利用したリセス構造の形成方法 Active JP5059608B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US85087604A 2004-05-21 2004-05-21
US10/850,876 2004-05-21
US10/946,570 US7186656B2 (en) 2004-05-21 2004-09-21 Method of forming a recessed structure employing a reverse tone process
US10/946,570 2004-09-21
PCT/US2005/017756 WO2005114719A2 (en) 2004-05-21 2005-05-19 Method of forming a recessed structure employing a reverse tone process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011264596A Division JP5563544B2 (ja) 2004-05-21 2011-12-02 表面にリセスを形成する方法

Publications (3)

Publication Number Publication Date
JP2008517448A JP2008517448A (ja) 2008-05-22
JP2008517448A5 JP2008517448A5 (ja) 2008-07-03
JP5059608B2 true JP5059608B2 (ja) 2012-10-24

Family

ID=35429090

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007527480A Active JP5059608B2 (ja) 2004-05-21 2005-05-19 リバーストーン処理を利用したリセス構造の形成方法
JP2011264596A Active JP5563544B2 (ja) 2004-05-21 2011-12-02 表面にリセスを形成する方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011264596A Active JP5563544B2 (ja) 2004-05-21 2011-12-02 表面にリセスを形成する方法

Country Status (7)

Country Link
US (1) US7186656B2 (ja)
EP (1) EP1761949A4 (ja)
JP (2) JP5059608B2 (ja)
KR (1) KR101139302B1 (ja)
CN (1) CN101356303B (ja)
TW (1) TWI289326B (ja)
WO (1) WO2005114719A2 (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7384569B1 (en) * 2004-08-02 2008-06-10 Advanced Micro Devices, Inc. Imprint lithography mask trimming for imprint mask using etch
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US7585424B2 (en) * 2005-01-18 2009-09-08 Hewlett-Packard Development Company, L.P. Pattern reversal process for self aligned imprint lithography and device
JP4247198B2 (ja) * 2005-03-31 2009-04-02 株式会社東芝 半導体装置の製造方法
US7482280B2 (en) * 2005-08-15 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
US7259102B2 (en) * 2005-09-30 2007-08-21 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
US7767570B2 (en) 2006-03-22 2010-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy vias for damascene process
US7759253B2 (en) * 2006-08-07 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and material for forming a double exposure lithography pattern
US7776628B2 (en) * 2006-11-16 2010-08-17 International Business Machines Corporation Method and system for tone inverting of residual layer tolerant imprint lithography
WO2008157640A2 (en) 2007-06-18 2008-12-24 Illumina, Inc. Microfabrication methods for the optimal patterning of substrates
US8136224B1 (en) 2008-05-15 2012-03-20 Western Digital (Fremont), Llc Method and system for providing a perpendicular magnetic recording head utilizing a mask having an undercut line
JP5397636B2 (ja) * 2008-07-24 2014-01-22 日産化学工業株式会社 コーティング組成物及びパターン形成方法
US8415010B2 (en) * 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
JP2012522327A (ja) * 2009-03-23 2012-09-20 インテバック・インコーポレイテッド パターニングされた媒体の溝と島の比率の最適化のための方法
US8492009B1 (en) 2009-08-25 2013-07-23 Wd Media, Inc. Electrochemical etching of magnetic recording layer
NL2007161A (en) 2010-09-09 2012-03-12 Asml Netherlands Bv Lithography using self-assembled polymers.
WO2012061816A2 (en) 2010-11-05 2012-05-10 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
US8778848B2 (en) 2011-06-09 2014-07-15 Illumina, Inc. Patterned flow-cells useful for nucleic acid analysis
CA2856163C (en) 2011-10-28 2019-05-07 Illumina, Inc. Microarray fabrication system and method
US8870345B2 (en) * 2012-07-16 2014-10-28 Xerox Corporation Method of making superoleophobic re-entrant resist structures
KR20140046266A (ko) * 2012-10-10 2014-04-18 삼성디스플레이 주식회사 패턴 형성 장치, 패턴 형성 장치의 제조 방법 및 패턴 형성 방법
US9105295B2 (en) * 2013-02-25 2015-08-11 HGST Netherlands B.V. Pattern tone reversal
US9514950B2 (en) 2013-12-30 2016-12-06 Canon Nanotechnologies, Inc. Methods for uniform imprint pattern transfer of sub-20 nm features
KR102279239B1 (ko) 2014-07-25 2021-07-19 삼성전자주식회사 임프린트 공정을 이용한 역상 패턴 전사방법
US10580659B2 (en) 2017-09-14 2020-03-03 Canon Kabushiki Kaisha Planarization process and apparatus
US10304744B1 (en) 2018-05-15 2019-05-28 International Business Machines Corporation Inverse tone direct print EUV lithography enabled by selective material deposition
US11398377B2 (en) 2020-01-14 2022-07-26 International Business Machines Corporation Bilayer hardmask for direct print lithography

Family Cites Families (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6053675B2 (ja) 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
EP0091651B1 (en) 1982-04-12 1988-08-03 Nippon Telegraph And Telephone Corporation Method for forming micropattern
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
JPS61190947A (ja) * 1985-02-19 1986-08-25 Toshiba Corp 微細パタ−ンの形成方法
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
JPS63155621A (ja) * 1986-12-18 1988-06-28 Oki Electric Ind Co Ltd 半導体装置の製造方法
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4936951A (en) 1987-10-26 1990-06-26 Matsushita Electric Industrial Co., Ltd. Method of reducing proximity effect in electron beam resists
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
DE59010728D1 (de) 1989-04-24 1997-07-31 Siemens Ag Verfahren zur Erzeugung ätzresistenter Strukturen
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
JP2586692B2 (ja) 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
JPH06244156A (ja) * 1993-02-15 1994-09-02 Nippon Telegr & Teleph Corp <Ntt> パタ―ン形成法
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
JP2837063B2 (ja) 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5434107A (en) 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
JPH08262717A (ja) 1995-03-27 1996-10-11 Fujitsu Ltd レジスト組成物及びレジストパターンの形成方法
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US5684066A (en) 1995-12-04 1997-11-04 H.B. Fuller Licensing & Financing, Inc. Protective coatings having enhanced properties
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US5942449A (en) 1996-08-28 1999-08-24 Micron Technology, Inc. Method for removing an upper layer of material from a semiconductor wafer
JP3258240B2 (ja) * 1996-09-10 2002-02-18 株式会社日立製作所 エッチング方法
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6071372A (en) 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6033977A (en) 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
DE19828969A1 (de) 1998-06-29 1999-12-30 Siemens Ag Verfahren zur Herstellung von Halbleiterbauelementen
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
CN2349218Y (zh) * 1998-11-18 1999-11-17 中国科学院长春光学精密机械研究所 一种用于准分子激光刻蚀的附着式掩膜组件
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
JP4286374B2 (ja) 1999-03-30 2009-06-24 新日鐵化学株式会社 シリコーン樹脂及びこれを含有する感光性樹脂組成物
US6342097B1 (en) 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
JP2000323461A (ja) * 1999-05-11 2000-11-24 Nec Corp 微細パターン形成装置、その製造方法、および形成方法
US6150190A (en) 1999-05-27 2000-11-21 Motorola Inc. Method of formation of buried mirror semiconductive device
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
TW442961B (en) 1999-10-08 2001-06-23 Taiwan Semiconductor Mfg Manufacturing method of double-recess crown capacitor of DRAM
DE19958966A1 (de) 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
CA2395760A1 (en) 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6566258B1 (en) 2000-05-10 2003-05-20 Applied Materials, Inc. Bi-layer etch stop for inter-level via
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6730256B1 (en) 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6777170B1 (en) 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US6468853B1 (en) 2000-08-18 2002-10-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a shallow trench isolation structure with reduced local oxide recess near corner
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
JP3848070B2 (ja) 2000-09-27 2006-11-22 株式会社東芝 パターン形成方法
WO2002067055A2 (en) 2000-10-12 2002-08-29 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6541360B1 (en) 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
WO2003035932A1 (en) * 2001-09-25 2003-05-01 Minuta Technology Co., Ltd. Method for forming a micro-pattern on a substrate by using capillary force
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6489237B1 (en) * 2001-12-04 2002-12-03 Taiwan Semiconductor Manufacturing Company Method of patterning lines in semiconductor devices
US6767202B2 (en) * 2001-12-18 2004-07-27 3M Innovative Properties Company Tooling with helical coils for structured surface articles
DE10200678B4 (de) * 2002-01-10 2006-05-11 Infineon Technologies Ag Verfahren zum Bearbeiten eines Substrats zum Ausbilden einer Struktur
US6737202B2 (en) 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6743713B2 (en) 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6495430B1 (en) 2002-05-21 2002-12-17 Macronix International Co., Ltd. Process for fabricating sharp corner-free shallow trench isolation structure
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6820677B2 (en) 2002-08-20 2004-11-23 Ford Motor Company Method of making a spray formed article
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6770852B1 (en) 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
WO2004086471A1 (en) * 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography

Also Published As

Publication number Publication date
US7186656B2 (en) 2007-03-06
KR101139302B1 (ko) 2012-05-25
CN101356303A (zh) 2009-01-28
US20050260848A1 (en) 2005-11-24
KR20070013305A (ko) 2007-01-30
TW200603261A (en) 2006-01-16
TWI289326B (en) 2007-11-01
JP2012054612A (ja) 2012-03-15
CN101356303B (zh) 2012-04-04
EP1761949A4 (en) 2011-04-20
JP5563544B2 (ja) 2014-07-30
JP2008517448A (ja) 2008-05-22
WO2005114719A3 (en) 2008-10-09
EP1761949A2 (en) 2007-03-14
WO2005114719A2 (en) 2005-12-01

Similar Documents

Publication Publication Date Title
JP5059608B2 (ja) リバーストーン処理を利用したリセス構造の形成方法
US7323417B2 (en) Method of forming a recessed structure employing a reverse tone process
US7547504B2 (en) Pattern reversal employing thick residual layers
US7140861B2 (en) Compliant hard template for UV imprinting
US7960097B2 (en) Methods of minimizing etch undercut and providing clean metal liftoff
US7357876B2 (en) Eliminating printability of sub-resolution defects in imprint lithography
US8012394B2 (en) Template pattern density doubling
US7041604B2 (en) Method of patterning surfaces while providing greater control of recess anisotropy
US7241395B2 (en) Reverse tone patterning on surfaces having planarity perturbations
JP5848386B2 (ja) インサイチュ嵌込み構造物形成方法
WO2006057745A2 (en) Direct imprinting of etch barriers using step and flash imprint lithography
US7205244B2 (en) Patterning substrates employing multi-film layers defining etch-differential interfaces
US7252777B2 (en) Method of forming an in-situ recessed structure
US7906272B2 (en) Method of forming a pattern of a semiconductor device
KR20090044835A (ko) 반도체 소자의 패턴 형성 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080513

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080513

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110308

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110531

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110802

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111202

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20111212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120514

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120717

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120802

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150810

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5059608

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250