KR20060004679A - 임프린트 리소그래피를 사용하는 층진 구조물의 형성 방법 - Google Patents

임프린트 리소그래피를 사용하는 층진 구조물의 형성 방법 Download PDF

Info

Publication number
KR20060004679A
KR20060004679A KR1020057019984A KR20057019984A KR20060004679A KR 20060004679 A KR20060004679 A KR 20060004679A KR 1020057019984 A KR1020057019984 A KR 1020057019984A KR 20057019984 A KR20057019984 A KR 20057019984A KR 20060004679 A KR20060004679 A KR 20060004679A
Authority
KR
South Korea
Prior art keywords
forming
substrate
layer
etch
stage structure
Prior art date
Application number
KR1020057019984A
Other languages
English (en)
Inventor
시들가타 브이. 스리니바산
Original Assignee
몰레큘러 임프린츠 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 몰레큘러 임프린츠 인코퍼레이티드 filed Critical 몰레큘러 임프린츠 인코퍼레이티드
Publication of KR20060004679A publication Critical patent/KR20060004679A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B44DECORATIVE ARTS
    • B44CPRODUCING DECORATIVE EFFECTS; MOSAICS; TARSIA WORK; PAPERHANGING
    • B44C1/00Processes, not specifically provided for elsewhere, for producing decorative surface effects
    • B44C1/22Removing surface-material, e.g. by engraving, by etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/001General methods for coating; Devices therefor
    • C03C17/002General methods for coating; Devices therefor for flat glass, e.g. float glass
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0005Other surface treatment of glass not in the form of fibres or filaments by irradiation
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0005Other surface treatment of glass not in the form of fibres or filaments by irradiation
    • C03C23/002Other surface treatment of glass not in the form of fibres or filaments by irradiation by ultraviolet light
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76817Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics using printing or stamping techniques

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Power Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 기판 상에 배치된 층진 구조물의 반전 외형을 기판에 전사하는 것을 특징으로 하는, 기판 상에 층진 구조물을 형성하는 방법을 제공한다.
Figure 112005059623936-PCT00001
기판, 구조물, 반전, 형성, 전사

Description

임프린트 리소그래피를 사용하는 층진 구조물의 형성 방법{A METHOD OF FORMING STEPPED STRUCTURES EMPLOYING IMPRINT LITHOGRAPHY}
일반적으로, 본 발명은 구조물의 마이크로가공에 관한 것이다. 보다 구체적으로, 본 발명은 다마스크 공정용으로 적당한 패터닝된 기판을 형성하는 것에 관한 것이다.
마이크로가공은, 예를 들면 마이크로미터 이하의 형상을 가진 매우 작은 구조물의 제조를 수반한다. 마이크로가공이 상당한 규모의 영향력을 가진 한 분야는 집적 회로의 가공이다. 반도체 제조 산업이 기판 상에 형성된 단위 면적당 회로를 증가시키면서 생산 수율이 더 큰 것을 얻으려고 계속 노력하고 있기 때문에, 마이크로가공은 점차 중요하게 되었다. 마이크로가공은 형성된 구조물의 최소 형상 치수 감소를 증가시키면서 더 나은 공정 제어를 제공한다.
집적 회로의 제조 중에 사용되는 통상의 공정은 금속 전도성 라인 및 접속부이다. 접속부는 절연층에 의해 분리된 인접 전도성 라인을 상호 연결시킨다. 전도성 라인과 접속부는 흔히 기판 내에 층진 구조물을 형성함으로써 제조되는데, 비아 및 트렌치라고 한다. 비아-트렌치 층진 구조물을 형성하는 통상의 수단은 다마스크 공정으로 알려져 있다.
다마스크는 절연층에 홈을 형성하는 단계 및 상기를 전도성 재료, 예컨대 알루미늄, 구리 등으로 충전하는 단계를 수반한다. 이 방식으로, 전도성 라인이 홈에 형성된다. 접속부, 뿐만 아니라 전도성 라인을 형성하기 위하여, 통상적으로 이중 다마스크 공정이, 홈이 형성된 절연층의 영역 내 비아를 형성하는 데 사용된다.
예시적인 이중 다마스크 공정은 절연층 상에 비아의 이미지 패턴을 패터닝한다. 이를 위하여, 절연층은 포토레지스트로 코팅되고, 적당한 마스크를 통하여 노출된 다음, 비아의 이미지 패턴을 형성하도록 노출된다. 패턴은 절연층의 상부에 이방성으로 에칭된다. 그 다음, 포토레지스트는 제1 마스크 패턴에 맞추어 비아를 포함시킨 후 제2 마스크를 통하여 홈의 이미지로 노출시킨다. 절연재의 상부에 전도성 라인을 위한 홈을 이방성 에칭하면, 정쳔층의 상부에 이미 존재하는 비아가 동시에 에칭되고, 절연재의 하부에 복제된다. 에칭이 완결된 후, 비아와 홈은 전도성 재료 금속으로 충전된다. 홈과 비아를 전도성 재료로 동시에 충전하는 것은 단일 다마스크 공정에 비하여 개선점이 된다. 그러나, 이중 다마스크 공정은 두 개의 상이한 마스크 간의 정렬이 요구되는 것과 같은 단점이 따른다. 또한, 두 마스크 단계에 의해 요구되는 공정 시간 및 비용이 증가되기 때문에, 정렬은 홈을 비아에 관하여 적당하게 위치시키는 것이 중요하게 된다.
그러므로, 집적 회로의 제조의 증진에서 층진 구조물을 형성하는 개선된 방법을 제공하는 것이 필요하다.
발명의 개요
본 발명은 기판 상에 층진 구조물을 형성하는 방법 및 기판에 다단 구조물의 반전 외형을 전사하는 형상을 제공한다. 한 가지 구체예에서, 다단 구조물은 돌기 및 견부를 가진 이층 돌출부를 포함한다. 돌기는 정점면을 가지며, 견부는 정점면과 기판으로부터 이격되어 외형을 형성한다. 본 발명의 예시적인 용도는 자체 정렬 트렌치-비아 구조물의 형성이다. 이를 위하여, 비아 부분의 치수는 돌기의 치수의 함수로서 설정된다. 트렌치 부분의 치수는 견부의 치수의 함수로서 설정된다. 다른 구체예에서, 다단 구조물은 기판에 형성된 섬이다. 이들 및 다른 구체예를 설명하고자 한다.
도 1은 본 발명에 따른 리소그래피 시스템의 투시도이다.
도 2는 본 발명에 따른 패터닝된 임프린트층을 형성하는 데 사용되는, 도 1에 도시된 리소그래피 시스템의 간략 입면도이다.
도 3은 본 발명에 따라 중합 및 가교되기 전에 도 2에 도시된 패터닝된 임프린트층에 포함된 재료의 간략 도면이다.
도 4는 본 발명에 따라 중합 및 가교된 후에 도 3에 도시된 재료가 전환되는 가교 중합체 재료의 간략 도면이다.
도 5는 본 발명에 따라 패터닝된 후 도 1에 도시된 패터닝된 임프린트층으로부터 이격된 임프린트 장치의 간략 단면도이다.
도 6은 본 발명의 한 가지 구체예에 따라서 인접한 에칭 선택성 층의 침착에 의해 다층 구조물을 형성한 후의, 도 5에 도시된 패터닝된 임프린트층의 간략 단면 도이다.
도 7은 본 발명의 한 가지 구체예에 따라서, 도 6에 도시된 다층 구조물을 블랭킷 에칭하여 크라운 표면을 형성한 후의 간략 단면도이다.
도 8은 본 발명의 한 가지 구체예에 따라서, 크라운 표면을 에칭하여 기판에 비아 부분을 형성한 후의, 도 7에 도시된 다층 구조물의 간략 단면도이다.
도 9는 본 발명의 한 가지 구체예에 따라서, 크라운 표면을 이방성 에칭하여 비아 부분과 정렬하는 트렌치 부분을 형성한 후의, 도 8에 도시된 다층 구조물의 간략 단면도이다.
도 10은 본 발명의 한 가지 구체예에 따라서, 비아 부분 및 트렌치 부분에 전도성 재료를 침착한 후의, 도 9에 도시된 다층 구조물의 간략 단면도이다.
도 11은 본 발명의 제1 대안의 구체예에 따라서 도 6에 도시된 다층 구조물을 블랭킷 에칭하여 크라운 표면을 형성한 후의 간략 단면도이다.
도 12는 크라운 표면을 이방성 에칭하여 기판에 비아 부분의 제1 세그먼트를 형성한 후의, 도 11에 도시된 다층 구조물의 간략 단면도이다.
도 13은 크라운 표면을 블랭킷 에칭하여 패터닝된 임프린트층의 영역을 노출시키고, 비아 부분의 제2 세그먼트를 형성한 후의, 도 12에 도시된 다층 구조물의 간략 단면도이다.
도 14는 이방성 불소 에칭하여 트렌치 부분과 비아 부분의 제3 세그먼트를 형성한, 도 13에 도시된 다층 구조물의 간략 단면도이다.
도 15는 본 발명의 제2 대안의 구체예에 따른, 도 5에 도시된 돌출부의 하향 도이다.
도 16은 본 발명의 제3 대안의 구체예에 따른, 도 5에 도시된 돌출부의 하향도이다.
도 17은 본 발명의 제4 대안의 구체예에 따른, 도 5에 도시된 돌출부의 단면도이다.
도 18은 본 발명의 제5 대안의 구체예에 따른, 도 17에 도시된 돌출부의 하향도이다.
도 19는 본 발명의 제6 대안의 구체예에 따른, 도 17에 도시된 돌출부의 하향도이다.
도 20은 도 17에 도시된 돌출부의 반전 외형인 오목부가 형성된 기판의 도면이다.
도 21은 본 발명에 따른 제7 대안의 구체예에 따른, 섬이 형성된 기판의 단면도이다.
도 22는 도 21에 도시된 돌출부를 형성하는 데 사용된 몰드에 상보적인 외형을 가진 패터닝된 층 및 몰드를 도시하는 간략 단면도이다.
도 23은 도 22에 도시된 패터닝된 층 상에 부착된 에칭 선택성 층의 에칭 후 형성된 크라운 표면을 도시하는 단면도이다.
도 24는 크라운 표면을 에칭하여 기판의 영역을 노출시킨 후의, 도 23에 도시된 다층 구조물의 단면도이다.
도 25는 본 발명의 제8 대안의 구체예에 따른, 도 5에 도시된 기판 및 임프 린트 장치의 간략 단면도이다.
도 1에는 브리지(14) 및 스테이지 서포트(16)가 사이에서 연장하는 한 쌍의 이격된 브리지 서포트(12)를 포함하는 본 발명의 한 가지 구체예에 따른 리소그래피 시스템(10)이 도시되어 있다. 브리지(14) 및 스테이지 서포트(16)는 이격된다. 임프린트 헤드(18)는 브리지(14)에 커플링되는데, 이는 브리지(14)로부터 스테이지 서포트(16)로 연장한다. 동작 스테이지(20)는 스테이지 서포트(16)에 배치되어 임프린트 헤드(18)에 대면한다. 동작 스테이지(20)는 X 축 및 Y 축을 따라 스테이지 서포트(16)에 대하여 이동하도록 구성된다. 방사선원(22)은 시스템(10)에 커플링되어 동작 스테이지(20)에 화학 방사선을 조사한다. 도시된 바와 같이, 방사선원(22)은 브리지(14)에 커플링되고, 방사선원(22)에 연결된 동력 발생기(23)를 포함한다.
도 1 및 도 2를 참조하면, 패터닝된 몰드(27)를 가진 기판(26)은 임프린트 헤드(18)에 연결되어 있다. 패터닝된 몰드(27)는 패턴이 안에 형성된 표면(27a)을 가진다. 패턴은 다수의 이격된 오목부(28) 및 융기(29)에 의해 형성된다. 오목부(28)는 층진 부분(29b)에 의해 측면에 접한 홈 부분(29a)을 갖춘 층진 외형을 가진다. 각각의 오목부(28)의 합한 폭 "Wc"은 홈 부분(29a)의 폭 "W1"과 2W2의 합이며, 여기서 W2는 층진 부분(29b) 중 하나의 폭이다. 융기(29)는 폭 "W3"을 가진다. 각각의 W1, W2 및 W3은 Z 축에 횡방향으로 연장하는 방향으로 측정한다. 원래의 패턴은 동작 스테이지(20) 상에 위치된 기판(31)으로 전사하고자 하는 것이다. 통상적으 로, 기판(31)은 적당한 재료, 예컨대 규소로 이루어진 웨이퍼(31a)로 구성된다. 또한, 기판(31)은 재료의 1 이상의 층이 위에 배치된 웨이퍼(31a)로 구성될 수 있다. 본 실시예에서, 기판(31)은 유전성 재료, 예컨대 조성이 SiWCXOYHZ인 유기실리케이트 유리(OSG)인 다중 층(31c 및 31e)을 포함하는 것으로 도시되어 있다. OSG의 인접 층(31c 및 31e)은 임의의 적당한 재료, 예컨대 질화티타늄(TiN)으로 이루어진 에칭 배리어(31d)에 의해 분리될 수 있다. 추가의 에칭 배리어층(31b)은 유전층(31c)과 웨이퍼(31a) 사이에 위치될 수 있다.
도 2 및 도 3을 참조하면, 유동 가능한 영역, 예컨대 패터닝된 임프린트층(34)은 실질적으로 평활한 프로파일을 제공하는 표면(32)의 부분 상에 배치된다. 유동 가능한 영역은 임의의 공지 기술, 예컨대 본 명세서에서 참고 인용하는 미국 특허 제5,772,905호에 개시된 열간 엠보싱 공정 또는 문헌(Chou et al., Ultrafast and Direct Imprint of Nanostructures in Silicon, Nature, Col. 417, pp. 835-837, June 2002)에 기재된 유형의 레이저 보조 직접 임프린트(LADI) 공정에 의해 형성될 수 있다. 그러나, 본 구체예에서, 유동 가능한 영역은 기판(31) 상에 재료(36a)의 다수의 이격된 개별 비드(36)로 부착된 패터닝된 임프린트층(34)으로 구성되며, 이하 보다 상세하게 논의하고자 한다. 패터닝된 임프린트층(34)은 선택적으로 중합 및 가교되어 그 안에 원래의 패턴을 기록하여 기록된 패턴을 형성할 수 있는 실질적으로 규소가 없는 재료(36a)로부터 형성된다. 재료(36a)는 지점(36b)에서 가교되어 가교된 중합체 재료(36c)를 형성하는 것으로 도 4에 도시되어 있다. 재료 (36a)의 예시적인 구체예는 미국 일리노이주 닐스에 소재하는 폴리사이언스 컴패니에서 구입 가능한 모노머인 시클로헥실 아크릴레이트 대략 48 중량%; 미국 미주리주 세인트루이스에 소재하는 시그마-알드리치 코포레이션에서 구입 가능한 가교제인 에틸렌 글리콜 디아크릴레이트 대략 48 중량%; 및 미국 뉴욕주 태리타운에 소재하는 시바에서 상표명 Darocur®로 시판하는 자유 라디칼 발생제 대략 4 중량%의 화합물로부터 형성된다.
도 2, 도 3 및 도 5를 참조하면, 패터닝된 임프린트층(34)에 기록된 패턴은 패터닝된 몰드(27)와 기계적 접촉함으로써 부분적으로 생성된다. 이를 위하여, 도 1에 도시된 임프린트 헤드(18)는 Z 축을 따라 이동하고, 패터닝된 몰드(27)와 기판(31) 사이의 거리 "d"를 변경하는 데 적합하다. 이 방식으로, 패터닝된 몰드(27)는 표면(32) 위에 재료(36a)가 인접형성되는 패터닝된 임프린트층(34)을 형성하도록 비드(36)를 유포한다. 대안으로, 또는 임프린트 헤드(18)에 관련하여, 동작 스테이지(20)는 Z 축을 따라 기판(26)을 이동시켜서 비드(36)와 패터닝된 몰드(27) 간에 기계적 접촉시킬 수 있다. 한 가지 구체예에서, 거리 "d"는 패터닝된 임프린트층(34)의 하위부분(34c)이 오목부(28)에 진입하여 충전하도록 감소된다. 이는 패터닝된 몰드(27) 상의 원래의 패턴이 기판(31)의 유동 가능한 영역으로 임프린트되는 것을 촉진한다.
오목부(28)의 충전을 촉진하기 위하여, 재료(36a)는 오목부(28)를 완전히 충전시키는 필수 특성을 구비하는 한편, 재료(36a)가 인접 형성된 표면(32)을 피복한다. 본 구체예에서, 융기(29)와 중첩하는 패터닝된 임프린트층(34)의 하위부분 (34c)은 소정의, 통상적으로 최소의 거리 "d"에 도달한 후에 잔존하며, 두께 t1의 돌기(34a), 두께 t2의 견부(34b) 및 두께 t3의 하위부분(34c)으로 남아있는다. 돌기(34a) 및 견부(34b)는 기판(31)의 표면(32) 상의 이층 돌출부를 형성한다. 하위부분(34c)은 잔류층에 관한 것이며, 두께 t3은 잔류 두께에 관한 것이다. 두께 "t1", "t2" 및 "t3"은 적용에 따라서 임의의 소정 두께일 수 있다.
도 2, 도 3 및 도 4를 참조하면, 소정의 거리 "d"에 도달한 후, 방사선원(22)은 화학 방사선을 생성하여 재료(36a)를 중합 및 가교시켜서 가교된 중합체 재료(36c)를 형성한다. 그 결과, 패터닝된 임프린트층(34)의 조성은 재료(36a)에서 고체인 재료(36c)로 변형된다. 구체적으로, 재료(36c)는 고화되어 도 5에 보다 분명하게 도시된 패터닝된 몰드(27)의 표면(27a)의 외형에 정합하는 외형을 가진 패터닝된 층(34)의 면(34d)을 제공한다. 이 방식으로, 다수의 고화된 이층 돌출부(34e)가 기판(31) 상에 형성된다. 패터닝된 임프린트층(34)이 변형되어 도 4에 도시된 재료(36c)로 구성된 후, 도 1에 도시된 임프린트 헤드(18)는 거리 "d"를 증가시키도록 이동하여 패터닝된 몰드(27) 및 패터닝된 임프린트층(34)은 이격된다.
이층 돌출부(34e)의 반전 외형은 기판(31)으로 전사되어 그 안에 비아 부분(도시하지 않음) 및 트렌치 부분(도시하지 않음)을 형성한다. 이 방식으로, 비아 부분(도시하지 않음)의 치수는 돌기(34a)의 치수의 함수로서 설정되며, 트렌치 부분(도시하지 않음)의 치수는 견부(34b)의 치수의 함수로서 설정된다. 이를 위하여, 도 6에 도시된 에칭 선택성 층(40)은 고화된 이층 돌출부(34e)가 형성된 후, 패터닝된 임프린트층(34)에 인접하여 배치된다. 기판(31), 패터닝된 임프린트층(34) 및 에칭 선택성 층(40)은 다층 구조물(38)을 형성한다.
도 6을 참조하면, 에칭 선택성 층(40)은 화학 증착(CVD), 물리 증착(PVD), 스퍼터링 및 스핀온 기술을 비롯한, 임의의 공지 공정을 사용하여 적용될 수 있다. 에칭 선택성 층(40)의 스핀온 침착은 단위 면적 당 수많은 형상, 즉 조밀 형상 패턴을 가진 패턴을 기록할 때 유리할 수 있다. 본 실시예에서, 에칭 선택성 층(40)은 패터닝된 임프린트층(34)의 침착에 관하여 상기 논의된 것과 같은 임프린트 리소그래피 공정을 사용하여 침착된다. 이를 위하여, 에칭 선택성 층(40)은 도 3 및 도 4에 관하여 전술한 것과 유사한 중합성 재료로부터 형성될 수있지만, 에칭 선택성 층(40)을 형성하는 재료는 규소, 즉 규소 함유 중합성 재료이다. 에칭 선택성 층(40)으로서 사용하기 위한 예시적인 화합물은 미국 펜실베이니아주 모리스빌에 소재하는 겔레스트 인코포레이티드에서 제품 코드 SIA 0210으로 시판하는 실릴 아크릴레이트 대략 48 중량%; 미국 미주리주 세인트루이스에 소재하는 시그마-알드리치 코포레이션에서 구입 가능한 부틸 아크릴레이트 대략 24 중량%; 미국 펜실베이니아주 모리스빌에 소재하는 겔레스트 인코포레이티드에서 제품 코드 SIB 1402로 시판하는 실릴 디메타크릴레이트 대략 24 중량%; 및 미국 뉴욕주 태리타운에 소재하는 시바에서 상표명 Darocur®로 시판하는 자유 라디칼 발생제 대략 4 중량%로 형성된다. 에칭 선택성 층(40)은 제1 및 제2 대향면을 포함한다. 제1 면(40b)은 패터닝된 임프린트층(34)을 대면하고, 패터닝된 임프린트층(34)의 프로파일에 상보적인 프로파일을 가진다. 제2 면은 정상화 표면(40a)을 형성하는 패터닝된 임프린트 층(40a)에서 이격 대면한다. 정상화 표면(40a)은 각각의 돌기(34a)의 정점면(34f)과 정상화 표면(40a) 간의 거리가 실질적으로 동일하고, 각각의 견부(34b)와 정상화 표면(40a) 간의 거리가 실질적으로 동일하고, 도 5에 도시된 각각의 하위부분(34c)의 바닥면(34g)과 정상화 표면(40) 간의 거리가 동일하도록 함으로써 실질적으로 정상화된 프로파일을 갖춘다.
정상화된 프로파일을 가진 정상화 표면(40a)을 제공하는 한 가지 방식은 평탄한 표면, 즉 무형상 표면(127a)을 가지며, 에칭 선택성 층(40)과 접촉하는 몰드(127)의 사용을 수반한다. 전술한 바와 같이, 이것은 도 1에 도시된 임프린트 헤드(18)를 Z 축을 따라 이동시키거나, 동작 스테이지(20)를 Z축을 따라 이동시키거나, 또는 둘 다에 의하여 달성될 수 있다. 그 후, 몰드(127)는 에칭 선택성 층(40)에서 분리되고, 화학 방사선이 에칭 선택성 층(40)에 조사되어 중합되고, 따라서 이를 고화시킨다.
도 6 및 도 7을 참조하면, 블랭킷 에칭을 사용하여 에칭 선택성 층(40)의 일부를 제거하여 크라운 표면(38a)을 가진 다층 구조물(38)을 제공한다. 예시적인 에칭 공정은 에칭 가스의 대부분이 CF4로 구성된 CF4 플라즈마 에칭을 사용한다. 예시적인 에칭 화학물질은 2003년 2월 23일부터 2월 28일까지 미국 캘리포니아주 산타클라라에서 개최된 SPIE 마이크로리소그래피 회의에서 간행된 백서(Johnson et al., ADVANCES IN STEP AND FLASH IMPRINT LITHOGRAPHY)에 논의되어 있다. 크라운 표면(38a)은 각각의 돌기(34a)의 노출된 정점면(30f) 및 블랭킷 에칭 후 에칭 선택 성 층(40)에 잔존하는 부분(40c)의 상면에 의해 형성된다.
도 7 및 도 8을 참조하면, 크라운 표면(38a)은 이방성 에칭된다. 이방성 에칭의 에칭 화학물질은 돌기(34a) 및 이와 겹쳐진, 도 6에 도시된 패터닝된 임프린트층(34)의 세그먼트의 에칭을 최대화하는 한편, 부분(40c)의 에칭을 최소화하도록 선택된다. 본 실시예에서, 패터닝된 임프린트층(34)과 에칭 선택성 층(40) 간의 규소 함량의 차이의 이점을 취하였다. 구체적으로, 산소가 주된 가스인 플라즈마 에칭을 사용하면, 계내 경화된 마스크(42)가 크라운 표면(38a)에 근접한 부분(40c)의 영역에 생성될 것으로 단정된다. 예시적인 에칭 화학물질은 2003년 2월 23일부터 2월 28일까지 미국 캘리포니아주 산타클라라에서 개최된 SPIE 마이크로리소그래피 회의에서 간행된 백서(Johnson et al., ADVANCES IN STEP AND FLASH IMPRINT LITHOGRAPHY)에 논의되어 있다. 이는 규소 함유 중합성 재료와 산소계 플라즈마의 상호작용에서 비롯된다. 경화된 마스크(42)와 에칭 공정의 이방성의 결과로서, 돌기(34a)와 중첩되는 기판(31) 상의 영역(44)이 노출된다. 본 실시예에서, 영역(44)은 도 2에 도시된 에칭 배리어(31b)의 노출 영역이다. 영역(44)의 폭 U는 도 2에 도시된 폭 W2와 동일한 것이 최적이다.
도 8을 참조하면, 영역(44)의 노출 후, 불소계 플라즈마 에칭, 예컨대 2003년 2월 23일부터 2월 28일까지 미국 캘리포니아주 산타클라라에서 개최된 SPIE 마이크로리소그래피 회의에서 간행된 백서(Johnson et al., ADVANCES IN STEP AND FLASH IMPRINT LITHOGRAPHY)에 논의된 CF4 가스를 사용하는 유형을 사용하여 도 7에 도시된, 경화된 마스크(42)와 중첩하는 다층 구조물(38)의 영역을 제거하여, 도 9에 도시된 영역(45a 및 45b)을 노출시키는데, 이들 각각은 폭 U'을 가지며, W2와 동일한 것이 최적이다. 영역(45a 및 45b)은 홈 부분(46)과, 영역(45a 및 45b)에서 비아 부분을 한정하는 영역(44)으로 연장하는 통로(48)를 한정한다. 홈 부분(46)은 트렌치 부분을 한정하고, 통로(48)는 비아 부분을 한정한다. 후속 에칭 공정은 층(34 및 40)의 잔존 부분을 제거하는 데 사용된다. 그후, 비아 부분과 트렌치 부분은 전도성 재료, 예컨대 알루미늄, 구리, 텅스텐, 티타늄, ti-텅스텐 또는 이들의 조합 등으로 동시에 충전되어 도 10에 도시된 접속부(50) 및 전도성 라인(52)을 형성할 수 있다.
도 2, 도 7 및 도 8을 참조하면, 이 공정의 이점은 여러 가지이다. 예를 들면, 부분(40c)과 노출된 정점면(30f) 간의 상대 에칭 속도는 경화된 마스크(42)의 존재로 인하여 약 1.5:1 내지 약 100:1 범위일 수 있다. 그 결과, 자체 정렬 비아 및 트렌치는 그 치수를 정확하게 조절하면서 기판(32) 내에 형성될 수 있다. 이는 기판(31)으로의, 도 5에 도시된, 이층 돌출부(34e)의 반전 외형 상의 패턴의 전사 열화를 감소시킨다.
도 5 및 도 9를 참조하면, 또한, 치수 폭 U 및 U'의 조절은 잔류 두께 t3에 상대적으로 무관해진다. 중합성 유체가 패터닝된 몰드(27) 상의 패턴을 충전하는 속도는 잔류 두께 t3의 입방에 반비례한다. 그 결과, 잔류 두께 t3은 실질적으로 전사 열화를 증가시키지 않으면서 처리량을 최대화하도록 선택될 수 있다. 최종적으 로, 실질적으로 규소가 없는 중합성 유체로부터 패터닝된 임프린트층(34)을 형성하면, 패터닝된 몰드(27)의 세척 공정, 특히 패터닝된 몰드(27)를 종종 훈증 실리카로부터 형성하는 것을 고려하기가 용이하다.
도 2 및 도 11을 참조하면, 본 발명에 의한 추가 이점은 에칭 배리어층(31d 및 31b)를 사용할 필요성이 없어질 수 있다는 것이다. 널리 알려진 바와 같이, 에칭 배리어층(31d 및 31b)의 사용을 피함으로써 기판(31)의 전체 유전 상수는 감소할 수 있으며, 이에 의하여 이 공정을 고속 집적 회로 제조의 증진에서 비아 및 트렌치의 제조에 적합하게 한다. 이를 위하여, 에칭 조건은 영역(140c)에 나타낸 에칭 선택성 층과 유전층(131c)을 구성하는 재료가 패터닝된 임프린트층(34)이 형성된 재료를 제거하는 데 사용되는 에칭 화학물질에 실질적으로 비활성이 되도록 설정된다. 본 실시예에서, 영역(140c) 및 유전층(131c)을 형성하는 재료는 산소 플라즈마 에칭에 비교적 비활성이다.
도 11 및 도 12를 참조하면, 도 7의 크라운 표면(38a)에 관하여 상기 논의된 방식으로 형성된 크라운 표면(138a)은 산소 플라즈마 에칭된다. 전술한 에칭 선택성 차의 결과로서, 정점면(130f)은 임프린트 재료층(134)에서 중첩된 모든 재료를 따라서 m1으로 도시된 돌기(134a)의 전체 범위와 함께 제거된다. 이 방식으로, 비아 부분의 제1 세그먼트(148a)가 형성된다.
후속 블랭킷 플라즈마 에칭은 상기 논의된 바와 같은 불소계 화학물질을 사용하여 이용된다. 블랭킷 에칭은 도 11에 도시된 경화된 마스크(142) 및 영역 (140c)을 제거하여 도 13에 도시된 견부(134b)를 노출시킨다. 견부 노출(134b)과 동시에, 비아 부분(도시하지 않음)의 제2 세그먼트(148b)는 m2로 나타낸 유전층(131c)의 재료를 제거함으로써 형성된다.
도 13 및 도 14를 참조하면, 이방성 산소계 플라즈마 에칭을 사용하여 경화된 견부(134b), 뿐만 아니라 이와 중첩하는 임프린트층(134)의 재료를 제거하여 유전층(131c)의 영역(145a 및 145b)을 노출시켜서 트렌치 부분(146)을 형성한다. 영역(145a 및 145b) 노출과 동시에, 비아 부분의 제3 세그먼트(148c)는 m3으로 나타낸 유전층(131c)의 재료를 제거함으로써 형성된다. 비아 세그먼트(148a, 148b 및 148c)의 합한 길이는 트렌치 부분(146)과 정렬하는 비아 부분(148)을 형성한다. 이 방식으로, 자체 정렬된 비아 및 트렌치가 제조될 수 있다.
도 5 및 도 15를 참조하면, 본 발명이 자체 정렬된 비아 및 트렌치의 형성에 관하여 논의하고 있지만, 본 발명은 다양한 다단 구조물을 형성하는 데 사용될 수 있다. 예를 들면, 도 5에 도시된 바와 같이, 높이 h1에서 정점면(34f)으로부터 동일하게 간격을 두고 돌기(34a)에 측면으로 접하는 한 쌍의 이격된 견부(34b)는 각각의 돌출부(34e)와 관련될 수 있다. 대안으로, 돌출부(134e)는 도 16에 도시된, 정점면(134f)에 대해 동심인 단일 견부(134b)를 포함할 수 있다.
도 17을 참조하면, 또한 각각의 돌출부(134e)는 각각 상이한 높이 h1, h2, h3 및 h4에서 정점면(234f)으로부터 이격된 다수의 견부(234a, 234b, 234c 및 234d)를 포함할 수 있다. 네 세트의 견부(234a, 234b, 234c 및 234d)가 도시되어 있지만, 실제로는 0 내지 n 개의 임의의 개수가 포함될 수 있으며, 여기서 "n"은 정수이다. 이를 위하여, 몰드(도시하지 않음)는 돌출부(234e)의 외형에 상보적인 표면으로 형성되는 1 이상의 오목부를 가진다. 또한, 견부(234a, 234b, 234c 및 234d)는 도 18에 도시된 바와 같이 정점면(234f) 측면에 접할 수 있다. 대안으로, 견부(234a, 234b, 234c 및 234d)는 도 19에 도시된 바와 같이, 정점면(234f)에 대해 동심 배치될 수 있다. 돌출부(234e)를 사용하면, 기판(231)은 도 20에서 도면 번호 250으로 도시된, 돌출부(234e)의 외형에 상보적인 오목부를 갖도록 형성될 수 있다. 기판(231)이 균일한 재료, 예를 들면 규소로 된 것으로 도시되어 있지만, 임의의 수의 재료 층(도시하지 않음)이 기판(231) 상에 포함될 수 있으며, 오목부(250)는 1 이상의 전술한 층 및/또는 기판(231)에 형성될 수 있다. 또한, 층은 반도체 가공에 적당한 임의의 재료로 구성될 수 있다.
도 2 및 도 21을 참조하면, 상기는 다수의 오목부가 안에 형성된 몰드(27)를 사용하는 기판(31) 상에 오목부를 형성하는 것에 관하여 논의하는 것이다. 그러나, 전술한 동일 공정을 사용하여 기판(331) 상에 섬(335)을 형성할 수 있다. 이를 위하여, 도 22에 도시된 몰드(327)는 표면(328a)과 돌기(328b) 사이에서 돌기(328b)로부터 이격된 돌기(328b) 및 견부(328c)를 가진 표면(328a)으로부터 연장하는 1 이상의 돌출부(328)를 포함한다. 임프린트 재료의 층은 기판(331) 상에 배치되고, 상기 논의된 바와 같이 패터닝된 층(334)을 형성하도록 유포된다. 이 방식에서, 패터닝된 층(334)은 몰드(337) 상의 패턴에 상보적인 패턴을 포함한다. 본 실시예에 서, 이는 1 이상의 오목부(334a)가 패터닝된 층(334)에 형성하게 된다.
도 22 및 도 23을 참조하면, 그 후 에칭 선택성 층(340)은 패터닝된 층(334) 및 크라운 표면(340a)에 배치되어 상기 논의된 바와 같이 형성된 다층 구조물(338)을 형성한다. 이 방식으로, 크라운 표면(340a)의 형성 후 남아있는 에칭 선택성 층(340)의 부분만이 오목부(334a)를 충전한다. 크라운 표면(340a)은 오목부(334a)에 의해 중첩되지 않은 패터닝된 층(334)의 부분에서 고 선택성인 산소 에칭 화학물질에 노출되고, 도 24에 도시된, 기판(331)의 영역(344)을 노출하도록 제거된다. 이는, 부분적으로, 상기 논의된 바와 같이, 산소 가스로 주로 구성된 화학물질을 사용하여 플라즈마 에칭에 노출될 때 에칭 선택성 층(340)의 나머지 부분에 존재하는 규소에 의해 형성된 경화된 마스크(342)에 기인하여 달성된다.
도 21 및 도 24를 참조하면, 영역(344)의 노출 후, 불소계 플라즈마 에칭, 예컨대 상기 논의된 유형을 사용하여 경화된 마스크(342)와 중첩된, 도 23에 도시된, 다층 구조물(338)의 영역을 제거하여 영역(345)을 노출시킨다. 상세하게는, 영역(344)과 경화된 마스크(342) 간의 에칭 속도차는 섬(335)을 형성시킨다. 그러나, 전술한 층진 형상 중 임의의 것은 도 22에 도시된, 몰드(327) 상의 패턴에 따라서 이 방식으로 형성될 수 있음을 이해해야 한다. 또한, 기판(331)이 균일한 재료, 예컨대 규소로 된 것으로 도시되어 있지만, 임의의 수의 재료 층(도시하지 않음)이 기판(331) 상에 포함될 수 있으며, 섬(335)은 1 이상의 전술한 층 및/또는 기판(331)에 형성될 수 있다. 또한, 층은 반도체 공정에 적당한 임의의 재료로 구성될 수 있다.
도 1 및 도 2를 참조하면, 예시적인 방사선원(22)은 자외선 방사선을 생성할 수 있다. 다른 방사선원, 예컨대 열, 전자기 등을 사용할 수 있다. 패터닝된 임프린트층(34) 내 재료의 중합을 개시하는 데 사용된 방사선의 선택은 당업자에게 공지되어 있으며, 통상적으로 소정의 특정 분야에 의존한다. 방사선원(22)은 패터닝된 몰드(27)가 방사선원(22)과 기판(31) 사이에 위치 설정되도록 위치된다. 그 결과, 기판(31) 및/또는 패터닝된 몰드(27)는 이것이 방사선원(22)에 의해 생성되는 방사선에 실질적으로 투명하게 하는 재료로부터 제조된다. 예시적인 재료로는, 한정하는 것은 아니지만 훈증 실리카, 석영, 규소, 유기 중합체, 실록산 중합체, 보로실리케이트 유리, 플루오로카본 중합체, 금속 및 상기의 조합이 있다.
열 안정성인, 예를 들면 약 실온(예컨대, 25℃)에서 약 10 ppm/℃ 미만으 열 팽창 계수를 갖는 재료로부터 시스템(10)의 구성성분을 제조하는 것이 바람직할 수 있다. 일부 구체예에서, 구조물의 재료는 열 팽창 계수가 약 10 ppm/℃ 또는 1 ppm/℃ 미만일 수 있다. 이를 위하여, 브리지 서포트(12), 브리지(14) 및/또는 스테이지 서포트(16)는 다음 재료, 탄화규소, 상표명 INVAR® 또는 상표명 SUPER INVARTM으로 입수 가능한 철 합금, 한정하는 것은 아니지만 ZERODUR® 세라믹을 비롯한 세라믹 중 1 이상으로부터 제조될 수 있다. 또한, 테이블(24)은 주변 환경의 변동으로부터 시스템(10)의 나머지 성분을 단리시키도록 구성될 수 있다. 예시적인 테이블(24)은 미국 캘리포니아주 어빈에 소재하는 뉴포트 코포레이션에서 입수 가능하다.
도 1, 도 2 및 도 3을 참조하면, 재료(36a)의 특징은 사용되는 독특한 침착 공정에 비추어 기판(31)을 효율적으로 패터닝하는 데 중요하다. 전술한 바와 같이, 재료(36a)는 다수의 개별 및 이격된 비드(36)로서 기판(31) 상에 침착된다. 비드(36)의 합한 부피는 패터닝된 임프린트층(34)을 형성하고자 하는 경우에 재료(36a)가 표면(32)의 영역에 걸쳐 적당하게 분포되도록 한다. 그 결과, 패터닝된 임프린트층(34)은 유포되고, 방사선, 예컨대 자외 방사선에 노출됨으로써 후에 경화되는 패턴과 동시에 패터닝된다. 침착 공정의 결과로, 재료(36a)는 모든 두께 t1이 실질적으로 균일하고 모든 잔류 두께 t2가 실질적으로 균일하도록 표면(32) 상의 비드(36) 내 재료(36a)의 신속하고 고른 유포를 촉진하는 특성을 갖는 것이 바람직하다.
도 3 및 도 25를 참조하면, 임프린트 리소그래피를 촉진하기 위하여 재료(36a) 내에 전술한 조성을 사용하면, 프라이머층(456)은 기판(431)에 포함된다. 프라이머층(456)은, 그 중에서도 특히 패터닝된 임프린트층(434)과의 표준 계면을 제공하도록 기능함으로써 기판(431)이 형성되는 재료에 대한 에칭 공정을 설정 변경할 필요성을 감소시킨다. 또한, 프라이머층(456)은 패터닝된 임프린트층(434)과 동일한 에칭 특성을 가진 유기 재료로부터 형성될 수 있다. 프라이머층(456)은 패터닝된 층(434)에 대해 우수한 밀착성을 나타낼 수 있는 인접하는, 평탄하고 비교적 무결한 표면을 갖도록 하는 방식으로 제조된다.
또한, 패터닝된 임프린트층(434)이 패터닝된 몰드(27)에 고착되지 않도록 하 기 위하여, 표면(27a)은 저 표면 에너지 코팅(458)으로 처리될 수 있다. 그 결과, 패터닝된 임프린트층(434)은 패터닝된 몰드(27)와 기판(431)의 접촉시 프라이머층(456)과 코팅(458) 사이에 위치된다. 코팅(458)은 임의의 공지된 공정을 사용하여 적용될 수 있다. 예를 들면, 가공 기술은 화학 증착법, 물리 증착법, 원자 층 증착 또는 다양한 다른 기술, 브레이징 등을 포함할 수 있다. 유사한 방식으로 저 표면 에너지 코팅을 도 6에 도시된 몰드(127)에 적용할 수 있다. 대안으로, 패터닝된 임프린트층(34) 또는 에칭 선택성 층(40)의 릴리스 특성은 계면활성제라고 하는, 저 표면 에너지를 가진 화합물을 제조하는 재료에 포함시킴으로써 개선된다. 화합물은 공지 기술을 사용하여 층의 표면에서 각각 도 2 및 6에 도시된 몰드(27 및/또는 127)와의 계면으로 이동하게 된다. 통상적으로, 계면활성제는 층 내 중합성 재료의 표면 에너지보다 낮은 이와 관련된 표면 에너지를 갖는다. 전술한 계면활성제를 형성하는 예시적인 재료 및 공정은 문헌(Bender et al., MULTIPLE IMPRINTING IN UV-BASED NANOIMPRINT LITHOGRAPHY: RELATED MATERIAL ISSUES, Microelectronic Engineering pp. 61-62 (2002))에 논의되어 있다. 계면활성제의 저 표면 에너지는 소정의 릴리스 특성을 제공하여 각각 도 2 및 도 6에 도시된 몰드(27 및/또는 127)에 대한 임프린트층(34) 또는 에칭 선택성 층(40)의 밀착성을 감소시킨다. 계면활성제는 도 25에 도시된 저 표면 에너지 코팅(458)과 함께, 또는 이 대신에 사용될 수 있다는 것을 이해해야 한다.
전술한 본 발명의 구체예는 예시적이다. 많은 변형과 수정이 본 발명의 범주 내에 있으면서 전술한 개시 내용에서 이루어질 수 있다. 그러므로, 본 발명의 범주 는 상기 명세서를 참고하여 고려하는 것이 아니라, 첨부된 특허 청구의 범위를 참고로 그 균등물 전체 범위와 함께 결정해야 할 것이다.

Claims (40)

  1. 기판 상에 층진 구조물을 형성하는 방법으로서,
    상기 기판 상에 외형을 가진 다단 구조물을 형성하는 단계; 및
    상기 외형의 반전을 상기 기판에 전사하는 단계
    를 포함하는 것을 특징으로 하는 방법.
  2. 제1항에 있어서, 전사 단계는 상기 외형의 상기 반전을 상기 기판에 전사하여 비아 부분 및 트렌치 부분을 형성하는 단계를 더 포함하고, 상기 비아 부분의 치수는 상기 다단 구조물의 제1 하위부분의 치수의 함수로서 설정되며, 상기 트렌치 부분의 치수는 상기 다단 구조물의 제2 하위부분의 치수의 함수로서 설정되는 것을 특징으로 하는 방법.
  3. 제1항에 있어서, 전사 단계는 에칭 선택성 층으로 상기 다단 구조물을 피복하여 상기 기판, 상기 에칭 선택성 층 및 상기 다단 구조물이 다층 구조물을 형성하는 단계 및 상기 다층 구조물의 일부를 제거하여 상기 다단 구조물의 제1 하위부분과 중첩하는 상기 기판의 영역을 노출시키는 한편, 상기 다단 구조물의 제2 하위부분과 중첩하는 상기 에칭 선택성 층의 영역 내에 하드 마스크를 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  4. 제1항에 있어서, 전사 단계는 에칭 선택성 층으로 상기 다단 구조물을 피복하여 상기 기판, 상기 에칭 선택성 층 및 상기 다단 구조물이 다층 구조물을 형성하는 단계 및 상기 다층 구조물을 에칭 공정 처리하여 순차적으로 상기 다단 구조물로부터 재료의 제1 및 제2 뱃치를 제거하는 단계를 더 포함하며, 상기 재료의 제1 뱃치는 상기 다단 구조물의 제1 하위부분, 이와 중첩되는 상기 에칭 선택성 층의 제1 부분 및 상기 다단 구조물의 제1 하위부분에 의해 중첩되는 상기 다단 구조물 및 상기 기판의 부분에 해당하고, 상기 재료의 제2 뱃치는 상기 견부, 이와 중첩하는 상기 에칭 선택성 층의 제2 부분 및 상기 견부에 의해 중첩되는 상기 다단 구조물 및 상기 기판의 상기 제2 부분에 해당하는 것을 특징으로 하는 방법.
  5. 제1항에 있어서, 형성 단계는 상기 기판 상에 중합성 유체 조성물을 참착시키는 단계 및 상기 조성물을, 상기 다단 구조물의 상기 외형에 상보적인 외형을 포함하는 릴리프 구조물을 가진 몰드와 접촉시키는 단계 및 상기 조성물을 화학 방사선에 노출시켜 상기 조성물을 중합시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  6. 제1항에 있어서, 상기 형성 단계는 실질적으로 규소가 없는 유기 중합성 재료로부터 상기 다단 구조물을 형성하는 단계를 더 포함하고, 피복 단계는 규소 함유 재료로부터 상기 에칭 선택성 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  7. 제1항에 있어서, 형성 단계는 중합성 화합물 및 상기 중합성 화합물의 표준 에너지보다 작은 표면 에너지를 가진 계면활성제를 가진 재료로부터 상기 다단 구조물을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  8. 제1항에 있어서, 피복 단계는 중합성 화합물 및 상기 중합성 화합물의 표준 에너지보다 작은 표면 에너지를 가진 계면활성제를 가진 재료로부터 상기 에칭 선택성 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  9. 제1항에 있어서, 피복 단계는 상기 다단 구조물 상에 중합성 유체 조성물을 배치하고, 상기 중합성 유체 조성물을 실질적으로 평탄한 표면을 가진 몰드와 접촉시키며, 상기 중합성 유체 조성물을 중합시키는 조건에서 상기 중합성 유체 조성물을 처리함으로써 상기 에칭 선택성 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  10. 제1항에 있어서, 피복 단계는 상기 다단 구조물 상에 규소 함유 재료를 스핀 코팅함으로써 상기 선택성 층을 배치하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  11. 제1항에 있어서, 상기 기판 상에서, 상기 기판과 상기 다단 구조물 간에 위 치 설정된 에칭 정지 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  12. 제1항에 있어서, 상기 비아 부분 및 상기 트렌치 부분에 전도성 재료를 침착시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  13. 제1항에 있어서, 상기 다단 구조물은 층진 오목부를 포함하는 것을 특징으로 하는 방법.
  14. 제13항에 있어서, 웨이퍼 및 필름층으로부터 상기 기판을 형성하는 단계를 더 포함하고, 전사 단계는 상기 외형의 반전을 상기 필름층으로 전사하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  15. 제13항에 있어서, 상기 웨이퍼 및 상기 필름층으로부터 상기 기판을 형성하는 단계를 더 포함하고, 전사 단계는 상기 외형의 상기 반전을 상기 웨이퍼로 전사하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  16. 제1항에 있어서, 상기 다단 구조물은 돌기 및 견부를 포함하는 이층 돌출부를 포함하고, 상기 돌기는 정점면을 가지며, 상기 견부는 상기 정점면 및 상기 기판으로부터 이격된 것을 특징으로 하는 방법.
  17. 제16항에 있어서, 상기 웨이퍼 및 상기 필름층으로부터 상기 기판을 형성하는 단계를 더 포함하고, 전사 단계는 상기 외형의 상기 반전을 상기 필름층에 전사하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  18. 제16항에 있어서, 상기 웨이퍼 및 상기 필름층으로부터 상기 기판을 형성하는 단계를 더 포함하고, 전사 단계는 상기 외형의 상기 반전을 상기 필름층에 전사하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  19. 기판 상에 층진 구조물을 형성하는 방법으로서,
    상기 기판 상에 다단 구조물을 형성하는 단계;
    에칭 선택성 층으로 상기 다단 구조물을 피복하여 상기 기판, 상기 에칭 선택성 층 및 상기 다단 구조물로 다층 구조물을 형성하는 단계; 및
    에칭하고자 하는 상기 기판의 영역을, 상기 에칭 선택성 층을 제거함으로써 노출되는 다단 구조물의 부분의 함수로서 한정하는 단계
    를 포함하는 것을 특징으로 하는 방법.
  20. 제19항에 있어서, 형성 단계는 돌기 및 견부를 가진 상기 다단 구조물을 형성하는 단계를 더 포함하고, 상기 영역을 한정하는 단계는 상기 다층 구조물의 일부를 동시에 제거하여 돌기와 중첩하는 상기 기판의 영역 내 비아 부분을 형성하는 한편, 상기 다층 구조물을 플라즈마 에칭에 노출시킴으로써 상기 견부와 중첩하는 상기 에칭 선택성 층의 영역 내에 하드 마스크를 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  21. 제19항에 있어서, 형성 단계는 중합성 유체 조성물을 상기 기판 상에 침착시키는 단계, 상기 조성물을, 상기 다단 구조물의 외형과 상보적인 외형을 포함하는 릴리프 구조를 가진 몰드와 접촉시키는 단계 및 상기 조성물을 화학 방사선에 노출시켜 상기 조성물을 중합하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  22. 제19항에 있어서, 형성 단계는 실질적으로 규소가 없는 유기 중합성 재료로부터 상기 다단 구조물을 형성하는 단계를 더 포함하고, 피복 단계는 규소 함유 재료로부터 상기 에칭 선택성 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  23. 제21항에 있어서, 피복 단계는 상기 다단 구조물 상에 상기 중합성 유체 조성물을 배치하고, 상기 중합성 유체 조성물을, 실질적으로 평탄한 표면을 가진 몰드와 접촉시키며, 상기 중합성 유체 조성물을 중합시키는 조건에서 상기 중합성 유체 조성물을 처리함으로써 상기 에칭 선택성 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  24. 제21항에 있어서, 피복 단계는 상기 규소 함유 재료를 상기 다단 구조물에 스핀 코팅함으로써 상기 에칭 선택성 층을 배치하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  25. 제21항에 있어서, 형성 단계는 중합성 화합물 및 상기 중합성 화합물의 표면 에너지보다 작은 표면 에너지를 가진 계면활성제를 가진 재료로부터 상기 다단 구조물을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  26. 제21항에 있어서, 피복 단계는 중합성 화합물 및 상기 중합성 화합물의 표면 에너지보다 낮은 표면 에너지를 가진 계면활성제를 가진 재료로부터 상기 에칭 선택성 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  27. 제19항에 있어서, 상기 다단 구조물은 외형을 가진 층진 오목부를 포함하는 것을 특징으로 하는 방법.
  28. 제27항에 있어서, 웨이퍼 및 필름층으로부터 상기 기판을 형성하는 단계 및 상기 외형의 반전을 상기 필름층으로 전사하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  29. 제27항에 있어서, 상기 웨이퍼 및 상기 필름층으로부터 상기 기판을 형성하는 단계를 더 포함하고, 전사 단계는 상기 외형의 상기 반전을 상기 웨이퍼로 전사 하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  30. 제19항에 있어서, 상기 다단 구조물은 돌기 및 견부를 포함하는 이층 돌출부를 포함하고, 상기 돌기는 정점면을 가지며, 상기 견부는 상기 정점면 및 상기 기판으로부터 이격되고, 상기 이층 돌출부는 외형을 한정하는 것을 특징으로 하는 방법.
  31. 제30항에 있어서, 상기 웨이퍼 및 상기 필름층으로부터 상기 기판을 형성하는 단계를 더 포함하고, 전사 단계는 상기 외형의 상기 반전을 상기 필름층으로 전사하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  32. 제31항에 있어서, 상기 웨이퍼 및 상기 필름층으로부터 상기 기판을 형성하는 단계를 더 포함하고, 전사 단계는 상기 외형의 상기 반전을 상기 웨이퍼로 전사하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  33. 기판 상에 층진 구조물을 형성하는 방법으로서,
    돌기 및 견부를 포함하는 이층 돌출부를 가진 상기 기판 상에 패터닝된 층을 형성하는 단계로서, 상기 돌기는 정점면을 갖고, 상기 견부는 상기 정점면 및 상기 기판으로부터 이격되는 것인 단계;
    상기 돌출부를 에칭 선택성 층으로 피복하는 단계;
    상기 에칭 선택성 층의 일부를 제거하여 상기 정점면을 노출시키고, 상기 이층 돌추루의 나머지 부분은 상기 에칭 선택성 층에 의해 피복된 채로 함으로써 크라운 표면을 생성하는 단계;
    상기 이층 돌출부의 나머지 부분의 노출을 피하면서 상기 정점면 및 상기 정점면과 중첩하는 상기 패터닝된 층의 재료를 제거하는 단계;
    상기 이층 돌출부의 상기 나머지 부분과 중첩하는 상기 에칭 선택성 층의 영역을 제거하여 상기 견부를 노출시키는 단계; 및
    상기 견부 및 이와 중첩하는 상기 패터닝된 층을 제거하는 단계
    를 포함하는 것을 특징으로 하는 방법.
  34. 제33항에 있어서, 형성 단계는 중합성 유체 조성물을 상기 기판 상에 침착시키는 단계, 상기 조성물을, 상기 이층 돌출부의 외형에 상보적인 외형을 포함하는 릴리프 구조를 가진 몰드와 접촉시키는 단계 및 상기 조성물을 화학 방사선에 노출시켜 상기 조성물을 중합하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  35. 제33항에 있어서, 형성 단계는 실질적으로 규소가 없는 유기 중합성 재료로부터 상기 패터닝된 층을 형성하는 단계를 더 포함하고, 피복 단계는 규소 함유 재료로부터 상기 에칭 선택성 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  36. 제35항에 있어서, 상기 정점면의 제거 단계는 상기 크라운 표면을, 상기 이층 돌출부를 형성하는 재료와 고 반응성인 에칭 화학물질로 처리하는 단계를 더 포함하고, 상기 에칭 선택성 층을 형성하는 재료를 포함하는 상기 크라운 표면의 영역 내에 하드 마스크를 형성하는 것을 특징으로 하는 방법.
  37. 제35항에 있어서, 피복 단계는 상기 패터닝된 층 상에 중합성 유체 조성물을 배치하고, 상기 중합성 유체 조성물을, 실질적으로 평탄한 표면을 가진 몰드와 접촉시키며, 상기 중합성 유체 조성물을 중합시키는 조건에서 상기 중합성 유체 조성물을 처리함으로써 상기 에칭 선택성 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  38. 제35항에 있어서, 피복 단계는 규소 함유 재료를 상기 패터닝된 층에 스핀 코팅함으로써 상기 에칭 선택성 층을 배치하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  39. 제35항에 있어서, 형성 단계는 중합성 화합물 및 상기 중합성 화합물의 표면 에너지보다 작은 표면 에너지를 가진 계면활성제를 가진 재료로부터 상기 패터닝된 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  40. 제35항에 있어서, 피복 단계는 중합성 화합물 및 상기 중합성 화합물의 표면 에너지보다 낮은 표면 에너지를 가진 계면활성제를 가진 재료로부터 상기 에칭 선택성 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
KR1020057019984A 2003-04-25 2004-04-21 임프린트 리소그래피를 사용하는 층진 구조물의 형성 방법 KR20060004679A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/423,642 US7396475B2 (en) 2003-04-25 2003-04-25 Method of forming stepped structures employing imprint lithography
US10/423,642 2003-04-25

Publications (1)

Publication Number Publication Date
KR20060004679A true KR20060004679A (ko) 2006-01-12

Family

ID=33299177

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057019984A KR20060004679A (ko) 2003-04-25 2004-04-21 임프린트 리소그래피를 사용하는 층진 구조물의 형성 방법

Country Status (8)

Country Link
US (1) US7396475B2 (ko)
EP (1) EP1618602A2 (ko)
JP (1) JP2006524919A (ko)
KR (1) KR20060004679A (ko)
CN (1) CN1791967A (ko)
MY (1) MY139450A (ko)
TW (1) TW200502157A (ko)
WO (1) WO2004097518A2 (ko)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9409433B2 (en) 2013-06-11 2016-08-09 Ball Corporation Printing process using soft photopolymer plates
US9555616B2 (en) 2013-06-11 2017-01-31 Ball Corporation Variable printing process using soft secondary plates and specialty inks
KR20170117586A (ko) * 2015-02-27 2017-10-23 캐논 가부시끼가이샤 패터닝 방법, 가공 기판의 제조 방법, 광학 부품의 제조 방법, 회로 기판의 제조 방법, 및 전자 부품의 제조 방법
KR20170120138A (ko) * 2015-02-27 2017-10-30 캐논 가부시끼가이샤 패턴의 형성 방법, 가공 기판, 광학 부품, 회로 기판, 또는 전자 부품의 제조 방법
KR20180072553A (ko) * 2016-12-21 2018-06-29 캐논 가부시끼가이샤 함몰부를 포함하는 임프린트 리소그래피용 템플레이트 및 그러한 템플레이트를 이용하는 장치 및 방법
US10086602B2 (en) 2014-11-10 2018-10-02 Rexam Beverage Can South America Method and apparatus for printing metallic beverage container bodies
US10315411B2 (en) 2012-07-02 2019-06-11 Ball Beverage Can South America S.A. Device for printing cans, a process for printing cans, a printed can and a transfer blanket
US10549921B2 (en) 2016-05-19 2020-02-04 Rexam Beverage Can Company Beverage container body decorator inspection apparatus
US10675861B2 (en) 2014-12-04 2020-06-09 Ball Beverage Packaging Europe Limited Method and apparatus for printing cylindrical structures
US10739705B2 (en) 2016-08-10 2020-08-11 Ball Corporation Method and apparatus of decorating a metallic container by digital printing to a transfer blanket
US10754277B2 (en) 2016-08-10 2020-08-25 Ball Corporation Method and apparatus of decorating a metallic container by digital printing to a transfer blanket
US10976263B2 (en) 2016-07-20 2021-04-13 Ball Corporation System and method for aligning an inker of a decorator
US11034145B2 (en) 2016-07-20 2021-06-15 Ball Corporation System and method for monitoring and adjusting a decorator for containers

Families Citing this family (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) * 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
KR100953637B1 (ko) * 2003-07-07 2010-04-20 엘지전자 주식회사 광디스크 및 광디스크의 디스크정보 기록방법
US20050156353A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Method to improve the flow rate of imprinting material
US7060625B2 (en) * 2004-01-27 2006-06-13 Hewlett-Packard Development Company, L.P. Imprint stamp
US7906180B2 (en) * 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7435074B2 (en) * 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
US20050253307A1 (en) * 2004-05-11 2005-11-17 Molecualr Imprints, Inc. Method of patterning a conductive layer on a substrate
US7241395B2 (en) * 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US7041604B2 (en) * 2004-09-21 2006-05-09 Molecular Imprints, Inc. Method of patterning surfaces while providing greater control of recess anisotropy
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7676088B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US7691275B2 (en) * 2005-02-28 2010-04-06 Board Of Regents, The University Of Texas System Use of step and flash imprint lithography for direct imprinting of dielectric materials for dual damascene processing
JP4641835B2 (ja) * 2005-03-16 2011-03-02 リコー光学株式会社 位相シフター光学素子の製造方法及び得られる素子
JP2006278622A (ja) * 2005-03-29 2006-10-12 Tdk Corp 凹凸パターン形成方法及び情報記録媒体の製造方法
JP4736522B2 (ja) * 2005-04-28 2011-07-27 旭硝子株式会社 エッチング処理された処理基板の製造方法
JP4742665B2 (ja) * 2005-04-28 2011-08-10 旭硝子株式会社 エッチング処理された処理基板の製造方法
US7256131B2 (en) * 2005-07-19 2007-08-14 Molecular Imprints, Inc. Method of controlling the critical dimension of structures formed on a substrate
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8846195B2 (en) * 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US8808808B2 (en) * 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US7419611B2 (en) * 2005-09-02 2008-09-02 International Business Machines Corporation Processes and materials for step and flash imprint lithography
US7259102B2 (en) * 2005-09-30 2007-08-21 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
FR2893018B1 (fr) * 2005-11-09 2008-03-14 Commissariat Energie Atomique Procede de formation de supports presentant des motifs, tels que des masques de lithographie.
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
CN104317161A (zh) 2005-12-08 2015-01-28 分子制模股份有限公司 用于衬底双面图案形成的方法和系统
US7517211B2 (en) 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
GB2436163A (en) * 2006-03-10 2007-09-19 Seiko Epson Corp Device fabrication by ink-jet printing materials into bank structures, and embossing tool
JP4861044B2 (ja) * 2006-04-18 2012-01-25 キヤノン株式会社 基板の加工方法、パターン領域を有する部材の製造方法
JP4810319B2 (ja) * 2006-06-09 2011-11-09 キヤノン株式会社 加工装置及びデバイス製造方法
DE102006030267B4 (de) * 2006-06-30 2009-04-16 Advanced Micro Devices, Inc., Sunnyvale Nano-Einprägetechnik mit erhöhter Flexibilität in Bezug auf die Justierung und die Formung von Strukturelementen
US7718077B1 (en) * 2006-07-25 2010-05-18 Hewlett-Packard Development Company, L.P. Fabricating a structure usable in an imprint lithographic process
US20080102380A1 (en) * 2006-10-30 2008-05-01 Mangat Pawitter S High density lithographic process
US20080264553A1 (en) * 2007-04-27 2008-10-30 Hewlett-Packard Development Company Lp Embossing
US7794614B2 (en) * 2007-05-29 2010-09-14 Qimonda Ag Methods for generating sublithographic structures
US8142702B2 (en) * 2007-06-18 2012-03-27 Molecular Imprints, Inc. Solvent-assisted layer formation for imprint lithography
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
US7795132B2 (en) * 2007-07-31 2010-09-14 Molecular Imprints, Inc. Self-aligned cross-point memory fabrication
JP5473266B2 (ja) * 2007-08-03 2014-04-16 キヤノン株式会社 インプリント方法および基板の加工方法、基板の加工方法による半導体デバイスの製造方法
US20090053535A1 (en) * 2007-08-24 2009-02-26 Molecular Imprints, Inc. Reduced Residual Formation in Etched Multi-Layer Stacks
CN101868760B (zh) * 2007-11-21 2013-01-16 分子制模股份有限公司 用于纳米刻印光刻的多孔模板及方法、以及刻印层叠物
US7906274B2 (en) * 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
KR20100094501A (ko) * 2007-11-28 2010-08-26 몰레큘러 임프린츠 인코퍼레이티드 나노구조의 유기 태양전지
US20090147237A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Spatial Phase Feature Location
US20090166317A1 (en) * 2007-12-26 2009-07-02 Canon Kabushiki Kaisha Method of processing substrate by imprinting
US8466068B2 (en) * 2007-12-31 2013-06-18 Sandisk 3D Llc Methods and apparatus for forming memory lines and vias in three dimensional memory arrays using dual damascene process and imprint lithography
US20100301449A1 (en) * 2007-12-31 2010-12-02 Sandisk 3D Llc Methods and apparatus for forming line and pillar structures for three dimensional memory arrays using a double subtractive process and imprint lithography
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100090341A1 (en) * 2008-10-14 2010-04-15 Molecular Imprints, Inc. Nano-patterned active layers formed by nano-imprint lithography
US8415010B2 (en) * 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US8652393B2 (en) * 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8309008B2 (en) * 2008-10-30 2012-11-13 Molecular Imprints, Inc. Separation in an imprint lithography process
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US20100109205A1 (en) * 2008-11-04 2010-05-06 Molecular Imprints, Inc. Photocatalytic reactions in nano-imprint lithography processes
US20100109195A1 (en) * 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
SG174889A1 (en) * 2009-03-23 2011-11-28 Intevac Inc A process for optimization of island to trench ratio in patterned media
US9164375B2 (en) 2009-06-19 2015-10-20 Canon Nanotechnologies, Inc. Dual zone template chuck
US8913230B2 (en) 2009-07-02 2014-12-16 Canon Nanotechnologies, Inc. Chucking system with recessed support feature
US20110031650A1 (en) * 2009-08-04 2011-02-10 Molecular Imprints, Inc. Adjacent Field Alignment
US20110030770A1 (en) * 2009-08-04 2011-02-10 Molecular Imprints, Inc. Nanostructured organic solar cells
WO2011025522A1 (en) * 2009-08-26 2011-03-03 Molecular Imprints, Inc. Functional nanoparticles
US20110048518A1 (en) 2009-08-26 2011-03-03 Molecular Imprints, Inc. Nanostructured thin film inorganic solar cells
US20110084417A1 (en) * 2009-10-08 2011-04-14 Molecular Imprints, Inc. Large area linear array nanoimprinting
US8227339B2 (en) 2009-11-02 2012-07-24 International Business Machines Corporation Creation of vias and trenches with different depths
TWI386761B (zh) * 2009-11-06 2013-02-21 Univ Nat Cheng Kung 多階式接觸轉印製程
WO2011066450A2 (en) 2009-11-24 2011-06-03 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithography
US20110140304A1 (en) 2009-12-10 2011-06-16 Molecular Imprints, Inc. Imprint lithography template
US8616873B2 (en) * 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US8980751B2 (en) 2010-01-27 2015-03-17 Canon Nanotechnologies, Inc. Methods and systems of material removal and pattern transfer
US20110180127A1 (en) * 2010-01-28 2011-07-28 Molecular Imprints, Inc. Solar cell fabrication by nanoimprint lithography
US8691134B2 (en) 2010-01-28 2014-04-08 Molecular Imprints, Inc. Roll-to-roll imprint lithography and purging system
WO2011094696A2 (en) 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-compliant nanoimprint lithography template
EP2529274B1 (en) 2010-01-29 2014-10-08 Canon Nanotechnologies, Inc. Nanoimprint lithography processes for forming nanoparticles
US8961852B2 (en) * 2010-02-05 2015-02-24 Canon Nanotechnologies, Inc. Templates having high contrast alignment marks
US20110193251A1 (en) 2010-02-09 2011-08-11 Molecular Imprints, Inc. Process Gas Confinement for Nano-Imprinting
JP5833636B2 (ja) 2010-04-27 2015-12-16 モレキュラー・インプリンツ・インコーポレーテッド ナノインプリント・リソグラフィのテンプレート製作方法およびそのシステム
US9070803B2 (en) 2010-05-11 2015-06-30 Molecular Imprints, Inc. Nanostructured solar cell
CN102259831A (zh) * 2010-05-27 2011-11-30 清华大学 三维纳米结构阵列
US8891080B2 (en) 2010-07-08 2014-11-18 Canon Nanotechnologies, Inc. Contaminate detection and substrate cleaning
US8541053B2 (en) 2010-07-08 2013-09-24 Molecular Imprints, Inc. Enhanced densification of silicon oxide layers
JP5852123B2 (ja) 2010-09-24 2016-02-03 モレキュラー・インプリンツ・インコーポレーテッド 多段インプリントによるハイコントラストな整列マーク
EP2635419B1 (en) 2010-11-05 2020-06-17 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
CN103282303A (zh) 2010-11-05 2013-09-04 分子制模股份有限公司 使用双释放层的功能纳米颗粒的纳米压印光刻形成
CN102566258B (zh) * 2010-12-29 2013-09-18 中芯国际集成电路制造(上海)有限公司 双压印方法
US8926888B2 (en) 2011-02-25 2015-01-06 Board Of Regents, The University Of Texas System Fluorinated silazane release agents in nanoimprint lithography
JP6306501B2 (ja) 2011-04-25 2018-04-04 キヤノン ナノテクノロジーズ,インコーポレーテッド テンプレートおよびテンプレートを基板と位置合わせするための方法
SG10201608504SA (en) 2011-12-19 2016-12-29 Canon Nanotechnologies Inc Fabrication of seamless large area master templates for imprint lithography
US20140014621A1 (en) * 2012-07-16 2014-01-16 Zhaoning Yu Analysis of pattern features
SG11201505712VA (en) 2013-03-15 2015-08-28 Canon Nanotechnologies Inc Nano imprinting with reusable polymer template with metallic or oxide coating
WO2015006695A1 (en) 2013-07-12 2015-01-15 Canon Nanotechnologies, Inc. Drop pattern generation for imprint lithography with directionally-patterned templates
US10160145B2 (en) * 2013-09-03 2018-12-25 STRATEC CONSUMABLES GmbH Microfluidic device
JP6526653B2 (ja) 2013-11-08 2019-06-05 キャノン・ナノテクノロジーズ・インコーポレーテッド 改善されたオーバレイ補正のための低接触インプリントリソグラフィテンプレート用チャックシステム
TWI647738B (zh) 2013-12-10 2019-01-11 佳能奈米科技股份有限公司 用於零間隙壓印之壓印微影模板及方法
WO2015103232A1 (en) * 2013-12-30 2015-07-09 Canon Nanotechnologies, Inc. Methods for uniform imprint pattern transfer of sub-20 nm features
WO2015103370A1 (en) 2013-12-31 2015-07-09 Canon Nanotechnologies, Inc. Asymmetric template shape modulation for partial field imprinting
KR102279239B1 (ko) 2014-07-25 2021-07-19 삼성전자주식회사 임프린트 공정을 이용한 역상 패턴 전사방법
RU2593633C1 (ru) * 2015-05-14 2016-08-10 Федеральное государственное автономное образовательное учреждение высшего образования "Дальневосточный федеральный университет" Способ формирования упорядоченных структур на поверхности полупроводниковых подложек
JP6437387B2 (ja) * 2015-05-25 2018-12-12 東芝メモリ株式会社 基板平坦化方法
US20170066208A1 (en) 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
US10488753B2 (en) 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
WO2017044421A1 (en) * 2015-09-08 2017-03-16 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
JP6646888B2 (ja) * 2015-09-09 2020-02-14 大日本印刷株式会社 凸状構造体、凹状構造体、及び凸状構造体の製造方法
US10131134B2 (en) 2015-10-30 2018-11-20 Canon Kabushiki Kaisha System and method for discharging electrostatic charge in nanoimprint lithography processes
US10211051B2 (en) 2015-11-13 2019-02-19 Canon Kabushiki Kaisha Method of reverse tone patterning
US10654216B2 (en) 2016-03-30 2020-05-19 Canon Kabushiki Kaisha System and methods for nanoimprint lithography
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10095106B2 (en) 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
US10189188B2 (en) 2016-05-20 2019-01-29 Canon Kabushiki Kaisha Nanoimprint lithography adhesion layer
US9993962B2 (en) * 2016-05-23 2018-06-12 Canon Kabushiki Kaisha Method of imprinting to correct for a distortion within an imprint system
TWI610804B (zh) * 2016-05-23 2018-01-11 國立成功大學 節能玻璃及其製造方法
KR102336560B1 (ko) 2016-05-25 2021-12-08 다이니폰 인사츠 가부시키가이샤 템플릿 및 템플릿 블랭크, 그리고 임프린트용 템플릿 기판의 제조 방법, 임프린트용 템플릿의 제조 방법 및 템플릿
US10509313B2 (en) 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
CA3036537A1 (en) 2016-09-21 2018-03-29 Molecular Imprints, Inc. Microlithographic fabrication of structures
US9761450B1 (en) * 2016-09-26 2017-09-12 International Business Machines Corporation Forming a fin cut in a hardmask
US10035296B2 (en) 2016-10-13 2018-07-31 Canon Kabushiki Kaisha Methods for controlling spread of imprint material
CN109937127B (zh) * 2016-10-18 2021-06-08 分子印记公司 结构的显微光刻制造
US10549313B2 (en) 2016-10-31 2020-02-04 Canon Kabushiki Kaisha Edge field imprint lithography
US10627715B2 (en) 2016-10-31 2020-04-21 Canon Kabushiki Kaisha Method for separating a nanoimprint template from a substrate
US11454883B2 (en) 2016-11-14 2022-09-27 Canon Kabushiki Kaisha Template replication
US10969680B2 (en) 2016-11-30 2021-04-06 Canon Kabushiki Kaisha System and method for adjusting a position of a template
JP6685886B2 (ja) * 2016-12-08 2020-04-22 キオクシア株式会社 テンプレート及び半導体装置の製造方法
US10578984B2 (en) 2016-12-20 2020-03-03 Canon Kabushiki Kaisha Adaptive chucking system
US10079152B1 (en) 2017-02-24 2018-09-18 Canon Kabushiki Kaisha Method for forming planarized etch mask structures over existing topography
US9971249B1 (en) 2017-02-27 2018-05-15 Canon Kabushiki Kaisha Method and system for controlled ultraviolet light exposure
US10317793B2 (en) 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
US10303049B2 (en) 2017-03-22 2019-05-28 Canon Kabushiki Kaisha Reducing electric charge in imprint lithography
US10534259B2 (en) 2017-03-28 2020-01-14 Canon Kabushiki Kaisha Method and system for imprint force control
US10996560B2 (en) 2017-07-31 2021-05-04 Canon Kabushiki Kaisha Real-time correction of template deformation in nanoimprint lithography
US10866510B2 (en) 2017-07-31 2020-12-15 Canon Kabushiki Kaisha Overlay improvement in nanoimprint lithography
US10606170B2 (en) 2017-09-14 2020-03-31 Canon Kabushiki Kaisha Template for imprint lithography and methods of making and using the same
US10935883B2 (en) 2017-09-29 2021-03-02 Canon Kabushiki Kaisha Nanoimprint template with light blocking material and method of fabrication
US11036130B2 (en) 2017-10-19 2021-06-15 Canon Kabushiki Kaisha Drop placement evaluation
US10788749B2 (en) 2017-11-30 2020-09-29 Canon Kabushiki Kaisha System and method for improving the throughput of a nanoimprint system
US10409178B2 (en) 2017-12-18 2019-09-10 Canon Kabushiki Kaisha Alignment control in nanoimprint lithography based on real-time system identification
US10996561B2 (en) 2017-12-26 2021-05-04 Canon Kabushiki Kaisha Nanoimprint lithography with a six degrees-of-freedom imprint head module
JP2020035924A (ja) * 2018-08-30 2020-03-05 キオクシア株式会社 原版
JP7414597B2 (ja) 2020-03-12 2024-01-16 キオクシア株式会社 配線形成方法
JP2021145076A (ja) 2020-03-13 2021-09-24 キオクシア株式会社 原版および半導体装置の製造方法
US11815811B2 (en) 2021-03-23 2023-11-14 Canon Kabushiki Kaisha Magnification ramp scheme to mitigate template slippage

Family Cites Families (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3810874A (en) 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
FR2325018A1 (fr) * 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (ja) * 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4426247A (en) * 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
FR2538923A1 (fr) * 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4614667A (en) 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) * 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
DE3760773D1 (en) * 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US4936951A (en) 1987-10-26 1990-06-26 Matsushita Electric Industrial Co., Ltd. Method of reducing proximity effect in electron beam resists
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
ES2103261T3 (es) 1989-04-24 1997-09-16 Siemens Ag Procedimiento para la generacion de estructuras resistentes a la corrosion.
US5110514A (en) * 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) * 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
JP3197010B2 (ja) * 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5206983A (en) * 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5421981A (en) * 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0580530A (ja) * 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5263073A (en) * 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (ko) * 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) * 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5389696A (en) 1993-09-17 1995-02-14 Miles Inc. Process for the production of molded products using internal mold release agents
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
NL9401260A (nl) * 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5434107A (en) 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5542978A (en) 1994-06-10 1996-08-06 Johnson & Johnson Vision Products, Inc. Apparatus for applying a surfactant to mold surfaces
US5837314A (en) 1994-06-10 1998-11-17 Johnson & Johnson Vision Products, Inc. Method and apparatus for applying a surfactant to mold surfaces
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5900881A (en) * 1995-03-22 1999-05-04 Ikedo; Tsuneo Computer graphics circuit
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
WO1997007429A1 (en) * 1995-08-18 1997-02-27 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5597438A (en) * 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US5684066A (en) 1995-12-04 1997-11-04 H.B. Fuller Licensing & Financing, Inc. Protective coatings having enhanced properties
US5725788A (en) * 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
JPH09311460A (ja) * 1996-05-22 1997-12-02 Nikon Corp レジストパターン及び成形金型の製造方法
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6039897A (en) * 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
US5902491A (en) * 1996-10-07 1999-05-11 Micron Technology, Inc. Method of removing surface protrusions from thin films
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
JPH11330235A (ja) * 1998-05-11 1999-11-30 Sony Corp 半導体装置の絶縁層加工方法および半導体装置の絶縁層加工装置
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
KR100335070B1 (ko) * 1999-04-21 2002-05-03 백승준 압축 성형 기법을 이용한 미세 패턴 형성 방법
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
KR100702741B1 (ko) * 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
TW442961B (en) * 1999-10-08 2001-06-23 Taiwan Semiconductor Mfg Manufacturing method of double-recess crown capacitor of DRAM
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
AU779699B2 (en) * 1999-12-23 2005-02-10 Universitat Konstanz Methods and apparatus for forming submicron patterns on films
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6566258B1 (en) * 2000-05-10 2003-05-20 Applied Materials, Inc. Bi-layer etch stop for inter-level via
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
CN100347608C (zh) * 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US20030080472A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6890688B2 (en) * 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
JP3821069B2 (ja) * 2002-08-01 2006-09-13 株式会社日立製作所 転写パターンによる構造体の形成方法
US6820677B2 (en) * 2002-08-20 2004-11-23 Ford Motor Company Method of making a spray formed article
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
TWI228638B (en) * 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7241395B2 (en) * 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10315411B2 (en) 2012-07-02 2019-06-11 Ball Beverage Can South America S.A. Device for printing cans, a process for printing cans, a printed can and a transfer blanket
US9555616B2 (en) 2013-06-11 2017-01-31 Ball Corporation Variable printing process using soft secondary plates and specialty inks
US9962924B2 (en) 2013-06-11 2018-05-08 Ball Corporation Apparatus for forming high definition lithographic images on containers
US10850497B2 (en) 2013-06-11 2020-12-01 Ball Corporation Apparatus and method for forming high definition lithographic images on containers
US10195842B2 (en) 2013-06-11 2019-02-05 Ball Corporation Apparatus for forming high definition lithographic images on containers
US9409433B2 (en) 2013-06-11 2016-08-09 Ball Corporation Printing process using soft photopolymer plates
US10086602B2 (en) 2014-11-10 2018-10-02 Rexam Beverage Can South America Method and apparatus for printing metallic beverage container bodies
US10675861B2 (en) 2014-12-04 2020-06-09 Ball Beverage Packaging Europe Limited Method and apparatus for printing cylindrical structures
US10856422B2 (en) 2015-02-27 2020-12-01 Canon Kabushiki Kaisha Method of forming pattern on a substrate
KR20170117586A (ko) * 2015-02-27 2017-10-23 캐논 가부시끼가이샤 패터닝 방법, 가공 기판의 제조 방법, 광학 부품의 제조 방법, 회로 기판의 제조 방법, 및 전자 부품의 제조 방법
KR20170120138A (ko) * 2015-02-27 2017-10-30 캐논 가부시끼가이샤 패턴의 형성 방법, 가공 기판, 광학 부품, 회로 기판, 또는 전자 부품의 제조 방법
US10395943B2 (en) 2015-02-27 2019-08-27 Canon Kabushiki Kaisha Patterning method, method for producing processed substrate, method for producing optical component, method for producing circuit board, and method for producing electronic component
US10549921B2 (en) 2016-05-19 2020-02-04 Rexam Beverage Can Company Beverage container body decorator inspection apparatus
US10976263B2 (en) 2016-07-20 2021-04-13 Ball Corporation System and method for aligning an inker of a decorator
US11034145B2 (en) 2016-07-20 2021-06-15 Ball Corporation System and method for monitoring and adjusting a decorator for containers
US10754277B2 (en) 2016-08-10 2020-08-25 Ball Corporation Method and apparatus of decorating a metallic container by digital printing to a transfer blanket
US10739705B2 (en) 2016-08-10 2020-08-11 Ball Corporation Method and apparatus of decorating a metallic container by digital printing to a transfer blanket
US11099502B2 (en) 2016-08-10 2021-08-24 Ball Corporation Method and apparatus of decorating a metallic container by digital printing to a transfer blanket
US11703778B2 (en) 2016-08-10 2023-07-18 Ball Corporation Method and apparatus of decorating a metallic container by digital printing to a transfer blanket
KR20180072553A (ko) * 2016-12-21 2018-06-29 캐논 가부시끼가이샤 함몰부를 포함하는 임프린트 리소그래피용 템플레이트 및 그러한 템플레이트를 이용하는 장치 및 방법

Also Published As

Publication number Publication date
WO2004097518A3 (en) 2005-07-21
US7396475B2 (en) 2008-07-08
TW200502157A (en) 2005-01-16
WO2004097518A2 (en) 2004-11-11
EP1618602A2 (en) 2006-01-25
CN1791967A (zh) 2006-06-21
MY139450A (en) 2009-10-30
JP2006524919A (ja) 2006-11-02
US20040211754A1 (en) 2004-10-28

Similar Documents

Publication Publication Date Title
KR20060004679A (ko) 임프린트 리소그래피를 사용하는 층진 구조물의 형성 방법
US7261831B2 (en) Positive tone bi-layer imprint lithography method
KR101139302B1 (ko) 리버스 톤 공정을 사용하는 리세스형 구조물 형성 방법
US7947608B2 (en) Positive tone bi-layer method
US7691275B2 (en) Use of step and flash imprint lithography for direct imprinting of dielectric materials for dual damascene processing
KR101121015B1 (ko) 모세관 임프린트 기술
US7709373B1 (en) System and method for imprint lithography to facilitate dual damascene integration in a single imprint act
US20050202350A1 (en) Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning
US20070283883A1 (en) System and method for imprint lithography to facilitate dual damascene integration with two imprint acts
US20060063112A1 (en) Pattern reversal employing thick residual layers
JP5848386B2 (ja) インサイチュ嵌込み構造物形成方法
EP1796159B1 (en) Method for manufacturing a semiconductor device by using a dual damascene process
Stewart et al. Direct imprinting of dielectric materials for dual damascene processing
US7517637B2 (en) Method of producing self-aligned mask in conjunction with blocking mask, articles produced by same and composition for same
TW548711B (en) Plasma enhanced method for increasing silicon-containing photoresist selectivity
KR20030049574A (ko) 반도체 소자의 미세 라인 패턴 형성방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid