TWI250560B - Single phase fluid imprint lithography method - Google Patents

Single phase fluid imprint lithography method Download PDF

Info

Publication number
TWI250560B
TWI250560B TW093129415A TW93129415A TWI250560B TW I250560 B TWI250560 B TW I250560B TW 093129415 A TW093129415 A TW 093129415A TW 93129415 A TW93129415 A TW 93129415A TW I250560 B TWI250560 B TW I250560B
Authority
TW
Taiwan
Prior art keywords
fluid
substrate
template
injecting
module
Prior art date
Application number
TW093129415A
Other languages
English (en)
Other versions
TW200518188A (en
Inventor
Ian M Mcmackin
Daniel A Babbs
Duane J Voth
Michael P C Watts
Van N Truskett
Original Assignee
Molecular Imprints Inc
Univ Texas
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc, Univ Texas filed Critical Molecular Imprints Inc
Publication of TW200518188A publication Critical patent/TW200518188A/zh
Application granted granted Critical
Publication of TWI250560B publication Critical patent/TWI250560B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S425/00Plastic article or earthenware shaping or treating: apparatus
    • Y10S425/06Vacuum
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S425/00Plastic article or earthenware shaping or treating: apparatus
    • Y10S425/815Chemically inert or reactive atmosphere
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/909Controlled atmosphere

Description

1250560 九、發明說明: 【發明所屬之技術領域1 本發明的領域概有關於壓印技術。更具言之,本發明 係在壓印微影製程中藉減少或消除壓印層内所存在的氣 5 體,而來減少圖案的失真變形者。 【先前技術3 發明背景 微製造係包括製造非常小的結構,例如具有微米尺寸 或更小特徵的細構。一曾受微製造甚大衝擊的領域係為積 10 體電路的處理。因為半導體製造產業持續致力於提高生產 良率,並同時增加在一基板上之每單位面積所設的電路, 故微製造變得愈來愈重要。微製造可提供更大的製程控 制,並能減少所設結構的最小特徵尺寸。其它已使用微製 造的發展領域包括生物科技、光學技術、機械系統等等。 15 一微製造技術之例曾示於Willson等人的第6,334,960號 美國專利中,Wmson等人揭露在一結構中製造一凸紋影像 的方法。該方法包括提供一具有一移轉層的基材。該移轉 層會被覆以一可聚合化流體成分。一模件會與該可聚合流 體形成機械式接觸。該模件包含一凸紋結構,而該可聚合 20 流體成分會填滿其凸紋結構。該可聚合成分嗣會接受處理 來固化並聚合化,而在該移轉層上形成一固化的聚合材 料,其會含有一凸紋結構互補於該模件的凸紋。該模件嗣 會與該固體聚合材料分開,而使該模件中的凸紋結構之一 複製圖案形成於該固化的聚合材料中。該移轉層與該固化 1250560 的聚合材料嗣會被置於一環境中而相對於該聚合材料來選 擇性地蝕刻該移轉層,以使一凸紋影像形成於該移轉層 中。該技術所需的時間和所能提供的最小特徵尺寸係取決 於該可聚合化材料的成分等等。 5 另於Chou的第5,772,905號美國專利中揭露一種可在一 基材上的薄膜中造成超細(25nm以下)圖案的微影製法和裝 置,其中有一模件具有至少一凸出細構而會被壓入一設在 一基材上的薄膜中。在該模件中的凸出細構會造成該薄膜 上的凹槽。該模件會由該薄膜上移除。該薄膜嗣會被處理, 10 以將該凹槽内的薄膜除去,而曝露出底下的基材。如此, 該模件中的圖案即會複製於該薄膜中,而完成該微影製 法。在後續製程中,在該薄膜内的圖案將會被重製於該基 材中或者被附設於基材上的其它材料中。 又另一種壓印微影技術係由Chou等人揭於2002年1月 15 份的 Nature,Col.417,ρρ·835〜837 之“Ultrafast and Direct Imprint of Nanostructures in Silicon” 中,其係被稱為雷射輔 助的直接壓印(LADI)法。於此製法中,一基材之一區域會 被以雷射來加熱而形成可流動的,譬如被液化。在該區域 達到一所需黏度之後,一其上具有一圖案的模件會被置入 20 來與該區域接觸。該可流動區域會順應於該圖案的廓形, 嗣會被冷卻而將該圖案固化於該基材中。上述技術之一問 題係會由於大氣存在靠近於該可流動區域而造成圖案的變 形失真。 因此,乃期能提供一種系統來減少使用壓印微影技術 1250560 所製成之圖案中的變形失真。 【發明内容】 發明概要 本發明係有關一種可大量減少存在於一層沉積在一基 5 材上之黏性液體中的氣袋而來消減圖案變形的方法。其 中,該方法包括以和該黏性液體具有不同遷移特性的氣體 來配佈靠近於該模件。具言之,即令靠近於該基材的氛圍 充滿某些氣體,其比被沉積的黏性液體具有較高的溶解度 及/或較高的擴散性。可附加地或取代提供上述的氛圍,該 10 氛圍的壓力亦可被減少。使用這種方法可促進該等無變形 壓印物的快速製造。這些及其它的實施例將會更完整地詳 述於後。 圖式簡單說明 第1圖為本發明之一微影系統的立體圖; 15 第2圖為第1圖所示之微影系統的簡化平面圖; 第3圖為一用來製造第2圖之壓印層的材料在被聚合化 及交鏈之前的簡化示意圖; 第4圖為第3圖所示的材料在被照射輻射之後轉變成交 鏈聚合材料的簡化示意圖; 20 第5圖為第1圖所示的模件在圖案化該壓印層之後與該 壓印層間隔分開的簡化平面圖; 第6圖為第5圖所示的基材在第一壓印層的圖案移轉於 其上之後,有一添加的壓印層佈設於該基材頂上的簡化平 面圖; 7 1250560 第7圖為第1圖所示之印頭的立體圖; 第8圖為本發明之一吸盤系統的截面圖; 胃9®為第7圖所示之印頭的截面圖;及 第10圖為第9圖所示之印頭的底視立體圖。 5 【實施冷式】 本發明的詳細|兒明 第1圖不出本發明之一實施例的光微影系統丨〇,其包含 一對間隔分開的橋座12具有一橋架14與一枱座16延伸其 間。该橋架14和枱座16係間隔分開。有一印頭18連結於該 10橋架14,其會由該橋架14朝向枱座16延伸,並能沿z軸移 動。有一移動枱20設在枱座16上而面對印頭18。該移動枱 20係可沿X及Y軸來相對於枱座16移動。應請暸解該印頭18 亦可沿X和Y軸移動,如同其在Z軸移動;且移動枱2〇亦可 沿Z軸移動,如同其在X和γ軸移動。有一移動枱裝置之例 15係被揭於2002年7月11曰申請之No· 10/194414美國專利申 請案中,其名稱為“逐步重複的壓印微影法”,亦被讓渡給 本發明的受讓人’其内容併此附送。一輻射源22會被連結 於該光微影系統10上,而可將光化性輻射投射於該活動枱 20上。如所示,該輻射源22係連結於橋架14並包含一電源 20產生器23連接於該輻射源22。該微影系統10的操作典型係 由一資料導通的處理器25來控制。 請參閱第 ,該木 板26上設有〆模件28。該模件28包含多數的特徵細構係^ 多數間隔分開的凹部28a和凸部28b所形成。該等細構會 1250560 成一原始圖案,其會被移轉至一被置於活動枱2〇上的基材 30中。其中,該印頭18及/或活動枱20可改變模件28和基材 30之間的距離“d”。以此方式,在模件28上的細構將可被壓 印於基材之一可流動區域中,如後所詳述。該輻射源22係 5 被設成令模件28位於輻射源22和基材30之間。因此,製成 s亥极件28的材料應能充分地透射該輕射源22所產生的幸畐 射0 請參閱第2及3圖,一可流動區域例如一壓印層34會被 設在一表面32的一部份上,該表面32係呈平坦的廓形。該 10 可流動區係可使用任何習知的技術來形成,例如第 5,772,905號美國專利中所揭的熱壓花法(其内容併此附 送),或由 Chou 等人在 “Ultrafast and Direct Imprint of Nanostructures in Silicon,,Nature,Col. 417,ρρ·835〜837, June 2002中所揭的該種雷射輔助直接壓印(LADI)法。又, 15 該可流動區亦可包含一黏性流體的旋塗膜,其可被成型並 固化來形成一固體複製物。但在本實施例中,該壓印層34 構成的可流動區域係在基材30上沉積許多間隔分開的個別 材料滴36所形成’此將詳細說明於後。一用來沉積該等材 料滴36的系統之例曾被揭於2〇〇2年7月9日申請之No· 20 l〇/191749美國專利申請案中,其名稱為“用來配佈液體的系 統和方法”,亦被讓渡給本發明的受讓人,且其内容併此附 送。壓印層34係由材料36a所製成,其可被選擇地聚合化並 交鏈來在其中記錄原始圖案,而形成一複製圖案。該材料 36a之一成分例係被揭於2〇〇3年6月16日申請之No. 1250560 10/463396美國專利申請案中,其名稱為“用來減少—可順形 區與-核件圖案間之黏附的方法”,此内容亦併此附送。該 材料3如如帛4圖所示會在各點她處交键結合,而形成一交 鍵聚合材料36c。 5 p清茶閱第2、3、5圖,被記錄在麼印層34中的圖案有部 份係藉該模件28的機械接觸而來形成。其中,該距離“d,,會 被減J以使液滴36能與模件28接觸,致令該等液滴%擴散 延展開來而在該表面32上以連續的材料36a形成壓印層 34。在一實施例中,該距離“d”會被縮減而使該壓印層34的 1〇次部份34a等擠入凹部28a中並將之填滿。 為便於填滿該等凹部28a,故材料36a會具有所需的特 性俾完全填滿凹部28a,並以連續形成的材料36&來覆蓋該 表面32。在本實施例中,該壓印層34的次部份341^等係可在 達到所需的(通常為最小的)距離“d”之後保持與各凸部28b 15重疊,而令次部份34a具有一厚度t,,且次部份341)具有一厚 度t2。該厚度“t Γ,與“V,乃可視其用途而為任何所需的厚产。 通常,^會被選成不大於次部份34a之寬度u的兩倍,即 ti$2u,此乃詳示於第5圖中。 請參閱第2、3、4圖,在達到一所需距離“d,,之後,該 20韓射源22會產生光化性幸昌射,其可聚合化及交鏈該㈣二 來形成交鏈聚合材料36c。結果,該壓印層34的成分合由材 料36c轉變成交鏈的聚合材料3仏,其係為固體。具士之, "亥父鏈聚合材料36c會被固化而使該壓印層34的一面具 有一形狀順應於該模件28之表面28c的形狀,如第5圖所 1250560 示。在該壓印層34被轉變成如第4圖所示的交鏈聚合材料 36c之後,科㈣如第所㈣被軸來增大該距離 “d”’而使該模件28與在壓印層34間隔分開。 請參閱第5圖’附加的處理步驟亦可被用來完成該基材 3〇的圖案化。例如卩層34和基㈣可祕刻來將壓 印層34的圖轉轉於該基材财,而形成—圖案化表面 士第6圖所π。為便於姓刻,該壓印層%的材料乃可 依需要而改變,以使其能與該基材3()形成—相雜刻率。 該壓印層34與基材3〇的相對敍刻率可在約m職】之 可另擇或附加地,該壓印層34亦得與被選擇性沉積其 上的光阻材料(未示出)賦具__率差。該光阻材料(未矛 出Μ系可被設來使用習知的技術進一步地圖案化該壓印層 34。任何_法皆可使用,乃取決於所需的_速率以及 15構成基材3〇和壓印層34的成分。蝕刻方法之例可包括電漿 蝕刻,反應離子蝕刻,化學濕蝕刻等等。 Κ 請參閱第7及8圖,其上設有模物賴板%會經由— 吸盤系統40來連結於一印頭殼18a,該系統4〇包含一吸盤本 >體42。該本體42可利用真空技術來吸持其上附設有模仙 〇的杈板26。其中,該本體42含有一或多數凹槽42a等會導通 一壓力控制系統,例如一流體供應系統7〇。該流體供應系 統70可包含一或多個泵來提供正應力及負壓力,並能供應 流體來消減或防止氣體(例如空氣)滞陷於第5圖所示的壓印 層34中。一吸盤系統之例曾被揭於Ν〇· 1〇/293224美國專利 !250560 申請案中,其名稱為“可調制基材形狀的吸盤系統”,亦被 讓渡於本發明的受讓人,其内容併此附送。 如上所述,當壓印模板26與模件28被帶至靠近該壓印 材料36a尚未圖案化的基材30時,會被置於一區域77上。具 5言之,該模板26會被帶至該基材30的數十微米内,例如15 微米左右。已發現最好能對靠近該模板26與該區域之氛圍 78進行局部控制。例如,為避免氣體的有毒作用,及/或存 在於壓印材料36a中的氣袋,及/或後續滯陷於圖案化之壓印 層34中的氣袋,已發現若能加以控制該氛圍78中的流體成 10分,及/或該氛圍78的壓力乃是有所助益的。 請參閱第9圖,為便於控制該氛圍78,該吸盤本體42 會被設計成使該模件28附近的流體能容易通過,且該印頭 18含有一隔板1〇〇可包圍該模板26。具言之,該隔板1〇〇會 由印頭18伸出,而終結於一底緣1〇2其係位於該模板表面 15 2仏所在之一平面中。於此構態中,模件28會延伸超出底緣 102以便接觸該區域77。該吸盤本體42包含一或多個貫孔, 其中兩個係被示為104和106。該等貫孔1〇4和1〇6的孔口 104a和106a係位於該模板26和隔板1〇〇間之吸盤本體42的 表面上’遺表面係稱為邊緣表面1 〇〇a。該等貫孔1 和1 〇6 20會使孔口 104a和106a導通流體供應系統70。該隔板100能使 離開孔口 104a和l06a的流體較緩慢地移動離開該模件28。 其中,該隔板100包含二相反的第一和第二表面1〇2&與 102b。该第一表面l〇2a會由底緣102延伸遠離該基材3〇而面 向該模板26。第二表面⑺沘由底緣102延伸遠離該基材川而 12 1250560 背對該模件28。雖非一定必要,但所示之第一表面102a係 相對於第二表面102b呈斜向地延伸。以此結構,該氛圍78 將可藉從孔口 104a及106a等注入或抽出流體而來控制。但 是,該第一和第二表面102a與102b亦可由底緣102互相平行 5 地延伸。 請參閱第3及9圖,在一實施例中,該氛圍78會被構建 成令通過該區域77内之壓印材料36來遷移其中的氣體會比 隨著空氣來遷移者更多。此所謂“遷移”係指任何機制而可 使氣體通過壓印層36a的傳送率增加者,例如更高的溶解 10 度、更高的擴散率、更高的滲透率等等。其中,流體供應 系統70可包括能供應呈蒸汽狀的壓印材料36a或其成分 者。該處理器25會與流體供應系統70導通,故在其控制下, 壓印材料36a可由孔口 104a和106a注入而使該大氣78氛圍 中充滿該壓印材料36a。此已被發現可以減少或完全消除在 15 壓印過程中滯陷於壓印層34内的氣體,例如空氣。這是較 有利的,因已發現空氣存在於壓印層34中會造成不良的空 隙。或者,亦已發現若以二氧化碳及/或氦來充滿該氛圍 78,則滯陷於第5圖所示之壓印層34中的空氣量將可大為減 少或消失,故能減少或避免其中生成不良的氣隙。又,已 20 發現藉著利用如上所述的氛圍78,則不僅不良氣隙的數目 可以減少或消除,且達到一可接受之最小程度的圖案瑕疵 所需的時間將能大為縮短。應請瞭解針對第3圖所示之壓印 材料36a的混合物,二氧化碳及/或氦乃可被注入該氛圍78 中,如第9圖所示,而來減少滯陷於第5圖所示之壓印層34 13 !25〇56〇 内的空氣量。 請參閱第9及10圖,針對欲注入流體之一所遭遇的困難 係需確保離開孔口 104a和l〇6a之流體l〇4b與l〇6b的分子。 要能在料滴36與模件28接觸之前移至該模件28與料滴36之 5間的氛圍區域中。該氛圍几區域係被稱為處理區域78a。如 圖所示,孔口 104a和l〇6a係繞邊緣表面100a來佈設,而與 處理區域78a間隔分開。若該模件28與待印區域77分隔以微 米計,則上述分子將難以進入該處理區域78a中。 一種用來克服上述困難的方法係使該流體供應系統7〇 1〇在處理器25的控制下以適當的控制軟體(未示出)來程式 化,而將具有上述所需分子混合物的流體1〇41)和1〇61)脈衝 喷入該大氣78氛圍中。以此方式,將可避免該等流體1〇仆 和106b的層流。相信藉著提供具有亂流的流體1〇牝和1〇61) 喷流,則能有足夠量的分子達到該處理區域78a來減少或消 15除被滯陷於壓印層34中之氣體的可能性將會增加。就此, 其流體係可被同時地壓出該二孔口 l〇4a和l〇6a,或者依序 地來出’即首先流體會由孔口 1 〇4a注入,然後經由孔口 106a注入,嗣再由孔口 l〇4a注入…,而令此過程重複進行 一段時間,或歷經整個壓印製程。又且,該氣流進入處理 20 區域78a的時點十分重要,因為最好能有足夠量的分子在該 模件28和料滴36接觸之前先達到該處理區域。 或者’該流體亦可經由一孔口例如1 〇4a來被喷送,然 後再由另一孔口即106a來被抽出。以此方式,則該流體將 可被抽吸橫越處理區域78a。其亦可以進一步地同時先將流 14 1250560 體送入兩個孔口 l〇4a與106a,然後又同時地由該二孔口來 抽出。但是,最好該流體的流率係被設為能儘量減少或不 會移動該等料滴36。 為確使離開孔口 l〇4a與106a的流體能橫越處理區域 5 78a,則最好是先同時地經由二孔口 l〇4a與106a來喷送流 體,然後輪流由一孔口 或106a來抽氣。由二孔口 104a 和106a同時地注入流體將可儘量減少充滿該氛圍78所需的 時間。而由其一孔口 l〇4a或106a來輪流地抽出流體將能確 使該流體移經處理區域78a。例如,一第一步驟會包括由二 10 孔口 104a和1 〇6a來將流體注入該氣圍78中。而"第二步驟 係由該等孔口 l〇4a和106a中之一者,例如孔口 l〇4a來抽出 該流體。然後,在第三步驟時,該流體又會同時由二孔口 104a和106a注入該氛圍78中。嗣在第四步驟時,該流體將 會由一先前未用來抽出流體的孔口(例如l〇6a)被抽出。應可 15 瞭解該抽氣亦能由其中之一孔口 104a或106a來進行,而由 另一孔口 106a或104a來注入流體。或者,亦可在當沒有流 體流入該氛圍78中時來進行抽氣。最好的結果是令流體能 進入該氛圍78中,且流體會由其中被抽出,而使該流體呈 現所需的濃度。 20 在另一實施例中,有多數的孔口會環繞該邊緣表面 104a來佈設,而使每一對孔口互相對設在模板26的相反側 上。圖中示出該對孔口 104a和106a係對設在模板26的相反 側上。另有一第二對的孔口係被示為l〇8a和ll〇a。該二孔 口 108a和110a亦互相對設在模板26的相反側上。 15 1250560 如所示,該各孔隙l〇4a、106a、108a、110a等係被排 列置設在一共同圓圈上,而相鄰的孔口會間隔90°。以此方 式,該各孔口 l〇4a、106a、108a、110a將被設成能使流體 便於流入/出該吸盤本體42之四個不同的象限。具言之’孔 5 口 l〇4a可使流體易於流入/出象限I,孔口 106a可使流體易 於流入/出象限Π ;孔口 1 〇8a可使流體易於流入/出象限ΠΙ ; 孔口 110a可使流體易於流入/出象限IV。但是,任何數目的 孔口皆可被使用,例如每一象限可有一個以上的孔口,而 不同的象限具有不同數目的孔口,且排列成任何所需的空 10間形態。其各排列方式應要可使該各流體流能容易注入及/ 或排出該氛圍78,且令一部份的流體能被注入圍繞該模板 26的不同區域中。相信注入該等多數的流體噴流將可在該 氛圍78中形成一流體的亂流。故相信此將能增加該流體中 的分子達到如第9圖所示之處理區域78a的機會。但是,令 15 流體由該各孔口 104a、106a、l〇8a、110a流入該氛圍78中, 及由該氛圍78中抽出該流體,係得以上述之任何方式來進 行。 請參閱第9、10、11圖,在另一實施例中,一流體流可 依序地經由各孔口 l〇4a、l〇6a、l〇8a、110來被注入,因此 20 在該模板26與待印區域77之間將會形成一流穴112。該流穴 112可促進流體中的分子進入處理區78a中,而來提供上述 的效益。例如,首先有一流體流可由孔口 10如注入,然後 中止。在該孔口 l〇4a的流體流中止之後,經由孔口 l〇6a的 流體流即會開始注入該氛圍78中。然後,經由孔口 106a的 16 1250560 流體流將會中止。在該孔口106a的流體流中止後’經由孔 口 108a的流體流即會開始注入該氛圍78中。然後經由孔口 108a的流體流將會中止。在經由孔口 10如的流體流中止之 後,經由孔口 ll〇a的流體流即會開始注入該氛圍78中。以 5此方式,流體將會在任何指定時間經由單一象限來注入於 該氛圍78中。但是,其亦得以同時將流體注入於一個以上 的象限中。雖此可能有礙該流穴112的形成’惟仍在本發明 的範圍内。 或者,亦可依序地經由各孔口 l〇4a、106a、108a、ll〇a 10 來注入及抽出流體以造成該流穴112。此乃包括經由一或多 個該等孔口來同時地注入流體。然後’依序地經由該各孔 口 104a、1 〇6a、1 〇8a、11 來抽氣以造成流穴112。例如’ 該流體可同時地經由該吸盤本體42中的所有孔口來注入。 然後,該流體玎逐一地經由各孔口 104a、106a、108a、110a 15來依序地被抽出。因此,經由孔口 l〇4a〜110a來注入於該氛 圍78中的流體濃度將會由於抽氣而降低至一所需程度。該 流體嗣又可再經由一或所有的孔口 l〇4a〜110a來注入,且該 程序會重複進行而來造成及/或保持該流穴112。 如上所述之本發明的各實施例僅為舉例。針對上述揭 2〇露的許多修正變化仍可被製成而不超出本發明的範圍。因 此,本發明的範圍將不受上述說明所限制,而是應參照所 附申請專利範園及其專效範圍而來決定。 【圖式簡革說明】 第1圖為本發明之一微影壓印層34系統的立體圖; 17 1250560 第2圖為第1圖所示之微影系統的簡化平面圖; 第3圖為一用來製造第2圖之壓印層的材料在被聚合化 及交鏈之前的簡化示意圖; 第4圖為第3圖所示的材料在被照射輻射之後轉變成交 5 鏈聚合材料的簡化示意圖; 第5圖為第1圖所示的模件在圖案化該壓印層之後與該 壓印層間隔分開的簡化平面圖; 第6圖為第5圖所示的基材在第一壓印層的圖案移轉於 其上之後,有一添加的壓印層佈設於該基材頂上的簡化平 10 面圖; 第7圖為第1圖所示之印頭的立體圖; 第8圖為本發明之一吸盤系統的截面圖; 第9圖為第7圖所示之印頭的截面圖;及 第10圖為第9圖所示之印頭的底視立體圖。 15 【主要元件符號說明】 10···光微影系統 26…模板 12···橋座 28…模件 14…橋架 28a···凹部 16···枱座 28b···凸部 18…印頭 30…基材 20…移動枱 32…表面 22…輻射源 34···壓印層 23…電源產生器 34a、b···次部份 25…處理器 36···材料滴 18 1250560 36a…材料 78a…處理區域 36b···交鏈點 100···隔板 36c···聚合材料 100a…邊緣表面 40…吸盤系統 102…底緣 42…吸盤本體 102a…第一表面 42a···凹槽 102b…第二表面 70…流體供應系統 104、106···貫孔 77…待印區域 104a、106a、108a、110a···孔口 78…氛圍 112···流穴 19

Claims (1)

1250560 十、申請專利範圍: L 一種用來減少沉積在一基材上的黏性液體層内之氣體 的方法,包含: 改、交罪近於該黏性液體的氣體成分來促進在該黏 5 性液體内之氣體的遷移。 2·如申請專利範圍第!項之方法,其中該改變更包括提高 對該黏性液體内之氣體的可溶解度。 3’如申凊專利範圍第1項之方法,其中該改變更包括提高 在該黏性液體内之氣體的可擴散性。 1〇 4.如申請專利範圍第1項之方法,其中該改變更包括提高 在該黏性液體内之氣體的可滲透性。 5·如申請專利範圍第1項之方法,其中該改變更包括注入 一流體來控制靠近該基材的氛圍以浸滲該黏性液體。 6.如申請專利範圍第丨項之方法,更包括減低靠近該基材 15 之氛圍的壓力。 入如申請專利範圍第1項之方法,其中該改變更包括藉注 入一流體來控制靠近該基材的氛圍,以增加該黏性液體 内之氣體的遷移。 8·如申請專利範圍第6項之方法,其中該注入更包括注入 20 選自二氧化碳或氦的流體。 9· 一種將流體注入於設在一模板上的模件與一基材之間 的方法,包含: 注入一流體流靠近於該模板而在該基材與模板之間 造成一流體亂流,以使部分流體移經該模件與基材之間。 20 !25〇56〇 1〇.如申請專利範圍第9項之方法,其中該注入更包括將該 流體流脈衝喷入—鄰接該模板且重疊該基材的區域中。 U.=請專利範圍第9項之方法,其中該注入更包括脈喷 5 m而該脈噴更包括將該流體經由環繞該模板周 5 緣之不同位置的多數區域來依序地喷注,而在-位於該 模件與基材之間的氛圍中造成一流穴。 12·-種絲將-流體流注入一設在一模板上的模件與一 基材之間的系統,包含: 一流體供應系統;及 及盤本體具有一隔板及第一和第二孔口,該等孔 口係δ又在该吸盤本體之一表面上而介於隔板與模板之 間,並會導通該流體供應系統,而在該模件與基材之間 造成一流體亂流。 13·如申請專利範圍第12項之系統,其中該吸盤本體更包含 15 夕數的孔口没在該吸盤本體的表面上而介於該隔板與 模板之間。 14.如申睛專利範圍第丨2項之系統,其中該吸盤本體更包含 夕數的孔口設在該吸盤本體的表面上而介於該隔板與 模板之間,且該等孔口包含一對孔口相對列設。 20 15.如申請專利範圍第12項之系統,其中該吸盤本體更包含 多數的孔口設在該吸盤本體的表面上而介於該隔板與 模板之間,且該等孔口係被置設在一具有多數象限的共 同圓圈中,其中有一象限包含一組孔口,而不同的象限 包含不同組的孔口。 21
TW093129415A 2003-10-02 2004-09-29 Single phase fluid imprint lithography method TWI250560B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/677,639 US7090716B2 (en) 2003-10-02 2003-10-02 Single phase fluid imprint lithography method

Publications (2)

Publication Number Publication Date
TW200518188A TW200518188A (en) 2005-06-01
TWI250560B true TWI250560B (en) 2006-03-01

Family

ID=34393769

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093129415A TWI250560B (en) 2003-10-02 2004-09-29 Single phase fluid imprint lithography method

Country Status (9)

Country Link
US (3) US7090716B2 (zh)
EP (1) EP1667778B1 (zh)
JP (4) JP2007509769A (zh)
KR (3) KR20060096424A (zh)
CN (1) CN100482307C (zh)
MY (1) MY135469A (zh)
SG (1) SG128681A1 (zh)
TW (1) TWI250560B (zh)
WO (1) WO2005033797A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8400379B2 (en) 2000-04-18 2013-03-19 Semiconductor Energy Laboratory Co., Ltd. Display device
TWI393627B (zh) * 2008-12-04 2013-04-21 Asml Netherlands Bv 壓印微影裝置及方法

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080160129A1 (en) 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7641468B2 (en) * 2004-09-01 2010-01-05 Hewlett-Packard Development Company, L.P. Imprint lithography apparatus and method employing an effective pressure
US20070164476A1 (en) * 2004-09-01 2007-07-19 Wei Wu Contact lithography apparatus and method employing substrate deformation
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US8721952B2 (en) * 2004-11-16 2014-05-13 International Business Machines Corporation Pneumatic method and apparatus for nano imprint lithography having a conforming mask
US7377764B2 (en) 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
US7316554B2 (en) * 2005-09-21 2008-01-08 Molecular Imprints, Inc. System to control an atmosphere between a body and a substrate
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
CN101573659A (zh) * 2005-12-08 2009-11-04 分子制模股份有限公司 排除位于基板和模具之间的气体的方法
WO2007067488A2 (en) 2005-12-08 2007-06-14 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
ATE513625T1 (de) * 2006-04-03 2011-07-15 Molecular Imprints Inc Lithographiedrucksystem
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
DE102006024524A1 (de) * 2006-05-23 2007-12-06 Von Ardenne Anlagentechnik Gmbh Infrarotstrahlung reflektierendes, transparentes Schichtsystem
WO2008082650A1 (en) * 2006-12-29 2008-07-10 Molecular Imprints, Inc. Imprint fluid control
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US8144309B2 (en) 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
KR101610180B1 (ko) * 2007-11-21 2016-04-07 캐논 나노테크놀로지즈 인코퍼레이티드 나노-임프린트 리소그래피용 다공성 주형 및 임프린팅 스택
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
TW200932502A (en) * 2008-01-18 2009-08-01 Univ Nat Taiwan An improved embossing apparatus
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
JP5175771B2 (ja) * 2009-02-27 2013-04-03 株式会社日立ハイテクノロジーズ 微細構造転写装置及び微細構造転写方法
KR20120001768A (ko) * 2009-03-23 2012-01-04 인테벡, 인코포레이티드 패턴드 미디어에서의 아일랜드 대 트랜치 비의 최적화를 위한 공정
JP2011023660A (ja) * 2009-07-17 2011-02-03 Toshiba Corp パターン転写方法
WO2011050817A1 (en) * 2009-11-02 2011-05-05 Danmarks Tekniske Universitet Method and device for nanoimprint lithography
US20110140304A1 (en) * 2009-12-10 2011-06-16 Molecular Imprints, Inc. Imprint lithography template
US8616873B2 (en) * 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US20110180964A1 (en) * 2010-01-27 2011-07-28 Molecular Imprints. Inc. Systems and methods for substrate formation
US20110189329A1 (en) * 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-Compliant Nanoimprint Lithography Template
WO2011100050A2 (en) * 2010-02-09 2011-08-18 Molecular Imprints, Inc. Process gas confinement for nano-imprinting
JP5491931B2 (ja) * 2010-03-30 2014-05-14 富士フイルム株式会社 ナノインプリント方法およびモールド製造方法
JP5597031B2 (ja) 2010-05-31 2014-10-01 キヤノン株式会社 リソグラフィ装置及び物品の製造方法
JP5828626B2 (ja) * 2010-10-04 2015-12-09 キヤノン株式会社 インプリント方法
JP5679850B2 (ja) 2011-02-07 2015-03-04 キヤノン株式会社 インプリント装置、および、物品の製造方法
JP5787691B2 (ja) * 2011-09-21 2015-09-30 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法
JP5882922B2 (ja) * 2012-01-19 2016-03-09 キヤノン株式会社 インプリント方法、およびインプリント装置
US9278857B2 (en) 2012-01-31 2016-03-08 Seagate Technology Inc. Method of surface tension control to reduce trapped gas bubbles
JP6304921B2 (ja) * 2012-06-05 2018-04-04 キヤノン株式会社 インプリント方法およびインプリント装置、それを用いた物品の製造方法
WO2014054749A1 (ja) * 2012-10-04 2014-04-10 大日本印刷株式会社 インプリント方法およびインプリント装置
JP6748399B2 (ja) 2012-11-30 2020-09-02 キヤノン株式会社 インプリント方法およびインプリント用硬化性組成物
JP6230041B2 (ja) * 2013-04-18 2017-11-15 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法
KR102056902B1 (ko) 2013-05-29 2019-12-18 삼성전자주식회사 와이어 그리드 편광판 및 이를 구비하는 액정 표시패널 및 액정 표시장치
KR102089661B1 (ko) 2013-08-27 2020-03-17 삼성전자주식회사 와이어 그리드 편광판 및 이를 구비하는 액정 표시패널 및 액정 표시장치
JP5865332B2 (ja) 2013-11-01 2016-02-17 キヤノン株式会社 インプリント装置、物品の製造方法、及びインプリント方法
CN105706214B (zh) * 2013-11-06 2018-10-02 佳能株式会社 用于确定压印模具的图案的方法、压印方法和装置
JP6294679B2 (ja) 2014-01-21 2018-03-14 キヤノン株式会社 インプリント装置及び物品の製造方法
JP6445772B2 (ja) 2014-03-17 2018-12-26 キヤノン株式会社 インプリント装置及び物品の製造方法
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
JP2015005760A (ja) * 2014-07-31 2015-01-08 キヤノン株式会社 インプリント装置、および物品の製造方法
JP6525567B2 (ja) 2014-12-02 2019-06-05 キヤノン株式会社 インプリント装置及び物品の製造方法
JP6628491B2 (ja) 2015-04-13 2020-01-08 キヤノン株式会社 インプリント装置、インプリント方法、および物品の製造方法
JP5989177B2 (ja) * 2015-04-20 2016-09-07 キヤノン株式会社 インプリント装置、および物品の製造方法
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
KR101968471B1 (ko) 2017-01-30 2019-04-11 배용주 전기를 발생시키고 저장하는 장치
US10895806B2 (en) * 2017-09-29 2021-01-19 Canon Kabushiki Kaisha Imprinting method and apparatus
US10684407B2 (en) * 2017-10-30 2020-06-16 Facebook Technologies, Llc Reactivity enhancement in ion beam etcher
JP7210155B2 (ja) * 2018-04-16 2023-01-23 キヤノン株式会社 装置、方法、および物品製造方法
US11137536B2 (en) 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
US11226446B2 (en) 2020-05-06 2022-01-18 Facebook Technologies, Llc Hydrogen/nitrogen doping and chemically assisted etching of high refractive index gratings
US11590687B2 (en) 2020-06-30 2023-02-28 Canon Kabushiki Kaisha Systems and methods for reducing pressure while shaping a film

Family Cites Families (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3997447A (en) * 1974-06-07 1976-12-14 Composite Sciences, Inc. Fluid processing apparatus
FR2325018A1 (fr) * 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (ja) * 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4279628A (en) * 1979-12-31 1981-07-21 Energy Synergistics, Inc. Apparatus for drying a natural gas stream
DE8007086U1 (de) * 1980-03-14 1982-03-18 Multivac Sepp Haggenmüller KG, 8941 Wolfertschwenden Vorrichtung zum formen von behaeltnissen aus einer folie
EP0091651B1 (en) * 1982-04-12 1988-08-03 Nippon Telegraph And Telephone Corporation Method for forming micropattern
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
US4451507A (en) * 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (fr) * 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
US4767584A (en) * 1985-04-03 1988-08-30 Massachusetts Institute Of Technology Process of and apparatus for producing design patterns in materials
DE3514022C1 (de) * 1985-04-18 1986-07-10 Fa. Carl Freudenberg, 6940 Weinheim Vorrichtung zum gegenseitigen Verkleben thermisch erweichbarer Partikel zu einem Kunststoffkoerper
EP0245461A1 (en) 1985-11-18 1987-11-19 EASTMAN KODAK COMPANY (a New Jersey corporation) Process for making optical recording media
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
GB8610671D0 (en) * 1986-05-01 1986-06-04 Atomic Energy Authority Uk Flow monitoring
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) * 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
EP0255303B1 (en) * 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US4936951A (en) 1987-10-26 1990-06-26 Matsushita Electric Industrial Co., Ltd. Method of reducing proximity effect in electron beam resists
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JPH01196749A (ja) 1988-01-30 1989-08-08 Hoya Corp 光情報記録媒体用基板の製造方法
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5821175A (en) * 1988-07-08 1998-10-13 Cauldron Limited Partnership Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface
JPH0224848A (ja) 1988-07-14 1990-01-26 Canon Inc 光記録媒体用基板の製造方法
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
JPH0292603A (ja) 1988-09-30 1990-04-03 Hoya Corp 案内溝付き情報記録用基板の製造方法
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
JPH02192045A (ja) 1989-01-20 1990-07-27 Fujitsu Ltd 光ディスク基板の製造方法
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
DE59010728D1 (de) 1989-04-24 1997-07-31 Siemens Ag Verfahren zur Erzeugung ätzresistenter Strukturen
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) * 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
JP3197010B2 (ja) * 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
JP2977091B2 (ja) * 1990-09-28 1999-11-10 安藤電気株式会社 ヘテロダイン受光を用いた光パルス試験器
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5421981A (en) * 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5263073A (en) * 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JPH05206095A (ja) * 1992-01-28 1993-08-13 Fujitsu Ltd 超音波処理槽と枚葉式基板処理装置
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
FR2690375B1 (fr) * 1992-04-22 1994-07-08 Aerospatiale Dispositif de compactage a chaud pour la fabrication de pieces necessitant des montees en pression et en temperature simultanees.
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5431777A (en) * 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (zh) * 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) * 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
NL9401260A (nl) * 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
JPH07178762A (ja) * 1993-12-24 1995-07-18 Sanyo Silicon Denshi Kk 樹脂成形方法および樹脂成形用金型
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5534101A (en) * 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5512335A (en) * 1994-06-27 1996-04-30 International Business Machines Corporation Fluid treatment device with vibrational energy means
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) * 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5997273A (en) * 1995-08-01 1999-12-07 Laquer; Henry Louis Differential pressure HIP forging in a controlled gaseous environment
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US6257866B1 (en) * 1996-06-18 2001-07-10 Hy-Tech Forming Systems, Inc. Apparatus for accurately forming plastic sheet
US6039897A (en) * 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
JP3783170B2 (ja) * 1996-11-19 2006-06-07 同和鉱業株式会社 樹脂成形装置
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
WO1999005724A1 (en) 1997-07-25 1999-02-04 Regents Of The University Of Minnesota Single-electron floating-gate mos memory
US5912049A (en) * 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
DE19819761C2 (de) * 1998-05-04 2000-05-31 Jenoptik Jena Gmbh Einrichtung zur Trennung eines geformten Substrates von einem Prägewerkzeug
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
AU4730699A (en) * 1998-07-02 2000-01-24 Millipore Corporation Process for coating a solid surface with a liquid composition
US6099771A (en) * 1998-07-08 2000-08-08 Lear Corporation Vacuum compression method for forming molded thermoplastic floor mat having a "Class A" finish
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
WO2000021689A1 (en) 1998-10-09 2000-04-20 The Trustees Of Princeton University Microscale patterning and articles formed thereby
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
JP3291488B2 (ja) * 1999-05-27 2002-06-10 三洋電機株式会社 流体の被除去物除去方法
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
KR100702741B1 (ko) * 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
WO2001047003A2 (en) 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
JP3847512B2 (ja) * 2000-02-07 2006-11-22 株式会社日立メディコ 磁気共鳴イメージング装置
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP2001358056A (ja) * 2000-06-15 2001-12-26 Canon Inc 露光装置
EP1303792B1 (en) * 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
KR100827741B1 (ko) * 2000-07-17 2008-05-07 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피 공정을 위한 자동 유체 분배 방법 및시스템
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
JP3721320B2 (ja) 2000-11-01 2005-11-30 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
SG187992A1 (en) 2001-07-25 2013-03-28 Univ Princeton Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
JP3978706B2 (ja) * 2001-09-20 2007-09-19 セイコーエプソン株式会社 微細構造体の製造方法
CN100347608C (zh) 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
JP3850718B2 (ja) * 2001-11-22 2006-11-29 株式会社東芝 加工方法
US6890688B2 (en) * 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
WO2003079416A1 (en) 2002-03-15 2003-09-25 Princeton University Laser assisted direct imprint lithography
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
WO2003099536A1 (en) 2002-05-24 2003-12-04 Chou Stephen Y Methods and apparatus of field-induced pressure imprint lithography
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
MY164487A (en) 2002-07-11 2017-12-29 Molecular Imprints Inc Step and repeat imprint lithography processes
KR20050026088A (ko) 2002-08-01 2005-03-14 몰레큘러 임프린츠 인코퍼레이티드 임프린트 리소그래피용 산란측정 정렬
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
JP2004071934A (ja) * 2002-08-08 2004-03-04 Kanegafuchi Chem Ind Co Ltd 微細パターンの製造方法および転写材料
JP3700001B2 (ja) * 2002-09-10 2005-09-28 独立行政法人産業技術総合研究所 インプリント方法及び装置
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7441008B2 (en) 2002-12-18 2008-10-21 International Business Machines Corporation Method for correlating transactions and messages
US6986815B2 (en) * 2003-01-08 2006-01-17 General Electric Company Flow system flush process
US7104268B2 (en) * 2003-01-10 2006-09-12 Akrion Technologies, Inc. Megasonic cleaning system with buffered cavitation method
US6943117B2 (en) * 2003-03-27 2005-09-13 Korea Institute Of Machinery & Materials UV nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
WO2004114016A2 (en) 2003-06-09 2004-12-29 Princeton University Office Of Technology Licensing And Intellectual Property Imprint lithography with improved monitoring and control and apparatus therefor
TWI228638B (en) * 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8400379B2 (en) 2000-04-18 2013-03-19 Semiconductor Energy Laboratory Co., Ltd. Display device
TWI393627B (zh) * 2008-12-04 2013-04-21 Asml Netherlands Bv 壓印微影裝置及方法
TWI494209B (zh) * 2008-12-04 2015-08-01 Asml Netherlands Bv 壓印微影裝置及方法

Also Published As

Publication number Publication date
KR20060096424A (ko) 2006-09-11
EP1667778A2 (en) 2006-06-14
MY135469A (en) 2008-04-30
US7270533B2 (en) 2007-09-18
JP5275399B2 (ja) 2013-08-28
WO2005033797A2 (en) 2005-04-14
US20050072757A1 (en) 2005-04-07
JP2010192912A (ja) 2010-09-02
US7531025B2 (en) 2009-05-12
EP1667778B1 (en) 2012-12-26
TW200518188A (en) 2005-06-01
CN1859959A (zh) 2006-11-08
JP4536157B1 (ja) 2010-09-01
JP2011193005A (ja) 2011-09-29
US7090716B2 (en) 2006-08-15
KR101178432B1 (ko) 2012-08-31
KR20120052426A (ko) 2012-05-23
EP1667778A4 (en) 2009-04-22
SG128681A1 (en) 2007-01-30
JP2010192911A (ja) 2010-09-02
WO2005033797A3 (en) 2005-10-06
KR20110120972A (ko) 2011-11-04
JP2007509769A (ja) 2007-04-19
CN100482307C (zh) 2009-04-29
KR101241076B1 (ko) 2013-03-11
US20050074512A1 (en) 2005-04-07
US20050072755A1 (en) 2005-04-07
JP4658227B2 (ja) 2011-03-23

Similar Documents

Publication Publication Date Title
TWI250560B (en) Single phase fluid imprint lithography method
JP2011514658A (ja) 単一位相流体インプリント・リソグラフィ法
US7281919B2 (en) System for controlling a volume of material on a mold
CN101405087A (zh) 光刻印刷系统
JP2008012844A (ja) 微細構造転写装置および微細構造転写方法
TW201111158A (en) Functional nanoparticles
KR101512876B1 (ko) 개선된 나노임프린트 방법
KR20030051844A (ko) 반도체장치의 제조방법
JP2014502418A (ja) 非凸形ナノ構造のパターン形成
JP2007073696A (ja) パターン形成方法、パターン形成装置およびパターン形成ずみフィルム
KR20200026063A (ko) 임프린트 필드의 에지를 구배 선량으로 조명하기 위한 시스템 및 방법
JP4059657B2 (ja) 三次元フォトニック結晶の製造方法
KR20190045839A (ko) 임프린트 장치 및 물품 제조 방법
TWI306802B (en) Method and system for fast filling of templates for imprint lithography using on template dispense
JP2019161020A (ja) モールド、インプリント装置、および物品の製造方法
Watts et al. Single phase fluid imprint lithography method