KR20060096424A - 단일상 유체 임프린트 리소그래피 방법 - Google Patents

단일상 유체 임프린트 리소그래피 방법 Download PDF

Info

Publication number
KR20060096424A
KR20060096424A KR1020067006082A KR20067006082A KR20060096424A KR 20060096424 A KR20060096424 A KR 20060096424A KR 1020067006082 A KR1020067006082 A KR 1020067006082A KR 20067006082 A KR20067006082 A KR 20067006082A KR 20060096424 A KR20060096424 A KR 20060096424A
Authority
KR
South Korea
Prior art keywords
fluid
mold
substrate
apertures
chuck body
Prior art date
Application number
KR1020067006082A
Other languages
English (en)
Inventor
이안 엠. 맥마킨
대니얼 에이. 밥스
듀안 제이. 보스
마이클 피. 씨. 와츠
반 엔. 트러스켓
프랭크 와이. 슈
로널드 디. 보이신
판카이 비. 라드
니콜라스 에이. 스테이시
Original Assignee
몰레큘러 임프린츠 인코퍼레이티드
보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 몰레큘러 임프린츠 인코퍼레이티드, 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 filed Critical 몰레큘러 임프린츠 인코퍼레이티드
Publication of KR20060096424A publication Critical patent/KR20060096424A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S425/00Plastic article or earthenware shaping or treating: apparatus
    • Y10S425/06Vacuum
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S425/00Plastic article or earthenware shaping or treating: apparatus
    • Y10S425/815Chemically inert or reactive atmosphere
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/909Controlled atmosphere

Landscapes

  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명은 기판 상에 배치된 점성 액체의 층에 존재하는 가스 포켓을 감소시킴으로써 임프린트층 내 패턴 왜곡을 감소시키는 방법에 관한 것이다. 이를 위하여, 상기 방법은 점성 액체 부근에 배치된 가스의 이송을 변경하는 단계를 포함한다. 구체적으로, 패턴을 기록하고자 하는 기판 부근의 분위기는 침착시키고자 하는 점성 액체에 관하여 매우 가용성이거나, 매우 확산성이거나, 그 둘 다인 가스로 포화시킨다. 또한, 분위기를 포화시키는 대신에, 분위기의 압력을 감소시킬 수 있다.
Figure 112006022012658-PCT00001
임프린트, 점성 액체, 패턴 왜곡, 가스, 기판, 분위기, 포화

Description

단일상 유체 임프린트 리소그래피 방법{SINGLE PHASE FLUID IMPRINT LITHOGRAPHY METHOD}
일반적으로, 본 발명의 분야는 임프린트 리소그래피에 관한 것이다. 보다 구체적으로, 본 발명은 임프린트층 내 가스를 제거하지 않을 경우 가스의 존재를 감소시킴으로써 임프린트 리소그래피 공정 중의 패턴 왜곡을 감소시키는 것에 관한 것이다.
마이크로제조는, 예를 들면 마이크로미터 이하 정도의 형상을 가진 매우 작은 구조물의 제조에 관한다. 마이크로제조가 상당한 영향력을 미치는 한 분야는 집적 회로의 가공이다. 반도체 가공 산업이 더 큰 생산 수율을 얻는 한편, 기판 상에 형성되는 단위 면적당 회로를 증가시키려 노력하기 때문에, 마이크로제조는 더욱 더 중요하게 되고 있다. 마이크로제조는 더 나은 공정 제어를 제공하는 한편, 형성된 구조물의 최소 형상 치수를 감소시킨다. 마이크로제조가 사용되는 다른 개발 분야로는 생물공학, 광학기술, 기계 시스템 등이 있다.
예시적인 마이크로제조 기술은 미국 특허 제6,334,960호(Willson et al.)에서 찾아볼 수 있다. 상기 특허(Willson et al.)에는 구조물 내 릴리프 이미지를 형성하는 방법이 개시되어 있다. 상기 방법은 전사층을 가진 기판을 제공하는 단계를 포함한다. 전사층은 중합성 유체 조성물로 피복된다. 몰드는 중합성 유체와 기계적 접촉을 한다. 몰드는 릴리프 구조물을 포함하고, 중합성 유체 조성물은 릴리프 구조물을 채운다. 그 다음, 중합성 유체 조성물은 이를 고화 및 중화시키는 조건에 두어, 몰드에 상보적인 릴리프 구조물을 함유하는 전사층 상에 고화된 중합성 물질을 형성한다. 그 다음, 몰드를 고형 중합성 물질로부터 분리하여 몰드 내 릴리프 구조물의 복제가 고화된 중합성 물질에 형성되도록 한다. 전사층 및 고화된 중합성 물질은 고화된 중합성 물질에 대하여 전사층을 선택적으로 에칭하는 환경에 두어 릴리프 이미지가 전사층에 형성되도록 한다. 소요 시간 및 이 기술에 의해 제공되는 최소 형상 치수는, 그 중에서도 특히, 중합성 물질의 조성에 따른다.
미국 특허 제5,772,905호(Chou)에는 기판 상에 코팅된 박막 내에 초미세(25 nm 이하) 패턴을 형성하는 리소그래피 방법 및 장치가 개시되어 있으며, 1 이상의 돌출 형상을 가진 몰드를 기판에 담지된 박막으로 가압한다. 몰드 내 돌출 형상은 박막의 오목부를 형성한다. 몰드를 필름에서 제거한다. 그 다음, 박막은 오목부 내 박막이 제거되어 아래에 있는 기판을 노출시키도록 처리한다. 따라서, 몰드내 패턴이 박막에 복제되어 리소그래피를 종결한다. 박막 내 패턴은, 후속 공정에서, 기판 또는 기판으로 첨가되는 다른 물질에서 재생된다.
또 다른 임프린트 리소그래피 기술은 문헌(Chou et al., Ultrafast and Direct Imprint of Nanostructures in Silicon, Nature, Col. 417, pp. 835-837, June 2002)에 개시되어 있으며, 레이저 보조 직접 임프린트(laser assisted direct imprinting; LADI) 공정이라고 한다. 이 공정에서, 기판의 영역은 상기 영역을 레 이저로 가열함으로써 유동 가능하게, 예컨대 액화된다. 상기 영역이 소정의 점성에 도달한 후, 패턴이 위에 있는 몰드를 상기 영역과 접촉시켜 놓는다. 유동성 영역을 패턴의 프로필에 정합시킨 다음, 냉각시켜서 패턴을 기판으로 고화시킨다. 상기 기술과 관련된 문제는 유동성 영역 부근의 분위기의 존재로 인한 패턴 왜곡을 수반한다.
그러므로, 임프린트 리소그래피 기술을 사용하여 형성된 패턴 내 왜곡을 감소시키는 시스템을 제공하는 것이 요망된다.
발명의 개요
본 발명은 기판 상에 침착된 점성 액체의 층에 존재하는 가스 포켓을 실질적으로 감소시킴으로써 패턴 왜곡을 감소시키는 방법에 관한 것이다. 이를 위하여, 본 발명의 방법은 몰드 부근에서 가스를 점성 액체 내에 다양한 이송 특성으로 분배시키는 단계를 포함한다. 구체적으로, 기판 부근의 분위기는 침착시키고자 하는 점성 액체에 관하여 매우 가용성이거나, 매우 확산성이거나, 또는 둘다인 가스로 포화시킨다. 또한, 전술한 분위기를 제공하는 대신에, 분위기의 존재를 감소시킬 수 있다. 이러한 방법의 사용은 왜곡없는 임프린트의 신속한 제조를 촉진한다. 이들 및 다른 구체예는 하기에 보다 상세하게 설명하고자 한다.
도 1은 본 발명에 따른 리소그래피 시스템의 투시도이다.
도 2는 도 1에 도시된 리소그래피 시스템의 간략 입면도이다.
도 3은 중합 및 가교하기 전에 도 2에 도시된 임프린트층을 구성하는 물질의 간략 도면이다.
도 4는 조사를 수행한 후 도 3에 도시된 물질이 변형된 가교된 폴리머 물질의 간략 도면이다.
도 5는 임프린트층의 패터닝 후 도 1에 도시된 임프린트층으로부터 이격하는 몰드의 간략 입면도이다.
도 6은 제1 임프린트층의 패턴이 전사된 후 도 5에 도시된 기판 위에 위치한 추가 임프린트층의 간략 입면도이다.
도 7은 도 1에 도시된 프린트 헤드의 상세 투시도이다.
도 8은 본 발명에 따른 처킹 시스템의 단면도이다.
도 9는 도 7에 도시된 임프린트 헤드의 상세 단면도이다.
도 10은 도 9에 도시된 임프린트 헤드의 상향 투시도이다.
도 1은 브리지(14)와 스테이지 서포트(16)가 사이에서 연장하는 한쌍의 이격 브리지 서포트(12)를 포함하는, 본 발명의 한 구체예에 따른 리소그래피 시스템(10)을 도시한다. 브리지(14) 및 스테이지 서포트(16)는 이격되어 있다. 브리지(14)에는 임프린트 헤드(18)가 결합되는데, 브리지(14)로부터 스테이지 서포트(16)로 연장하고, Z축을 따른 이동을 제공한다. 동작 스테이지(20)는 스테이지 서포트(16) 상에 배치되어 임프린트 헤드(18)를 대면한다. 동작 스테이지(20)는 X축 및 Y축을 따라 스테이지 서포트(16)에 관하여 이동하도록 구성된다. 임프린트 헤드(18) 는 X축 및 Y축을 따른 이동 뿐만 아니라, Z축으로의 이동을 제공할 수 있고, 동작 스테이지(20)는 Z축으로의 이동, 뿐만 아니라 X축 및 Y축으로의 이동을 제공할 수 있다는 것을 이해해야 한다. 예시적인 동작 스테이지 장치는 본 발명의 출원인에게 양도된 미국 특허 출원 제10/194,414호(2002년 7월 11일 출원, 발명의 명칭 "단계 및 반복 임프린트 리소그래피 시스템")에 개시되어 있으며, 본 명세서에서 그 전체를 참고 인용한다. 방사선원(22)은 리소그래피 시스템(10)에 결합되어 동작 스테이지(20) 상에 화학 방사선을 조사한다. 도시된 바와 같이, 방사선원(22)은 브리지(14)에 결합되어 있고, 방사선원(22)에 연결된 동력 발생기(23)를 포함한다. 통상적으로, 리소그래피 시스템(10)의 작동은 이와 데이타 통신 관계에 있는 프로세서(25)에 의해 제어된다.
도 1 및 도 2를 참조하면, 임프린트 헤드(18)에는 몰드(28)가 위에 있는 주형(26)이 연결되어 있다. 몰드(28)는 다수의 이격된 오목부(28a)와 돌출부(28b)에 의해 한정된 다수의 형상을 포함한다. 다수의 형상은 동작 스테이지(20) 상에 위치된 기판(30)으로 전사하고자 하는 원래의 패턴을 한정한다. 이를 위하여, 임프린트 헤드(18) 및/또는 동작 스테이지(20)는 몰드(28)와 기판(30) 간의 거리 "d"를 변경시킬 수 있다. 이 방식으로, 몰드(28) 상의 형상은 기판(30)의 유동성 영역으로 임프린트될 수 있으며, 이하에서 보다 상세하게 논의할 것이다. 방사선원(22)은 몰드(28)가 방사선원(22)과 기판(30) 사이에 위치되도록 위치시킨다. 그 결과, 몰드(28)는 방사선원(22)에 의해 생성되는 방사선에 실질적으로 투명하게 하는 물질로부터 제조된다.
도 2 및 도 3을 참조하면, 유동성 영역, 임프린트층(34)은 실질적인 편평 프로필을 제공하는 표면(32)의 부분에 배치된다. 유동성 영역은 임의의 공지 기술, 예컨대 본 명세서에서 그 전체를 참고 인용하는 미국 특허 제5,772,905호에 개시된 열간 엠보싱 공정 또는 문헌(Chou et al., Ultrafast and Direct Imprint of Nanostructures in Silicon, Nature, Col. 417, pp. 835-837, June 2002)에 기재된 유형의 레이저 보조 직접 임프린트(LADI) 공정을 사용하여 형성할 수 있다. 또한, 유동성 영역은 성형되고 경화되어 강성 복제를 형성하는 점성 유체의 스핀 코팅된 필름을 포함할 수도 있다. 그러나, 본 구체예에서, 유동성 영역은 기판(30) 상에 물질(36a)의 다수의 이격된 개별 액적(36)으로서 침착된 임프린트층(34)으로 구성되며, 하기에서 보다 상세하게 논의될 것이다. 액적(36)을 침착시키기 위한 예시적인 시스템은 본 발명의 출원인에게 양도된 미국 특허 출원 제10/191,749호(2002년 7월 9일 출원, 발명의 명칭 "액체 분배 시스템 및 방법")에 개시되어 있으며, 본 명세서에서 그 전체를 참고 인용한다. 임프린트층(34)은 선택적으로 중합 및 가교되는 물질(36a)로부터 형성되어 원래의 패턴을 그 안에 기록하여 기록된 패턴을 한정한다. 물질(36a)에 대한 예시적인 조성물은 미국 특허 출원 제10/463,396호(2003년 6월 16일 출원, 발명의 명칭 "정합성 영역과 몰드의 패턴 간의 접착력 감소 방법")에 개시되어 있으며, 본 명세서에서 그 전체를 참고 인용한다. 물질(36a)은 지점(36b)에서 가교되어 가교된 폴리머 물질(36c)을 형성한 것으로서 도 4에 도시되어 있다.
도 2, 도 3 및 도 5를 참조하면, 임프린트층(34)에 기록된 패턴은, 부분적으 로 몰드(28)와의 기계적 접촉에 의하여 생성된다. 이를 위하여, 거리 "d"를 감소시켜서 액적(36)을 몰드(28)와 기계적 접촉시키고, 액적(36)을 확산시켜서 표면(32) 위에 물질(36a)이 인접 형성된 임프린트층(34)을 형성한다. 한 구체예에서, 거리 "d"를 감소시켜 임프린트층(34)의 하위부분(34a)이 오목부(28a)에 진입하여 충전되게 한다.
오목부(28a)의 충전을 촉진하기 위하여, 물질(36a)은 오목부(28a)를 완전히 충전시키면서, 물질(36a)의 인접 형성으로 표면(32)을 피복하는 필수 성질을 갖춘다. 본 구체예에서, 돌출부(28b)와 중첩하는 임프린트층(34)의 하위부분(34b)은 바람직한 통상의 최소 거리 "d"에 도달한 후, 두께 t1인 하위부분(34a)과 두께 t2인 하위부분(34b)을 남긴다. 두께 "t1" 및 "t2"는 용도에 따라서 소정의 임의의 두께일 수 있다. 통상적으로, t1은 하위부분(34a)의 폭 u의 2 배 이하, 즉 t1 ≤ 2u이고, 도 5에 보다 명확하게 도시되어 있다.
도 2, 도 3 및 도 4를 참조하면, 소정의 거리 "d"에 도달한 후, 방사선원(22)은 화학 방사선을 생성하여 물질(36a)을 중합 및 가교시켜서 가교된 폴리머 물질(36c)를 형성한다. 그 결과, 임프린트층(34)의 조성은 물질(36a)에서 고형물인 가교된 폴리머 물질(36c)로 변형된다. 구체적으로, 가교된 폴리머 물질(36c)은 가교되어 몰드(28)의 표면(28c)의 형상에 정합하는 형상을 가진 임프린트층(34)의 면(34c)을 제공하며, 도 5에 보다 명백하게 도시되어 있다. 임프린트층(34)이 도 4에 도시된 가교 폴리머 물질(36c)로 구성되도록 변형된 후, 도 2에 도시된 임프린트 헤드(18)는 몰드(28)와 임프린트층(34)이 이격되도록 이동하여 거리 "d"를 증가시킨다.
도 5를 참조하면, 추가 공정을 사용하여 기판(30)의 패터닝을 완성할 수 있다. 예를 들면, 임프린트층(34)과 기판(30)을 에칭하여 임프린트층(34)의 패턴을 기판(30)으로 전사하여 도 6에 도시된 패터닝된 표면(32a)을 제공한다. 에칭을 촉진하기 위하여, 임프린트층(34)을 형성하는 물질은 필요에 따라 기판(30)에 관하여 상대 에칭을 한정하도록 변경할 수 있다. 임프린트층(34)과 기판(30)의 상대 에칭 비율은 약 1.5:1 내지 약 100:1일 수 있다.
대안으로 또는 추가로, 임프린트층(34)은 그 위에 선택적으로 침착된 포토레지스트 물질(도시하지 않음)에 관하여 에칭 차를 구비할 수 있다. 포토레지스트 물질(도시하지 않음)은 공지 기술을 사용하여 임프린트층(34)을 더 패터닝하는 데 제공될 수 있다. 임의의 에칭 공정은 기판(30)과 임프린트층(34)을 형성하는 하도 구성성분과 소정의 에칭 속도에 따라서 사용될 수 있다. 예시적인 에칭 공정으로는 플라즈마 에칭, 반응성 이온 에칭, 화학 습식 에칭 등이 있다.
도 7 및 도 8을 참조하면, 몰드(28)가 위에 존재하는 주형(26)은 척 몸체(42)를 포함하는 처킹 시스템(40)에 의하여 임프린트 헤드 하우징(18a)에 결합되어 있다. 처킹 몸체(42)는 진공 기술을 사용하여 몰드(28)가 위에 부착되어 있는 주형(26)을 유지시키도록 되어 있다. 이를 위하여, 처킹 몸체(42)는 압력 조절 시스템, 예컨대 유체 공급 시스템(70)과 유체 연통하는 1 이상의 오목부(42a)를 포함한다. 유체 공급 시스템(70)은 정압과 부압을 제공하기 위한 1 이상의 펌프, 뿐만 아니라 도 5에 도시된 임프린트층(34) 내, 방지하지 않은 경우, 공기와 같은 가스 포획의 감소를 촉지하기 위한 유체 서플라이를 포함한다. 예시적인 처킹 시스템은 본 발명의 출원인에게 양도된 미국 특허 출원 제10/293,224호(발명의 명칭 "기판 형상의 조절을 위한 처킹 시스템")에 개시되어 있으며, 본 명세서에서 그 전체를 참고 인용한다.
상기 논의된 바와 같이, 임프린트 중에 주형(26)과, 따라서 몰드(28)는 영역(77)에 침착된 임프린트 물질(36a)을 패터닝하기 전에 기판(30)에 근접하게 된다. 구체적으로, 주형(26)은 기판(30)의 십 수 미크론 이내, 예컨대 15 미크론 이하에 있게 한다. 주형(26)과 영역(77)에 근접하는 분위기(78)의 국소화 제어를 수행하는 것이 바람직한 것으로 밝혀졌다. 예를 들면, 임프린트 물질(36a)에 존재하고/하거나, 후에 패터닝된 임프린트층(34)에 포획된 가스 및/또는 가스 포켓의 유해한 효과를 피하기 위하여, 분위기(78) 내 유체의 조성 및/또는 분위기(78)의 압력을 조절하는 것이 유리한 것으로 밝혀졌다.
도 9를 참조하면, 분위기(78)의 제어를 촉진하기 위하여, 척 몸체(42)는 몰드(28)에 근접한 유체의 통과를 촉진하도록 설계되고, 임프린트 헤드(18)는 주형(26)을 둘러싸는 배플(100)을 포함한다. 구체적으로, 배플(100)은 임프린트 헤드(18)로부터 연장하여, 표면(26a)이 놓인 면에 놓인 바닥점(102)에서 종결된다. 이 방식으로, 몰드(28)는 바닥부(102) 너머로 연장하여 영역(77)과의 접촉을 촉진한다. 척 몸체(42)는 1 이상의 통로를 포함하고, 그 둘이 도면 번호(104 및 106)로 도시되어 있다. 통로(104 및 106)의 각각의 통공(104a 및 106a)은 주형(26)과 배플 (100) 사이에 배치된, 주변 표면(100a)이라고 하는 척 몸체(42)의 표면에 배치되어 있다. 통로(104 및 106)는 통공(104a 및 106a)이 유체 공급 시스템(70)과 유체 연통시킨다. 배플(100)은 몰드(28)로부터 통공(104a 및 106a)에 존재하는 유체의 이동을 서행시키는 기능을 한다. 이를 위하여, 배플(100)은 제1 및 제2 대향면(102a 및 102b)을 포함한다. 제1 대향면(102a)은 기판(30)으로부터 이격되어 있는 바닥부(102)로부터 연장하고, 주형(26)과 대면한다. 제2 대향면(102b)은 기판(30)으로부터 이격된 바닥부(102)로부터 연장하고, 몰드(28)로부터 이격 대면한다. 필수적인 것은 아니지만, 제1 대향면(102a)은 제2 대향면(102b)에 대하여 비스듬하게 연장하는 것으로 도시되어 있다. 이 구성을 사용하여, 분위기(78)는 통공(104a 및 106a)을 통하여 유체의 도입 또는 배출에 의해 제어될 수 있다. 그러나, 제1 및 제2 대향면(102a 및 102b)은 바닥부(102)로부터 서로 평행하게 연장할 수 있다.
도 3 및 도 9를 참조하면, 한 구체예에서, 분위기(78)는 영역(77)내 임프린트 물질(36a)을 통하여 그 안에 존재하는 가스의 이송을 공기와 관련된 이송에 비하여 증가시키도록 설정된다. 용어 이송은 임프린트 물질(36a)을 통한 가스의 전파가 증가되는 임의의 메카니즘, 예컨대 증가된 용해도, 증가된 확산, 증가된 투과 등을 의미하는 것으로 정의한다. 이를 위하여, 유체 공급 시스템(70)은 임프린트 물질(36a) 또는 그 증기 형태의 성분의 공급을 포함한다. 유체 공급 시스템(70)과 데이타 통신 위치에 있는 프로세서(25)의 제어 하에, 임프린트 물질(36a)은 통공(104a 및 106a)을 통하여 도입되어 분위기(78)를 임프린트 물질(36a)로 포화시킬 수 있다. 이는, 완전히 제거하지 않는다 해도, 임프린트 공정 중에 임프린트층(34) 에 포획된 가스, 예컨대 공기의 양을 감소시키는 것으로 밝혀졌다. 이것은 임프린트층(34) 내 공기의 존재가 바람직하지 않은 공극을 생성하는 것으로 밝혀졌기 때문에 유리하다. 대안으로, 분위기(78)를 이산화탄소 및/또는 헬륨으로 포화시킴으로써, 도 5에 도시된 임프린트층(34)에 포획된 공기의 양은 피할 수 없다면 실질적으로 감소되고, 따라서 제거되지 않는다 해도 바람직하지 않은 공극이 안에 형성되는 것을 줄인다는 것을 발견하였다. 또한, 전술한 분위기(78)를 사용함으로써, 바람직하지 않은 공극의 수를 감소 또는 제거할 뿐만 아니라, 패턴 결함의 허용 가능한 최소 수준을 달성하는 데 요하는 시간을 실질적으로 더 단축시킬 수 있는 것으로 밝혀졌다. 도 3에 도시된 임프린트 물질(36a), 이산화탄소 및/또는 헬륨의 혼합물을 도 9에 도시된 분위기(78)로 도입하여 도 5에 도시된 임프린트층(34)에 포획된 공기의 양을 감소시킨다는 것을 이해해야 한다.
도 9 및 도 10을 참조하면, 유체 도입에 관하여 대면하는 난점은 통공(104a 및 106a)에 각각 존재하는 유체 스트림(104b 및 106b) 내 분자가 액적(36)과 몰드(28)의 접촉 전에 몰드(28)와 액적(36) 사이에 위치하는 분위기의 영역으로 이동하도록 하는 것이었다. 이 분위기(78)의 영역은 가공 영역(78a)이라고 한다. 도시된 바와 같이, 통공(104a 및 106a)은 가공 영역(78a)으로부터 이격되어 있는 주변 표면(100a)에 대하여 배치되어 있다. 몰드(28)를 영역(77)으로부터 분리하는 것이 미크론 수준이라고 하면, 전술한 분자의 가공 영역(78a)으로의 도입은 달성하기가 어렵다.
전술한 난점을 극복하기 위한 한 가지 방법은 적당한 제어 소프트웨어(도시 하지 않음)로 프로그래밍된 프로세서(25)의 제어 하에 유체 공급 시스템(70)을 구비하여 유체 스트림(104b 및 106b)을 상기 논의된 분자의 바람직한 혼합물을 가진 분위기(78)로 펄싱하는 것이다. 이 방식에서, 유체 스트림(104b 및 106b)의 층류를 피할 수 있다. 이는 유체 스트림(104b 및 106b)에 난류를 제공함으로써, 안에 함유된 분자의 충분량이 가공 영역(78a)에 도달하여 피할 수 없다면, 임프린트층(34)에 포획된 가스(도시하지 않음)의 존재를 감소시키는 가능성을 증가시키는 것으로 믿어진다. 이를 위하여, 유체는 두 통공(104a 및 106a)을 통하여 동시에 펄싱되거나, 또는 상기를 통하여 연속적으로 펄싱하는데, 즉 제1 유체를 통공(104a)을 통하여 도입하고, 이어서 통공(106a)을 통하여 도입한 다음, 다시 통공(104a)을 통하여 도입하며, 이 공정은 소정 시간 동안 또는 전체 임프린트 공정 중에 반복한다. 더욱이, 가공 영역(78a)으로의 가스 유동 시간이 중요한데, 그 이유는 안에 함유된 분자의 충분한 양이, 몰드(28)와 액적(36) 간에 접촉되기 전에 가공 영역(78a)에 도달하기 때문이다.
대안으로, 유체는 통공 중 하나, 예컨대 통공(104a)을 통하여 펄싱된 다음, 나머지 통공, 예컨대 통공(106a)을 통하여 배출된다. 이 방식으로, 유체는 가공 영역(78a)으로 인출된다. 또한, 두 통공(104a 및 106a)을 통하여 유체를 동시에 펄싱한 다음, 두 통공(104a 및 106a)을 통하여 동시에 배출하는 것이 유리할 수 있다. 그러나, 유체의 유속은, 피할 수 없다면 액적(36)의 이동을 최소화하도록 설정하는 것이 바람직하다.
통공(104a 및 106a)에 존재하는 유체가 가공 영역(78a)을 통과하도록 하기 위하여, 두 통공(104a 및 106a)을 통하여 유체를 동시에 펄싱한 다음, 통공(104a 또는 106a) 중 하나를 통하여 교대로 배출하는 것이 유리할 수 있다. 유체를 두 통공(104a 및 106a)을 통하여 동시에 도입하는 것은 분위기(78)를 포화시키는 데 요하는 시간을 최소화한다. 통공(104a 및 106a) 중 하나를 통하여 유체를 교대로 배출하는 것은 유체가 가공 영역(78a)을 통하여 이동하도록 한다. 예를 들면, 제1 단계는 두 통공(104a 및 106a)을 통하여 분위기(78)로 유체를 도입하는 것을 포함한다. 제2 단계는 통공(104a 및 106a) 중 하나, 예를 들면 통공(104a)을 통하여 유체를 배출하는 것을 포함한다. 그 후, 제3 단계에서, 유체는 두 통공(104a 및 106a)를 통하여 분위기(78)로 동시에 도입될 수 있다. 제4 단계에서, 유체는 전 단계에서 유체를 제거하는 데 사용되지 않은 통공(104a 및 106a) 중 하나, 예컨대 통공(106a)을 통하여 배출된다. 배출은 통공(104a 및 106a) 중 하나를 통하여 일어날 수 있는 한편, 유체는 통공(104a 및 106a) 중 나머지 통공을 통하여 도입된다. 대안으로, 배출은 유체 유동의 부재 하에 분위기(78)로 일어날 수 있다. 바람직한 결과는 분위기(78)로의 유체 진입과 그로부터의 유체 배출이, 유체의 소정 농도가 존재하도록 일어나는 것이다.
다른 구체예에서, 다수의 통공은 한 쌍의 통공 각각이 주형(26)의 대향면 상에서 서로 대향 배치되도록 주변 표면(100a)에 대하여 배치될 수 있다. 이는 주형(26)의 대향면 상에서 서로 대향 배치된 통공 쌍(104a 및 106a)으로 도시되어 있다. 제2 통공 쌍은 도면 번호(108a 및 110a)로 도시되어 있다. 통공(108a 및 110a)은 주형(26)의 대향면 상에서 서로 대향 배치되어 있다.
도시된 바와 같이, 각각의 통공(104a, 106a, 108a 및 110a)은 인접 통공이 90°로 이격되어 있는 공통원 상에 놓이도록 배열되어 있다. 이 방식으로, 각각의 통공(104a, 106a, 108a 및 110a)은 척 몸체(42)의 상이한 4분면의 유체 유입/유출을 촉진하도록 배열된다. 구체적으로, 통공(104a)은 4분면 I의 유체 유입/유출을 촉진하고; 통공(106a)은 4분면 II의 유체 유입/유출을 촉진하며; 통공(108a)은 4분면 III의 유체 유입/유출을 촉진하고; 통공(110a)은 4분면 IV의 유체 유입/유출을 촉진한다. 그러나, 임의의 수의 통공, 예를 들면 상이한 4분면이 상이한 수의 통공을 가진 4분면 당 1 이상을 사용하고, 필요에 따라 임의의 공간 배열로 배열한다. 각각의 이들 배열은 다수의 유체 스트림 플로우의 분위기(78)로의 도입 및/또는 배출을 촉진하며, 다수의 플로우의 하위세트는 주형(26)에 대하여 상이한 영역으로 도입된다. 유체 스트림의 다중 스트림의 도입은 분위기(78) 중의 유체의 난류를 제공한다고 믿어진다. 이것은 유체 스트림 내 분자가 도 9에 도시된 가공 영역(78a)에 도달할 가능성을 증가시키는 것으로 믿어진다. 그러나, 각각의 통공(104a, 106a, 108a 및 110a)을 통한 분위기(78)로의 유체 유동 및 이를 통한 분위기(78)로부터의 유체 배출은 상기 논의된 임의의 방식으로 일어날 수 있다.
도 9, 도 10 및 도 11을 참조하면, 다른 구체예에서, 유체 스트림은 유동 셀(112)이 주형(26)과 영역(77) 사이에서 형성되도록 통공(104a, 106a, 108a 및 110a) 각각을 연속적으로 도입할 수 있다. 유동 셀(112)은 유체 스트림 내 분자의 가공 영역(78a)으로의 진입을 촉진하여 전술한 이점을 제공한다. 예를 들면, 먼저 유체 유동은 통공(104a)을 통하여 도입된 다음, 종결된다. 그 후, 통공(104a)을 통 한 유체 유동의 종결 후, 통공(106a)을 통한 유체 유동이 시작되어 분위기(78)로 유체를 도입시킨다. 그 후, 통공(106a)을 통한 유체 유동은 종결된다. 통공(106a)을 통한 유체 유동의 종결 후, 통공(108a)을 통한 유체 유동이 시작되어 유체를 분위기(78)로 도입시킨다. 그 후, 통공(108a)을 통한 유체 유동은 종결된다. 통공(108a)을 통한 유체 유동의 종결 후, 통공(110a)을 통한 유체 유동이 시작되어 유체를 분위기(78)로 도입시킨다. 이 방식으로, 유체는 임의의 소정 시간에서 단일 4분면을 통하여 분위기(78)로 도입된다. 그러나, 유체를 1 이상의 4분면으로 도입하는 것이 바람직할 수 있다. 이는 유동 셀(112)의 형성을 방해할 수 있지만, 본 발명의 범주 안에 있다.
대안으로, 통공(104a, 106a, 108a 및 110a)을 통한 연속 도입 및 배출이 착수되어 유동 셀(112)을 형성할 수 있다. 이는 1 이상의 통공(104a, 106a, 108a 및 110a)을 통하여 유체를 동시에 도입하는 것을 포함한다. 이어서, 각각의 통공(104a, 106a, 108a 및 110a)을 통하여 연속 배출이 일어나서 유동 셀(112)을 형성할 수 있다. 예를 들면, 유체는 척 몸체(42) 내 모든 통공을 통하여 동시에 도입될 수 있다. 그 후, 유체는 각각의 통공(104a, 106a, 108a 및 110a)으로부터 한번에 배출될 수 있다. 그 전에, 통공(104a, 106a, 108a 및 110a)을 통하여 도입된 유체의 분위기(78) 내 농도는 배출로 인하여 소정 레벨 아래로 떨어진다. 그 다음, 유체는 통공(104a, 106a, 108a 및 110a) 중 하나 또는 전부를 통하여 다시 재도입하고, 공정을 반복하여 유동 셀(112)을 형성 및/또는 유지시킬 수 있다.
전술한 본 발명의 구체예는 예시적이다. 많은 변형과 수정이 상기 열거된 개 시 내용에서 이루어질 수 있으며, 본 발명의 범주 내에 있다. 그러므로, 본 발명의 범주는 상기 설명에 의해 한정되는 것이 아니라, 그 균등물의 완전한 범주와 함께 첨부된 특허 청구 범위를 참고로 결정되어야 할 것이다.

Claims (15)

  1. 기판 상에 침착된 점성 액체의 층에 존재하는 가스를 감소시키는 방법으로서,
    상기 점성 액체 내 상기 가스의 이송을 증가시키도록 상기 점성 액체 부근의 가스의 조성을 변경하는 단계
    를 포함하는 것을 특징으로 하는 방법.
  2. 제1항에 있어서, 변경 단계는 상기 점성 액체 중의 상기 가스의 용해도를 증가시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  3. 제1항에 있어서, 변경 단계는 상기 점성 액체 내 상기 가스의 확산을 증가시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  4. 제1항에 있어서, 변경 단계는 상기 점성 액체 내 상기 가스의 투과도를 증가시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  5. 제1항에 있어서, 변경 단계는 상기 점성 액체로 포화된 유체를 도입함으로써 기판 부근의 분위기를 제어하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  6. 제1항에 있어서, 상기 기판 부근의 분위기의 압력을 감소시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  7. 제1항에 있어서, 변경 단계는 상기 점성 액체 내 상기 가스의 상기 이송을 증가시키도록, 유체를 도입함으로써 상기 기판 부근의 분위기를 제어하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  8. 제6항에 있어서, 도입 단계는 이산화탄소 및 헬륨으로 구성된 일련의 유체 중에서 선택되는 상기 유체를 도입하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  9. 주형 상에 배치된 몰드와 기판 사이에 유체를 도입하는 방법으로서,
    상기 주형 부근에 상기 유체의 유동을 도입하여 상기 기판과 상기 주형 사이에서 상기 유체의 난류를 생성하여 상기 몰드와 상기 기판 사이의 상기 유동 내 상기 유체의 일부를 이동시키는 단계
    를 포함하는 것을 특징으로 하는 방법.
  10. 제9항에 있어서, 도입 단계는 상기 유체의 상기 유동을 상기 주형과 인접하고 상기 기판과 중첩하는 영역으로 펄싱하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  11. 제9항에 있어서, 도입 단계는 상기 유체의 상기 유동을 펄싱하는 단계를 더 포함하고, 펄싱 단계는 상기 주형의 주변부에 대하여 상이한 부분에서 다중 영역을 통하여 상기 유체를 연속적으로 분사하여 상기 몰드와 상기 기판 사이에 위치한 분위기 내에 유동 셀을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  12. 주형 상에 배치된 몰드와 기판 사이에 유체의 유동을 도입하는 시스템으로서,
    유체 공급 시스템; 및
    배플과 제1 및 제2 통공을 갖춘 척 몸체
    를 포함하고, 상기 제1 및 제2 통공은 상기 배플과 상기 주형 사이에서 상기 척 몸체의 표면 상에 배치되며, 상기 제1 및 제2 통공은 상기 유체 공급 시스템가 유체 연통하여 상기 몰드와 상기 기판 사이에서 상기 유체의 난류를 형성하는 것을 특징으로 하는 시스템.
  13. 제12항에 있어서, 상기 척 몸체는 상기 배플과 상기 주형 사이에서 상기 척 몸체의 상기 표면 상에 배치된 다수의 통공을 더 포함하는 것을 특징으로 하는 시스템.
  14. 제12항에 있어서, 상기 척 몸체는 상기 배플과 상기 주형 사이에서 상기 척 몸체의 상기 표면 상에 배치된 다수의 통공을 포함하고, 상기 다수의 통공은 서로 대향 배치된 한쌍의 통공을 포함하는 것을 특징으로 하는 시스템.
  15. 제12항에 있어서, 상기 척 몸체는 상기 배플과 상기 주형 사이에서 상기 척 몸체의 상기 표면 상에 배치된 다수의 통공을 포함하고, 상기 다수의 통공은 다수의 4분면을 가진 공통원에 놓이며, 상기 다수의 4분면 중 한 4분면은 상기 다수의 통공의 통공 세트를 포함하고, 상기 다수의 4분면의 상이한 4분면은 상기 다수의 통공의 상이한 통공 세트를 포함하는 것을 특징으로 하는 시스템.
KR1020067006082A 2003-10-02 2004-09-24 단일상 유체 임프린트 리소그래피 방법 KR20060096424A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/677,639 US7090716B2 (en) 2003-10-02 2003-10-02 Single phase fluid imprint lithography method
US10/677,639 2003-10-02

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020117022529A Division KR101178432B1 (ko) 2003-10-02 2004-09-24 단일상 유체 임프린트 리소그래피 방법
KR1020127011488A Division KR101241076B1 (ko) 2003-10-02 2004-09-24 단일상 유체 임프린트 리소그래피 방법

Publications (1)

Publication Number Publication Date
KR20060096424A true KR20060096424A (ko) 2006-09-11

Family

ID=34393769

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020127011488A KR101241076B1 (ko) 2003-10-02 2004-09-24 단일상 유체 임프린트 리소그래피 방법
KR1020067006082A KR20060096424A (ko) 2003-10-02 2004-09-24 단일상 유체 임프린트 리소그래피 방법
KR1020117022529A KR101178432B1 (ko) 2003-10-02 2004-09-24 단일상 유체 임프린트 리소그래피 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020127011488A KR101241076B1 (ko) 2003-10-02 2004-09-24 단일상 유체 임프린트 리소그래피 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020117022529A KR101178432B1 (ko) 2003-10-02 2004-09-24 단일상 유체 임프린트 리소그래피 방법

Country Status (9)

Country Link
US (3) US7090716B2 (ko)
EP (1) EP1667778B1 (ko)
JP (4) JP2007509769A (ko)
KR (3) KR101241076B1 (ko)
CN (1) CN100482307C (ko)
MY (1) MY135469A (ko)
SG (1) SG128681A1 (ko)
TW (1) TWI250560B (ko)
WO (1) WO2005033797A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9610712B2 (en) 2012-01-31 2017-04-04 Seagate Technology Llc Method of surface tension control to reduce trapped gas bubbles

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010030511A1 (en) 2000-04-18 2001-10-18 Shunpei Yamazaki Display device
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US20070164476A1 (en) * 2004-09-01 2007-07-19 Wei Wu Contact lithography apparatus and method employing substrate deformation
US7641468B2 (en) * 2004-09-01 2010-01-05 Hewlett-Packard Development Company, L.P. Imprint lithography apparatus and method employing an effective pressure
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US8721952B2 (en) * 2004-11-16 2014-05-13 International Business Machines Corporation Pneumatic method and apparatus for nano imprint lithography having a conforming mask
US7377764B2 (en) 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
US7316554B2 (en) * 2005-09-21 2008-01-08 Molecular Imprints, Inc. System to control an atmosphere between a body and a substrate
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
CN101573659A (zh) * 2005-12-08 2009-11-04 分子制模股份有限公司 排除位于基板和模具之间的气体的方法
US7670529B2 (en) 2005-12-08 2010-03-02 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
CN101405087A (zh) * 2006-04-03 2009-04-08 分子制模股份有限公司 光刻印刷系统
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
DE102006024524A1 (de) * 2006-05-23 2007-12-06 Von Ardenne Anlagentechnik Gmbh Infrarotstrahlung reflektierendes, transparentes Schichtsystem
WO2008082650A1 (en) * 2006-12-29 2008-07-10 Molecular Imprints, Inc. Imprint fluid control
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US8144309B2 (en) 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
CN101868760B (zh) * 2007-11-21 2013-01-16 分子制模股份有限公司 用于纳米刻印光刻的多孔模板及方法、以及刻印层叠物
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
TW200932502A (en) * 2008-01-18 2009-08-01 Univ Nat Taiwan An improved embossing apparatus
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
KR101678040B1 (ko) * 2008-12-04 2016-11-21 에이에스엠엘 네델란즈 비.브이. 임프린트 리소그래피 장치 및 방법
JP5175771B2 (ja) * 2009-02-27 2013-04-03 株式会社日立ハイテクノロジーズ 微細構造転写装置及び微細構造転写方法
WO2010111307A1 (en) * 2009-03-23 2010-09-30 Intevac, Inc. A process for optimization of island to trench ratio in patterned media
JP2011023660A (ja) * 2009-07-17 2011-02-03 Toshiba Corp パターン転写方法
DK2496989T3 (da) * 2009-11-02 2014-01-20 Univ Danmarks Tekniske Fremgangsmåde og indretning til nanotryknings-litografi
US20110140304A1 (en) * 2009-12-10 2011-06-16 Molecular Imprints, Inc. Imprint lithography template
WO2011094317A2 (en) * 2010-01-26 2011-08-04 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US20110180964A1 (en) * 2010-01-27 2011-07-28 Molecular Imprints. Inc. Systems and methods for substrate formation
TW201144091A (en) * 2010-01-29 2011-12-16 Molecular Imprints Inc Ultra-compliant nanoimprint lithography templates
JP5848263B2 (ja) * 2010-02-09 2016-01-27 モレキュラー・インプリンツ・インコーポレーテッド ナノインプリントのためのプロセスガス閉じ込め
JP5491931B2 (ja) * 2010-03-30 2014-05-14 富士フイルム株式会社 ナノインプリント方法およびモールド製造方法
JP5597031B2 (ja) 2010-05-31 2014-10-01 キヤノン株式会社 リソグラフィ装置及び物品の製造方法
JP5828626B2 (ja) * 2010-10-04 2015-12-09 キヤノン株式会社 インプリント方法
JP5679850B2 (ja) * 2011-02-07 2015-03-04 キヤノン株式会社 インプリント装置、および、物品の製造方法
JP5787691B2 (ja) * 2011-09-21 2015-09-30 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法
JP5882922B2 (ja) * 2012-01-19 2016-03-09 キヤノン株式会社 インプリント方法、およびインプリント装置
JP6304921B2 (ja) * 2012-06-05 2018-04-04 キヤノン株式会社 インプリント方法およびインプリント装置、それを用いた物品の製造方法
US10279538B2 (en) 2012-10-04 2019-05-07 Dai Nippon Printing Co., Ltd. Imprinting method and imprinting apparatus
JP6748399B2 (ja) * 2012-11-30 2020-09-02 キヤノン株式会社 インプリント方法およびインプリント用硬化性組成物
JP6230041B2 (ja) * 2013-04-18 2017-11-15 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法
KR102056902B1 (ko) 2013-05-29 2019-12-18 삼성전자주식회사 와이어 그리드 편광판 및 이를 구비하는 액정 표시패널 및 액정 표시장치
KR102089661B1 (ko) 2013-08-27 2020-03-17 삼성전자주식회사 와이어 그리드 편광판 및 이를 구비하는 액정 표시패널 및 액정 표시장치
JP5865332B2 (ja) 2013-11-01 2016-02-17 キヤノン株式会社 インプリント装置、物品の製造方法、及びインプリント方法
CN105706214B (zh) * 2013-11-06 2018-10-02 佳能株式会社 用于确定压印模具的图案的方法、压印方法和装置
JP6294679B2 (ja) 2014-01-21 2018-03-14 キヤノン株式会社 インプリント装置及び物品の製造方法
JP6445772B2 (ja) * 2014-03-17 2018-12-26 キヤノン株式会社 インプリント装置及び物品の製造方法
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
JP2015005760A (ja) * 2014-07-31 2015-01-08 キヤノン株式会社 インプリント装置、および物品の製造方法
JP6525567B2 (ja) 2014-12-02 2019-06-05 キヤノン株式会社 インプリント装置及び物品の製造方法
JP6628491B2 (ja) * 2015-04-13 2020-01-08 キヤノン株式会社 インプリント装置、インプリント方法、および物品の製造方法
JP5989177B2 (ja) * 2015-04-20 2016-09-07 キヤノン株式会社 インプリント装置、および物品の製造方法
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
KR101968471B1 (ko) 2017-01-30 2019-04-11 배용주 전기를 발생시키고 저장하는 장치
US10895806B2 (en) * 2017-09-29 2021-01-19 Canon Kabushiki Kaisha Imprinting method and apparatus
US10684407B2 (en) * 2017-10-30 2020-06-16 Facebook Technologies, Llc Reactivity enhancement in ion beam etcher
JP7210155B2 (ja) 2018-04-16 2023-01-23 キヤノン株式会社 装置、方法、および物品製造方法
US11137536B2 (en) 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
US11226446B2 (en) 2020-05-06 2022-01-18 Facebook Technologies, Llc Hydrogen/nitrogen doping and chemically assisted etching of high refractive index gratings
US11590687B2 (en) 2020-06-30 2023-02-28 Canon Kabushiki Kaisha Systems and methods for reducing pressure while shaping a film

Family Cites Families (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3997447A (en) 1974-06-07 1976-12-14 Composite Sciences, Inc. Fluid processing apparatus
FR2325018A1 (fr) * 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (ja) * 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4279628A (en) * 1979-12-31 1981-07-21 Energy Synergistics, Inc. Apparatus for drying a natural gas stream
DE8007086U1 (de) * 1980-03-14 1982-03-18 Multivac Sepp Haggenmüller KG, 8941 Wolfertschwenden Vorrichtung zum formen von behaeltnissen aus einer folie
EP0091651B1 (en) * 1982-04-12 1988-08-03 Nippon Telegraph And Telephone Corporation Method for forming micropattern
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
US4451507A (en) * 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (fr) * 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
US4767584A (en) * 1985-04-03 1988-08-30 Massachusetts Institute Of Technology Process of and apparatus for producing design patterns in materials
DE3514022C1 (de) * 1985-04-18 1986-07-10 Fa. Carl Freudenberg, 6940 Weinheim Vorrichtung zum gegenseitigen Verkleben thermisch erweichbarer Partikel zu einem Kunststoffkoerper
EP0245461A1 (en) 1985-11-18 1987-11-19 EASTMAN KODAK COMPANY (a New Jersey corporation) Process for making optical recording media
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
GB8610671D0 (en) * 1986-05-01 1986-06-04 Atomic Energy Authority Uk Flow monitoring
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) * 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
EP0255303B1 (en) * 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
KR930000293B1 (ko) 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 미세패턴형성방법
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JPH01196749A (ja) 1988-01-30 1989-08-08 Hoya Corp 光情報記録媒体用基板の製造方法
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5821175A (en) * 1988-07-08 1998-10-13 Cauldron Limited Partnership Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface
JPH0224848A (ja) 1988-07-14 1990-01-26 Canon Inc 光記録媒体用基板の製造方法
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
JPH0292603A (ja) 1988-09-30 1990-04-03 Hoya Corp 案内溝付き情報記録用基板の製造方法
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
JPH02192045A (ja) 1989-01-20 1990-07-27 Fujitsu Ltd 光ディスク基板の製造方法
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
ES2103261T3 (es) 1989-04-24 1997-09-16 Siemens Ag Procedimiento para la generacion de estructuras resistentes a la corrosion.
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) * 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
DE4031637C2 (de) 1989-10-06 1997-04-10 Toshiba Kawasaki Kk Anordnung zum Messen einer Verschiebung zwischen zwei Objekten
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
JP3197010B2 (ja) * 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
JP2977091B2 (ja) * 1990-09-28 1999-11-10 安藤電気株式会社 ヘテロダイン受光を用いた光パルス試験器
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5421981A (en) * 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5263073A (en) * 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JPH05206095A (ja) * 1992-01-28 1993-08-13 Fujitsu Ltd 超音波処理槽と枚葉式基板処理装置
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
FR2690375B1 (fr) * 1992-04-22 1994-07-08 Aerospatiale Dispositif de compactage a chaud pour la fabrication de pieces necessitant des montees en pression et en temperature simultanees.
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5431777A (en) * 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (ko) * 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) * 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
NL9401260A (nl) * 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
JPH07178762A (ja) * 1993-12-24 1995-07-18 Sanyo Silicon Denshi Kk 樹脂成形方法および樹脂成形用金型
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5534101A (en) * 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5512335A (en) * 1994-06-27 1996-04-30 International Business Machines Corporation Fluid treatment device with vibrational energy means
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5997273A (en) 1995-08-01 1999-12-07 Laquer; Henry Louis Differential pressure HIP forging in a controlled gaseous environment
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US6257866B1 (en) * 1996-06-18 2001-07-10 Hy-Tech Forming Systems, Inc. Apparatus for accurately forming plastic sheet
US6039897A (en) * 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
JP3783170B2 (ja) * 1996-11-19 2006-06-07 同和鉱業株式会社 樹脂成形装置
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
WO1999005724A1 (en) 1997-07-25 1999-02-04 Regents Of The University Of Minnesota Single-electron floating-gate mos memory
US5912049A (en) * 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
DE19819761C2 (de) * 1998-05-04 2000-05-31 Jenoptik Jena Gmbh Einrichtung zur Trennung eines geformten Substrates von einem Prägewerkzeug
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
AU4730699A (en) 1998-07-02 2000-01-24 Millipore Corporation Process for coating a solid surface with a liquid composition
US6099771A (en) * 1998-07-08 2000-08-08 Lear Corporation Vacuum compression method for forming molded thermoplastic floor mat having a "Class A" finish
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
WO2000021689A1 (en) 1998-10-09 2000-04-20 The Trustees Of Princeton University Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
JP3291488B2 (ja) * 1999-05-27 2002-06-10 三洋電機株式会社 流体の被除去物除去方法
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
EP1065567A3 (en) * 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
US6391217B2 (en) * 1999-12-23 2002-05-21 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
JP3847512B2 (ja) * 2000-02-07 2006-11-22 株式会社日立メディコ 磁気共鳴イメージング装置
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP2001358056A (ja) * 2000-06-15 2001-12-26 Canon Inc 露光装置
US6921615B2 (en) * 2000-07-16 2005-07-26 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods for imprint lithography
US6696220B2 (en) * 2000-10-12 2004-02-24 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro-and nano-imprint lithography
CN1262883C (zh) * 2000-07-17 2006-07-05 得克萨斯州大学系统董事会 影印用于平版印刷工艺中的自动化液体分配的方法和系统
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
JP3721320B2 (ja) 2000-11-01 2005-11-30 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
SG187992A1 (en) 2001-07-25 2013-03-28 Univ Princeton Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
JP3978706B2 (ja) * 2001-09-20 2007-09-19 セイコーエプソン株式会社 微細構造体の製造方法
WO2003035932A1 (en) * 2001-09-25 2003-05-01 Minuta Technology Co., Ltd. Method for forming a micro-pattern on a substrate by using capillary force
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
JP3850718B2 (ja) * 2001-11-22 2006-11-29 株式会社東芝 加工方法
US6890688B2 (en) * 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
CN100373528C (zh) 2002-03-15 2008-03-05 普林斯顿大学 激光辅助直接压印平板印刷术
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
EP1509379B1 (en) 2002-05-24 2012-02-29 Stephen Y. Chou Methods and apparatus of field-induced pressure imprint lithography
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
MY144124A (en) 2002-07-11 2011-08-15 Molecular Imprints Inc Step and repeat imprint lithography systems
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
KR20050026088A (ko) 2002-08-01 2005-03-14 몰레큘러 임프린츠 인코퍼레이티드 임프린트 리소그래피용 산란측정 정렬
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
JP2004071934A (ja) * 2002-08-08 2004-03-04 Kanegafuchi Chem Ind Co Ltd 微細パターンの製造方法および転写材料
JP3700001B2 (ja) * 2002-09-10 2005-09-28 独立行政法人産業技術総合研究所 インプリント方法及び装置
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7441008B2 (en) 2002-12-18 2008-10-21 International Business Machines Corporation Method for correlating transactions and messages
US6986815B2 (en) * 2003-01-08 2006-01-17 General Electric Company Flow system flush process
US7104268B2 (en) * 2003-01-10 2006-09-12 Akrion Technologies, Inc. Megasonic cleaning system with buffered cavitation method
WO2004086471A1 (en) * 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
WO2004114016A2 (en) 2003-06-09 2004-12-29 Princeton University Office Of Technology Licensing And Intellectual Property Imprint lithography with improved monitoring and control and apparatus therefor
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9610712B2 (en) 2012-01-31 2017-04-04 Seagate Technology Llc Method of surface tension control to reduce trapped gas bubbles
US10828666B2 (en) 2012-01-31 2020-11-10 Seagate Technology Llc Method of surface tension control to reduce trapped gas bubbles

Also Published As

Publication number Publication date
EP1667778A2 (en) 2006-06-14
US20050072755A1 (en) 2005-04-07
JP5275399B2 (ja) 2013-08-28
US7270533B2 (en) 2007-09-18
JP4536157B1 (ja) 2010-09-01
KR101178432B1 (ko) 2012-08-31
EP1667778B1 (en) 2012-12-26
TW200518188A (en) 2005-06-01
EP1667778A4 (en) 2009-04-22
JP4658227B2 (ja) 2011-03-23
MY135469A (en) 2008-04-30
WO2005033797A2 (en) 2005-04-14
TWI250560B (en) 2006-03-01
JP2010192911A (ja) 2010-09-02
KR101241076B1 (ko) 2013-03-11
US20050074512A1 (en) 2005-04-07
US7090716B2 (en) 2006-08-15
WO2005033797A3 (en) 2005-10-06
US7531025B2 (en) 2009-05-12
JP2010192912A (ja) 2010-09-02
JP2011193005A (ja) 2011-09-29
CN100482307C (zh) 2009-04-29
KR20120052426A (ko) 2012-05-23
SG128681A1 (en) 2007-01-30
CN1859959A (zh) 2006-11-08
JP2007509769A (ja) 2007-04-19
US20050072757A1 (en) 2005-04-07
KR20110120972A (ko) 2011-11-04

Similar Documents

Publication Publication Date Title
KR101241076B1 (ko) 단일상 유체 임프린트 리소그래피 방법
US8211214B2 (en) Single phase fluid imprint lithography method
US7281919B2 (en) System for controlling a volume of material on a mold
KR101202653B1 (ko) 정합 영역과 몰드의 패턴 간의 밀착성 감소 방법
KR101121015B1 (ko) 모세관 임프린트 기술
US7462028B2 (en) Partial vacuum environment imprinting
JP5745532B2 (ja) インプリント・リソグラフィ用テンプレート
US20100096764A1 (en) Gas Environment for Imprint Lithography
US20050098537A1 (en) Method for large-area patterning dissolved polymers by making use of an active stamp
Stacey et al. System for creating a turbulent flow of fluid between a mold and a substrate
Watts et al. Single phase fluid imprint lithography method

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
A107 Divisional application of patent
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
J201 Request for trial against refusal decision
E801 Decision on dismissal of amendment
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20120503

Effective date: 20131125