JP5275399B2 - インプリント層に閉じ込められるガスを減少させるための方法 - Google Patents

インプリント層に閉じ込められるガスを減少させるための方法 Download PDF

Info

Publication number
JP5275399B2
JP5275399B2 JP2011090191A JP2011090191A JP5275399B2 JP 5275399 B2 JP5275399 B2 JP 5275399B2 JP 2011090191 A JP2011090191 A JP 2011090191A JP 2011090191 A JP2011090191 A JP 2011090191A JP 5275399 B2 JP5275399 B2 JP 5275399B2
Authority
JP
Japan
Prior art keywords
fluid
atmosphere
mold
substrate
apertures
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011090191A
Other languages
English (en)
Other versions
JP2011193005A (ja
Inventor
マックマッキン,イアン・エム
バブス,ダニエル・エイ
ボース,ドゥアン・ジェイ
ワッツ,マイケル・ピイ・シイ
トルスケット,バン・エヌ
シュ,フランク・ワイ
ボイシン,ロナルド・ディ
ラド,パンカジ・ビイ
ステイシー,ニコラス・エイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Publication of JP2011193005A publication Critical patent/JP2011193005A/ja
Application granted granted Critical
Publication of JP5275399B2 publication Critical patent/JP5275399B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S425/00Plastic article or earthenware shaping or treating: apparatus
    • Y10S425/06Vacuum
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S425/00Plastic article or earthenware shaping or treating: apparatus
    • Y10S425/815Chemically inert or reactive atmosphere
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/909Controlled atmosphere

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本発明は、一般に、インプリント・リソグラフィに関するものである。とりわけ、本発明は、インプリント層に存在するガスを除去するとはいかないまでも、低減することによって、インプリント・リソグラフィ中のパターンの歪みを軽減することを対象とするものである。
マイクロファブリケーションには、例えば、マイクロメートル以下のオーダのフューチャを備える極小構造の製作が必要になる。マイクロファブリケーションがかなりの影響を及ぼす領域の1つは、集積回路の処理である。半導体処理産業は、引き続き、より多くの製品歩留まりを追及し、同時に、基板上に形成される単位面積当り回路数を増加させようとしているので、マイクロファブリケーションは、ますます重要になる。マイクロファブリケーションによれば、工程管理がより厳密に行われ、同時に、形成される構造の最小フューチャ寸法を縮小させることができる。マイクロファブリケーションが用いられてきた他の開発領域には、バイオテクノロジ、光テクノロジ、機械システム等がある。
典型的なマイクロファブリケーション技法については、先行技術文献に記載がある(例えば、特許文献1参照)。この文献には、ある構造にレリーフ像を形成する方法が開示されている。この方法には、転写層を備える基板を設けるステップが含まれる。転写層は、重合可能流体配合物で被覆される。モールドが、重合可能流体と機械的に接触する。モールドには、レリーフ構造が含まれており、重合可能流体配合物によって、レリーフ構造が充填される。重合可能流体配合物は、次に、それを固化させて、重合させる条件にさらされ、モールドのレリーフ構造と相補性のレリーフ構造を含む固化高分子材料が転写層に形成される。次に、固形高分子材料からモールドが引き剥がされ、モールドのレリーフ構造のレプリカが、固化高分子材料に形成される。転写層と固化高分子材料は、固化高分子材料に関する転写層への選択的エッチングを施す環境にさらされて、転写層にレリーフ像が形成される。必要とされる時間、この技法によって可能となる最小フューチャ寸法は、とりわけ、重合可能材料の組成によって決まる。
先行技術文献の1つ(特許文献2参照)には、基板にコーティングされた薄膜に超微細(25nm未満)パターンを生成するためのリソグラフィック法及び装置が開示されており、この場合、少なくとも1つの隆起したフューチャを備えるモールドが基板に支持された薄膜に圧入される。モールドの隆起したフューチャによって、薄膜の凹部が形成される。薄膜からモールドが除去される。次に、薄膜に処理が施されて、凹部内の薄膜が除去され、下方の基板が露出する。こうして、薄膜内にモールドのパターンが複製され、リソグラフィが完了する。薄膜内のパターンは、後続のプロセスにおいて、基板内に、又は、基板に付加される別の材料に再現される。
先行技術文献の1つ(非特許文献1)に、レーザ応用直接インプリント(LADI)・プロセスと呼ばれる、もう1つの先行インプリント・リソグラフィ技法が開示されている。このプロセスでは、基板のある領域が、レーザでその領域を加熱することによって、流動性にされる、例えば、液化される。その領域が所望の粘度に達すると、パターンが形成されたモールドが、その領域に接触するように配置される。流動性領域は、パターンの輪郭と形状が一致し、その後、冷却されて、パターンが基板に固化される。上述の技法に関する問題は、流動性領域に近接した大気の存在に起因するパターンの歪みを伴うことにある。
米国特許第6,334,960号明細書 米国特許第5,772,905号明細書
Chou他、「Ultrafast and Direct Imprint of Nanostructures in Silicon」、Nature、2002年6月、第417巻、p.835−837
従って、インプリント・リソグラフィック技法を用いて形成されるパターンの歪みを軽減するシステムを提供することが望まれる。
本発明は、基板上に付着した粘性液体層に存在するガス・ポケットを大幅に減少させることによって、パターン歪みを軽減する方法を対象とする。そのため、この方法には、粘性液体のさまざまな輸送特性によって、モールドに近接したガスを分配するステップが含まれている。すなわち、基板に近接した大気は、付着した粘性液体に対して、可溶性が高いか、拡散性が高いか、あるいは、その両方であるガスで飽和状態である。さらに、あるいは上述の大気を用意する代わりに、大気圧を低下させることも可能である。これらの方法を利用することによって、歪みのないインプリントの迅速な製作が容易になる。以上の及びその他の実施態様については、さらに詳細に後述する。
本発明によるリソグラフィック・システムの斜視図である。 図1に示すリソグラフィック・システムの略立面図である。 重合及び架橋結合前の、図2に示すインプリンティング層を構成する材料の略図である。 放射線にさらされた後、図3に示す材料が変換される架橋結合高分子材料の略図である。 インプリンティング層のパターン形成後における、図1に示すインプリンティング層から間隔をあけたモールドの略立面図である。 第1のインプリンティング層におけるパターンの転写後に、図5に示す基板上に配置される追加インプリンティング層の略立面図である。 図1に示すプリント・ヘッドの詳細斜視図である。 本発明によるチャッキング・システムの断面図である。 図7に示すインプリント・ヘッドの詳細断面図である。 図9に示すインプリント・ヘッドの上向き斜視図である。
図1には、ブリッジ14を備える一対の間隔をあけたブリッジ支持体12と、その間に延びるステージ支持体16を含む、本発明の実施形態の1つによるリソグラフィック・システム10が描かれている。ブリッジ14とステージ支持体16は、間隔をあけて位置している。ブリッジ14には、ブリッジ14からステージ支持体16に向かって延び、Z軸に沿って移動するインプリント・ヘッド18が結合されている。移動ステージ20が、インプリント・ヘッド18に向かい合って、ステージ支持体16上に配置されている。移動ステージ20は、ステージ支持体16に対しX軸及びY軸に沿って移動するように構成されている。もちろん、インプリント・ヘッド18は、Z軸だけではなく、X軸及びY軸に沿った移動も可能であり、移動ステージ20は、X軸及びY軸だけではなく、Z軸における移動も可能である。本発明の譲受人に譲渡され、参考までにそっくりそのまま本明細書で援用されている、2002年7月11日提出の、「Step and Repeat Imprint Lithography Systems」と題する米国特許出願公開第10/194,414号明細書には典型的な移動ステージ装置が開示されている。リソグラフィック・システム10には、移動ステージ20に化学線を当てるため、放射線源22が結合されている。図示のように、放射線源22は、ブリッジ14に結合されており、放射線源22に接続された発電機23を含んでいる。リソグラフィック・システム10の動作は、一般に、それとのデータのやりとりをするプロセッサ25によって制御される。
図1及び図2の両方を参照すると、モールド28を取り付けたテンプレート26が、インプリント・ヘッド18に接続されている。モールド28には、間隔をあけた複数の凹部28aと隆起部28bによって形成された複数のフューチャが含まれている。この複数のフューチャによって、移動ステージ20に配置された基板30に転写すべき元のパターンが形成される。そのため、インプリント・ヘッド18及び/又は移動ステージ20によって、モールド28と基板30との距離「d」を変化させることが可能である。こうして、さらに詳細に後述するように、モールド28のフューチャを基板30の流動性領域にインプリントすることが可能になる。放射線源22は、モールド28が放射線源22と基板30の間に位置するように配置されている。結果として、モールド28は、放射線源22によって生じる放射線に対してほぼ透明にすることが可能な材料から製作される。
図2及び図3の両方を参照すると、インプリンティング層34のような流動性領域が、ほぼ平面状の輪郭を示す表面32の一部に配置されている。流動性領域は、参考までに本明細書においてそっくりそのまま援用されている、米国特許第5,772,905号明細書に開示の高温エンボス加工、又は、Chou他、「Ultrafast and Direct Imprint of Nanostructures in Silicon」、Nature、2002年6月、第417巻、p.835−837に記載のタイプのレーザ応用直接インプリンティング(LADI)といった、任意の既知の技法を利用して形成することが可能である。さらに、流動性領域には、成形又は硬化を施すことによって、剛性レプリカを形成することが可能になる、スピン・コーティングされた粘性流体の薄膜を含むことも可能である。しかし、本実施形態の場合、流動性領域は、さらに詳細に後述するように、ある材料36aによる複数の間隔をあけた離散的小滴36として基板30上に付着させられた、インプリンティング層34から構成される。本発明の譲受人に譲渡され、参考までにそっくりそのまま本明細書で援用されている、2002年7月9日提出の、「System and Method for Dispensing Liquids」と題する米国特許出願公開第10/191,749号明細書には、小滴36を付着させるための典型的なシステムが開示されている。インプリンティング層34は、選択的に重合させ、架橋結合させて、もとのパターンを記録し、記録されたパターンを形成することが可能な材料36aから形成されている。参考までにそっくりそのまま本明細書で援用されている、2003年6月16日提出の、「Method to Reduce Adhesion Between a Conformable Region and a Pattern of a Mold」と題する米国特許出願公開第10/463,396号明細書には、材料36aの典型的な組成が開示されている。図4には、ポイント36bにおいて架橋結合されて、架橋結合高分子材料36cを形成する、材料36aが示されている。
図2、図3、図5を参照すると、インプリンティング層34に記録されるパターンが、部分的に、モールド28との機械的接触によって形成される。そのため、距離「d」を縮めて、小滴36とモールド28を機械的に接触させ、小滴36が拡散して、表面32上に連続して形成される材料36aによって、インプリンティング層34を形成させることが可能である。実施形態の1つでは、距離「d」を縮めて、インプリンティング層34の小部分34aを凹部28a内に移入させ、充填することが可能である。
凹部28aの充填を容易にするため、材料36aには、凹部28aを完全に充填し、同時に、連続して形成される材料36aによって表面32を覆うのに不可欠な特性が付与されている。本実施形態の場合、隆起部28bの上に重なったインプリンティング層34の小部分34bは、所望の、通常は最短の、距離「d」に達した後も残留し、厚さt1の小部分34aと厚さt2の小部分34bが残される。厚さ「t1」と「t2」は、用途に応じた、任意の所望の厚さとすることが可能である。一般に、t1は、図5により明確に示されているように、小部分34aの幅uのわずか2倍、すなわち、t1≦2uにしかならないように選択される。
図2、図3、図4を参照すると、所望の距離「d」に達した後、放射線源22によって、材料36aを重合させ、架橋結合させて、架橋結合高分子材料36cを形成する化学線が放出される。結果として、インプリンティング層34の組成によって、材料36aが架橋結合高分子材料36cに変換され、固化される。すなわち、架橋結合高分子材料36cが固化されて、図5により明確に示されるように、インプリンティング層34の34c側にモールド28の表面28cの形状に一致する形状が生じる。インプリンティング層34が、図4に示すように、架橋結合高分子材料36cからなるように変換された後、図2に示すインプリント・ヘッド18は、モールド28とインプリンティング層34の間隔があくように、距離「d」を広げるため、移動させられる。
図5を参照すると、追加処理を用いて、基板30のパターン形成を完成することが可能である。例えば、インプリンティング層34と基板30にエッチングを施して、インプリンティング層34のパターンを基板30に転写し、図6に示すパターン形成された表面32aが得られるようにすることが可能である。エッチングを容易にするため、インプリンティング層34が形成される材料を変更して、要望どおりの、基板30に対する相対的エッチング速度を決めることが可能である。インプリンティング層34と基板30の相対的エッチング速度は、約1.5:1〜約100:1とすることが可能である。
代わりに、あるいはさらに、インプリンティング層34に、選択的に付着させたフォトレジスト材料(不図示)に対してエッチング差をつけることが可能である。既知の技法を利用して、フォトレジスト材料(不図示)を塗布し、インプリンティング層34にさらにパターン形成することも可能である。所望のエッチング速度と、基板30やインプリンティング層34を形成する基礎的成分に応じて、任意のエッチング・プロセスを用いることが可能である。典型的なエッチング・プロセスには、プラズマ・エッチング、反応性イオン・エッチング、化学ウェット・エッチング等を含むことが可能である。
図7、図8を参照すると、モールド28が存在するテンプレート26が、チャック本体42を含むチャッキング・システム40によって、インプリント・ヘッド・ハウジング18aに結合されている。チャック本体42は、真空技法を用いて、モールド28が取り付けられているテンプレート26を保持する。そのため、チャック本体42には、流体供給システム70のような圧力制御システムと流体で通じている1つ以上の凹部42aが含まれている。流体供給システム70には、正圧と負圧の両方を供給するための1つ以上のポンプや、図5に示すインプリンティング層34内への空気のようなガスの閉じ込めを阻止できないまでも、その低減を促進する流体供給源を含むことが可能である。本発明の譲受人に譲渡され、参考までにそっくりそのまま本明細書において援用されている、「Chucking System For Modulating Shapes of Substrates」と題する、米国特許出願公開第10/293,224号明細書には、典型的なチャッキング・システムの1つが開示されている。
上述のように、インプリント中、テンプレート26、従って、モールド28は、領域77に配置されたインプリンティング材料36aへのパターン形成前に、基板30のすぐ近くにまで移動させられる。すなわち、テンプレート26は、基板30から数十ミクロン以内、例えば、およそ15ミクロン以内に移動させられる。テンプレート26と領域77の両方に近接した大気78の局所制御を実施するのが望ましいことが分っている。例えば、インプリンティング材料36a内に存在する、及び/又は、パターン形成されたインプリンティング層34に後で閉じ込められるガス、及び/又は、ガス・ポケットの悪影響を回避するため、大気78内の流体組成、及び/又は、大気78の圧力を制御するのが有効であることが分っている。
図9を参照すると、大気78の制御を容易にするため、チャック本体42は、モールド28に近接した流体の通過を促進するように設計されており、インプリント・ヘッド18には、テンプレート26を包囲するバッフル100が含まれている。すなわち、バッフル100は、インプリント・ヘッド18から突き出して、表面26aが位置する平面内にある最下部102が末端をなしている。この方法では、モールド28が、最下部102を越えて突き出し、領域77との接触を容易にする。チャック本体42には、1つ以上の通路が含まれており、そのうちの2つが104、106として示されている。通路104、106のアパーチャ104a、106aは、それぞれ、テンプレート26とバッフル100の間に配置された、周辺表面100aと呼ばれるチャック本体42の表面に配置されている。通路104、106によって、アパーチャ104a、106aは流体供給システム70と流体で通じている。バッフル100は、モールド28から離れる、アパーチャ104a、106aを出る流体の動きを減速する働きをする。そのため、バッフル100には、第1と第2の反対側の表面102a、102bが含まれている。第1の反対側表面102aは、基板30から離れ、最下部102から延びて、テンプレート26の方を向いている。第2の反対側表面102bは、基板30から離れ、最下部102から延びて、モールド28から外に向いている。必要というわけではないが、第1の反対側表面102aは、図示のように、第2の反対側表面102bに対して斜めに延びている。この構成の場合、大気78は、アパーチャ104a、106aを介した流体の導入又は排出によって制御可能である。また一方、第1及び第2の反対側表面102a、102bは、最下部102から互いに平行に延びることも可能である。
図3及び図9を参照すると、実施形態の1つにおいて、大気78は、領域77のインプリンティング材料36aを通り抜ける大気に含まれるガスの輸送が、空気に関連した輸送に対して増大するようにつくられる。輸送という用語は、インプリンティング材料36aを通り抜けるガスの伝播を促進する任意のメカニズム、例えば、溶解性の向上、拡散の増大、透過性の向上等を生じることになるものと定義される。そのため、流体供給システム70には、蒸気の形態のインプリンティング材料36a又はその成分の供給源を含むことが可能である。流体供給システム70とデータのやりとりをするプロセッサ25の制御下において、アパーチャ104a、106aを介して、インプリンティング材料36aを導入し、大気78をインプリンティング材料36aで飽和させることが可能である。これは、インプリント・プロセス中にインプリンティング層34に閉じ込められた空気のようなガスを完全に除去するとはいかないまでも、その量を減少させることが明らかになった。これは、インプリンティング層34に存在する空気が望ましくないボイドを生じることが分っているので、有益である。あるいはまた、大気78を二酸化炭素及び/又はヘリウムで飽和させると、図5に示すインプリンティング層34に空気が閉じ込められないようにするとまではいかないまでも、その量が大幅に減少し、その結果、望ましくないボイドの形成をなくすとはいかないにせよ、減少させるということが判明した。さらに、上述の大気78を用いることによって、望ましくないボイドの数が減少するか、又は、無くなるだけではなく、許容可能な最低レベルのパターン欠陥の実現に必要な時間が大幅に短縮されることも明らかになった。もちろん、図3に示すインプリンティング材料36a、二酸化炭素、及び/又は、ヘリウムの混合物を図9に示す大気78に導入して、図5に示すインプリンティング層34に閉じ込められる空気の量を減少させることが可能である。
図9、図10を参照すると、流体の導入に関して遭遇する問題は、アパーチャ104a、106aを出る流体流104b、106b中の分子がそれぞれ、モールド28と小滴36との間に位置する大気の領域まで、小滴36とモールド28の接触前に移動することであった。この大気78の領域は、処理領域78aと呼ばれる。図示のように、アパーチャ104a、106aは、処理領域78aから間隔をあけた周辺表面100aの付近に配置されている。領域77からモールド28までの離隔距離がミクロンのオーダであると仮定すると、処理領域78aへの前述の分子の進入は実現が困難である。
前述の問題を克服するための方法の1つは、上述の所望の分子混合物を含む大気78中に流体流104b、106bを律動的に送り込むのに適した制御ソフトウェア(不図示)がプログラムされたプロセッサ25の制御の下に、流体供給システム70をおくことである。こうして、流体流104b、106bの層流を回避することが可能になる。流体流104b、106bに乱流を生じさせることによって、それに含まれる十分な量の分子が処理領域78aに到達して、インプリンティング層34(不図示)に閉じ込められるガスの存在を回避するとまではいかなくても、減少させる確率が高まる。そのため、流体を律動的に送って、アパーチャ104a、106aの両方を通過させることもできるし、あるいは、順次通過させることも可能である、すなわち、第1の流体をアパーチャ104aに導入し、その後、アパーチャ106aに導入し、次に、もう一度アパーチャ104aに導入し、...、このプロセスが、ある所望の時間にわたって、又は、全インプリンティング・プロセスの間中、繰り返されるようにすることが可能である。さらに、モールド28と小滴36とが接触する前に、ガスに含まれている十分な量の分子が処理領域78aに到達することが望ましいので、処理領域78aにガスが流入するタイミングが重要になる。
あるいはまた、流体を律動的に送って、アパーチャの一方、例えば、アパーチャ104aを通過させ、次に、残りのアパーチャ、例えば、アパーチャ106aを介して排出することも可能である。こうして、流体は、処理領域78aを横切って流されることになる。流体を律動的に送って、アパーチャ104a、106aの両方を同時に通過させ、次に、アパーチャ104a、106aの両方を介して同時に排出するのが有利である場合もあり得る。しかし、流体の流量は、小滴36の移動を回避するとはいかないまでも、最小限の抑えるように設定するのが望ましい。
アパーチャ104a、106aを出る流体が処理領域78aを横切るのを確実にするため、流体を律動的に同時に送って、アパーチャ104a、106aの両方を同時に通過させ、次に、アパーチャ104a、106aの一方を介して交互に排出するのが有利である場合もあり得る。アパーチャ104a、106aの両方を介して流体を同時に導入することによって、大気78の飽和に必要な時間が最短に抑えられる。アパーチャ104a、106aの一方を介して交互に流体を排出することによって、流体が処理領域78aを確実に通過することになる。例えば、第1のステップには、アパーチャ104a、106aの両方を介して大気78中に流体を導入することが含まれることになる。第2のステップには、アパーチャ104a、106aの一方、例えば、アパーチャ104aを介して流体を排出することが含まれることになる。その後の第3のステップでは、アパーチャ104a、106aの両方を介して、流体が大気78中に同時に導入されることになる。第4のステップでは、流体を除去する前回のステップに用いられなかった、アパーチャ104a、106aの一方、例えば、アパーチャ106aを介して、流体が排出される。もちろん、流体が、アパーチャ104a、106aの一方を介して導入されている間に、排出が、アパーチャ104a、106aの残りのアパーチャを介して行われることもあり得る。あるいはまた、大気78中への流体の流入がないときに、排出が行われることもあり得る。望ましい結果は、大気78中への流体の進入及びそこからの大気の排出が行われて、所望の濃度の流体になるということである。
もう1つの実施形態の場合、周辺表面100a付近に複数のアパーチャを配置して、一対のアパーチャが、それぞれ、テンプレート26の反対側において互いに向かい合う位置につくようにすることが可能である。これが、テンプレート26の反対側において互いに向かい合う位置についたアパーチャ対104a、106aによって示されている。第2のアパーチャ対が、108a、110aとして示されている。アパーチャ108a、110aは、テンプレート26の反対側に互いに向かい合うように配置されている。
図示のように、アパーチャ104a、106a、108a、110aは、それぞれ、隣接アパーチャとの間隔が90°あくようにして、共通円上に位置するように配置されている。こうして、アパーチャ104a、106a、108a、110aは、それぞれ、チャック本体42の異なる象限への/からの流体の流入/流出を促進するように配置されている。すなわち、アパーチャ104aは、象限Iへの/からの流体の流入/流出を促進し、アパーチャ106aは、象限IIへの/からの流体の流入/流出を促進し、アパーチャ108aは、象限IIIへの/からの流体の流入/流出を促進し、アパーチャ110aは、象限IVへの/からの流体の流入/流出を促進する。しかし、例えば、象限当り2つ以上といった、任意の数のアパーチャを用いて、異なる象限が、所望の任意の空間配置をなすように構成された、異なる数のアパーチャを備えるようにすることも可能である。これらの構成は、それぞれ、大気78中に流入する複数の流体流の導入及び/又は排出を促進し、複数の流れの一部がテンプレート26付近の異なる領域に導入されるようにするのが望ましい。流体流の複数の流れを導入すると、大気78内に流体の乱流が生じると考えられる。これによって、流体流中の分子が図9に示す処理領域78aに到達することになる確率が高まるものと考えられる。しかし、アパーチャ104a、106a、108a、110aのそれぞれを介した大気78への流体の流入、それらを介した大気78からの流体の排出は、上述の任意の方法によって行うことが可能である。
図9、10、11を参照すると、もう1つの実施形態では、アパーチャ104a、106a、108a、110aのそれぞれに流体流を順次導入し、テンプレート26と領域77の間にフロー・セル112を生じさせることが可能である。フロー・セル112によって、処理領域78aへの流体流中の分子の進入が促進され、上述の利点が得られることになる。例えば、第1の流体流をアパーチャ104aに導入し、その後、終了することが可能である。アパーチャ104aを通る流体流の終了後、アパーチャ106aを通る流体流が開始され、大気78中に流体が導入される。その後、アパーチャ106aを通る流体流は終了する。アパーチャ106aを通る流体流の終了後、アパーチャ108aを通る流体流が開始され、大気78中に流体が導入される。その後、アパーチャ108aを通る流体流は終了する。アパーチャ108aを通る流体流の終了後、アパーチャ110aを通る流体流が開始され、大気78中に流体が導入される。こうして、流体は、任意の時間に単一象限を介して大気78中に導入される。しかし、2つ以上の象限に流体を導入するのが望ましい場合もあり得る。これによって、フロー・セル112の生成が妨げられる可能性があるが、それは本発明の範囲内である。
あるいはまた、アパーチャ104a、106a、108a、110aを介した順次導入及び排出を行って、フロー・セル112を生成することも可能である。これには、アパーチャ104a、106a、108a、110aの1つ以上に同時に流体を導入することが含まれる。その後、アパーチャ104a、106a、108a、110aのそれぞれを介して、順次排出を行い、フロー・セル112を生成することが可能である。例えば、チャック本体42の全てのアパーチャを介して、流体を同時に導入することが可能である。その後、アパーチャ104a、106a、108a、110aのそれぞれから、1つずつ、流体を排出することが可能である。以前は、アパーチャ104a、106a、108a、110aを介して導入される流体の大気78中における濃度が、排出のため、所望のレベル未満に降下した。従って、アパーチャ104a、106a、108a、110aの1つ又は全てを介して、再度、流体を導入し直し、このプロセスを繰り返して、フロー・セル112の生成及び/又は維持を行うことが可能である。
上述の本発明の実施形態は典型的なものである。上述の開示に多くの変更及び修正を施し、それにもかかわらず、本発明の範囲内に留まることが可能である。従って、本発明の範囲は、上記説明によって制限されるべきではなく、代わりに、付属の請求項、並びに、その同等物の全範囲に準拠して定めるべきである。
22 放射線源、25 プロセッサ、26 テンプレート、28 モールド、28a 凹部、28b 隆起部、30 基板、32 表面、34 インプリンティング層

Claims (6)

  1. インプリンティング・プロセス中にインプリント層に閉じ込められるガスを減少させるための方法であって、この方法は、
    基板に、複数の離散した小滴として、流動性のインプリント材料を付着させるステップと、
    基板に近接してモールドを対向させることによって、前記インプリント材料が存在する処理領域であって、大気領域をともなう処理領域を定めるステップと、
    前記モールドの周囲の異なる位置の複数の領域からヘリウムを注入して前記処理領域の大気にヘリウム・ガスの流れ形成するステップと、
    連続したインプリント層を形成するために、前記複数の小滴に前記モールドを接触させるステップと、
    前記インプリント材料を固化させるため、該インプリント層を化学的作用放射にさらすステップと、
    を含むことを特徴とする方法。
  2. 前記流れ形成するステップは、前記大気をヘリウム・ガスで飽和させるステップを含む請求項1に記載の方法。
  3. 前記流れ形成するステップは、さらに、ヘリウム・ガスの乱流を形成するステップを含む請求項1に記載の方法。
  4. 前記流れ形成するステップは、さらに、前記ヘリウムの流れに律動を起こさせるステップを含む請求項3に記載の方法。
  5. 前記ヘリウムを連続的に注入する請求項に記載の方法。
  6. 前記ヘリウムは前記複数の領域から同時に注入される請求項1に記載の方法。
JP2011090191A 2003-10-02 2011-04-14 インプリント層に閉じ込められるガスを減少させるための方法 Active JP5275399B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/677,639 US7090716B2 (en) 2003-10-02 2003-10-02 Single phase fluid imprint lithography method
US10/677,639 2003-10-02

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2006533980A Division JP2007509769A (ja) 2003-10-02 2004-09-24 単一位相流体インプリント・リソグラフィ法

Publications (2)

Publication Number Publication Date
JP2011193005A JP2011193005A (ja) 2011-09-29
JP5275399B2 true JP5275399B2 (ja) 2013-08-28

Family

ID=34393769

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2006533980A Pending JP2007509769A (ja) 2003-10-02 2004-09-24 単一位相流体インプリント・リソグラフィ法
JP2010077193A Active JP4536157B1 (ja) 2003-10-02 2010-03-30 単一位相流体インプリント・リソグラフィ法
JP2010077189A Active JP4658227B2 (ja) 2003-10-02 2010-03-30 単一位相流体インプリント・リソグラフィ法
JP2011090191A Active JP5275399B2 (ja) 2003-10-02 2011-04-14 インプリント層に閉じ込められるガスを減少させるための方法

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2006533980A Pending JP2007509769A (ja) 2003-10-02 2004-09-24 単一位相流体インプリント・リソグラフィ法
JP2010077193A Active JP4536157B1 (ja) 2003-10-02 2010-03-30 単一位相流体インプリント・リソグラフィ法
JP2010077189A Active JP4658227B2 (ja) 2003-10-02 2010-03-30 単一位相流体インプリント・リソグラフィ法

Country Status (9)

Country Link
US (3) US7090716B2 (ja)
EP (1) EP1667778B1 (ja)
JP (4) JP2007509769A (ja)
KR (3) KR101241076B1 (ja)
CN (1) CN100482307C (ja)
MY (1) MY135469A (ja)
SG (1) SG128681A1 (ja)
TW (1) TWI250560B (ja)
WO (1) WO2005033797A2 (ja)

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010030511A1 (en) 2000-04-18 2001-10-18 Shunpei Yamazaki Display device
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7641468B2 (en) * 2004-09-01 2010-01-05 Hewlett-Packard Development Company, L.P. Imprint lithography apparatus and method employing an effective pressure
US20070164476A1 (en) * 2004-09-01 2007-07-19 Wei Wu Contact lithography apparatus and method employing substrate deformation
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US8721952B2 (en) * 2004-11-16 2014-05-13 International Business Machines Corporation Pneumatic method and apparatus for nano imprint lithography having a conforming mask
US7377764B2 (en) * 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
US7316554B2 (en) * 2005-09-21 2008-01-08 Molecular Imprints, Inc. System to control an atmosphere between a body and a substrate
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
KR101293059B1 (ko) * 2005-12-08 2013-08-05 몰레큘러 임프린츠 인코퍼레이티드 기판과 몰드 사이에 위치되는 기체를 축출하기 위한 방법
EP1957249B1 (en) 2005-12-08 2014-11-12 Canon Nanotechnologies, Inc. Method and system for double-sided patterning of substrates
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
WO2007123805A2 (en) * 2006-04-03 2007-11-01 Molecular Imprints, Inc. Lithography imprinting system
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
DE102006024524A1 (de) * 2006-05-23 2007-12-06 Von Ardenne Anlagentechnik Gmbh Infrarotstrahlung reflektierendes, transparentes Schichtsystem
TW200842934A (en) * 2006-12-29 2008-11-01 Molecular Imprints Inc Imprint fluid control
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US8144309B2 (en) * 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
SG185929A1 (en) * 2007-11-21 2012-12-28 Molecular Imprints Inc Porous template and imprinting stack for nano-imprint lithography
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
TW200932502A (en) * 2008-01-18 2009-08-01 Univ Nat Taiwan An improved embossing apparatus
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
KR101596375B1 (ko) * 2008-12-04 2016-02-26 에이에스엠엘 네델란즈 비.브이. 임프린트 리소그래피 장치 및 방법
JP5175771B2 (ja) * 2009-02-27 2013-04-03 株式会社日立ハイテクノロジーズ 微細構造転写装置及び微細構造転写方法
US8715515B2 (en) * 2009-03-23 2014-05-06 Intevac, Inc. Process for optimization of island to trench ratio in patterned media
JP2011023660A (ja) * 2009-07-17 2011-02-03 Toshiba Corp パターン転写方法
EP2496989B1 (en) * 2009-11-02 2013-10-02 Danmarks Tekniske Universitet Method and device for nanoimprint lithography
US20110140304A1 (en) 2009-12-10 2011-06-16 Molecular Imprints, Inc. Imprint lithography template
WO2011094317A2 (en) * 2010-01-26 2011-08-04 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US20110180964A1 (en) * 2010-01-27 2011-07-28 Molecular Imprints. Inc. Systems and methods for substrate formation
WO2011094696A2 (en) * 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-compliant nanoimprint lithography template
JP5848263B2 (ja) * 2010-02-09 2016-01-27 モレキュラー・インプリンツ・インコーポレーテッド ナノインプリントのためのプロセスガス閉じ込め
JP5491931B2 (ja) * 2010-03-30 2014-05-14 富士フイルム株式会社 ナノインプリント方法およびモールド製造方法
JP5597031B2 (ja) * 2010-05-31 2014-10-01 キヤノン株式会社 リソグラフィ装置及び物品の製造方法
JP5828626B2 (ja) * 2010-10-04 2015-12-09 キヤノン株式会社 インプリント方法
JP5679850B2 (ja) 2011-02-07 2015-03-04 キヤノン株式会社 インプリント装置、および、物品の製造方法
JP5787691B2 (ja) * 2011-09-21 2015-09-30 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法
JP5882922B2 (ja) * 2012-01-19 2016-03-09 キヤノン株式会社 インプリント方法、およびインプリント装置
US9278857B2 (en) * 2012-01-31 2016-03-08 Seagate Technology Inc. Method of surface tension control to reduce trapped gas bubbles
JP6304921B2 (ja) * 2012-06-05 2018-04-04 キヤノン株式会社 インプリント方法およびインプリント装置、それを用いた物品の製造方法
US10279538B2 (en) 2012-10-04 2019-05-07 Dai Nippon Printing Co., Ltd. Imprinting method and imprinting apparatus
JP6748399B2 (ja) 2012-11-30 2020-09-02 キヤノン株式会社 インプリント方法およびインプリント用硬化性組成物
JP6230041B2 (ja) * 2013-04-18 2017-11-15 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法
KR102056902B1 (ko) 2013-05-29 2019-12-18 삼성전자주식회사 와이어 그리드 편광판 및 이를 구비하는 액정 표시패널 및 액정 표시장치
KR102089661B1 (ko) 2013-08-27 2020-03-17 삼성전자주식회사 와이어 그리드 편광판 및 이를 구비하는 액정 표시패널 및 액정 표시장치
JP5865332B2 (ja) 2013-11-01 2016-02-17 キヤノン株式会社 インプリント装置、物品の製造方法、及びインプリント方法
CN105706214B (zh) * 2013-11-06 2018-10-02 佳能株式会社 用于确定压印模具的图案的方法、压印方法和装置
JP6294679B2 (ja) 2014-01-21 2018-03-14 キヤノン株式会社 インプリント装置及び物品の製造方法
JP6445772B2 (ja) * 2014-03-17 2018-12-26 キヤノン株式会社 インプリント装置及び物品の製造方法
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
JP2015005760A (ja) * 2014-07-31 2015-01-08 キヤノン株式会社 インプリント装置、および物品の製造方法
JP6525567B2 (ja) 2014-12-02 2019-06-05 キヤノン株式会社 インプリント装置及び物品の製造方法
JP6628491B2 (ja) * 2015-04-13 2020-01-08 キヤノン株式会社 インプリント装置、インプリント方法、および物品の製造方法
JP5989177B2 (ja) * 2015-04-20 2016-09-07 キヤノン株式会社 インプリント装置、および物品の製造方法
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
KR101968471B1 (ko) 2017-01-30 2019-04-11 배용주 전기를 발생시키고 저장하는 장치
US10895806B2 (en) * 2017-09-29 2021-01-19 Canon Kabushiki Kaisha Imprinting method and apparatus
US10684407B2 (en) * 2017-10-30 2020-06-16 Facebook Technologies, Llc Reactivity enhancement in ion beam etcher
JP7210155B2 (ja) * 2018-04-16 2023-01-23 キヤノン株式会社 装置、方法、および物品製造方法
US11137536B2 (en) 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
US11226446B2 (en) 2020-05-06 2022-01-18 Facebook Technologies, Llc Hydrogen/nitrogen doping and chemically assisted etching of high refractive index gratings
US11590687B2 (en) 2020-06-30 2023-02-28 Canon Kabushiki Kaisha Systems and methods for reducing pressure while shaping a film

Family Cites Families (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3997447A (en) 1974-06-07 1976-12-14 Composite Sciences, Inc. Fluid processing apparatus
FR2325018A1 (fr) * 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (ja) * 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4279628A (en) * 1979-12-31 1981-07-21 Energy Synergistics, Inc. Apparatus for drying a natural gas stream
DE8007086U1 (de) * 1980-03-14 1982-03-18 Multivac Sepp Haggenmüller KG, 8941 Wolfertschwenden Vorrichtung zum formen von behaeltnissen aus einer folie
DE3377597D1 (en) * 1982-04-12 1988-09-08 Nippon Telegraph & Telephone Method for forming micropattern
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
US4451507A (en) * 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (fr) * 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
US4767584A (en) * 1985-04-03 1988-08-30 Massachusetts Institute Of Technology Process of and apparatus for producing design patterns in materials
DE3514022C1 (de) * 1985-04-18 1986-07-10 Fa. Carl Freudenberg, 6940 Weinheim Vorrichtung zum gegenseitigen Verkleben thermisch erweichbarer Partikel zu einem Kunststoffkoerper
EP0245461A1 (en) 1985-11-18 1987-11-19 EASTMAN KODAK COMPANY (a New Jersey corporation) Process for making optical recording media
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
GB8610671D0 (en) * 1986-05-01 1986-06-04 Atomic Energy Authority Uk Flow monitoring
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) * 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
EP0255303B1 (en) * 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
KR930000293B1 (ko) 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 미세패턴형성방법
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JPH01196749A (ja) 1988-01-30 1989-08-08 Hoya Corp 光情報記録媒体用基板の製造方法
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5821175A (en) 1988-07-08 1998-10-13 Cauldron Limited Partnership Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface
JPH0224848A (ja) 1988-07-14 1990-01-26 Canon Inc 光記録媒体用基板の製造方法
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
JPH0292603A (ja) 1988-09-30 1990-04-03 Hoya Corp 案内溝付き情報記録用基板の製造方法
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
JPH02192045A (ja) 1989-01-20 1990-07-27 Fujitsu Ltd 光ディスク基板の製造方法
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
EP0394741B1 (de) 1989-04-24 1997-06-25 Siemens Aktiengesellschaft Verfahren zur Erzeugung ätzresistenter Strukturen
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) * 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
DE4031637C2 (de) 1989-10-06 1997-04-10 Toshiba Kawasaki Kk Anordnung zum Messen einer Verschiebung zwischen zwei Objekten
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
JP3197010B2 (ja) * 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
JP2977091B2 (ja) * 1990-09-28 1999-11-10 安藤電気株式会社 ヘテロダイン受光を用いた光パルス試験器
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5421981A (en) * 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5263073A (en) * 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JPH05206095A (ja) * 1992-01-28 1993-08-13 Fujitsu Ltd 超音波処理槽と枚葉式基板処理装置
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) * 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
FR2690375B1 (fr) * 1992-04-22 1994-07-08 Aerospatiale Dispositif de compactage a chaud pour la fabrication de pieces necessitant des montees en pression et en temperature simultanees.
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5431777A (en) * 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (ja) * 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) * 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
NL9401260A (nl) * 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
JPH07178762A (ja) * 1993-12-24 1995-07-18 Sanyo Silicon Denshi Kk 樹脂成形方法および樹脂成形用金型
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5534101A (en) * 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5512335A (en) * 1994-06-27 1996-04-30 International Business Machines Corporation Fluid treatment device with vibrational energy means
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5997273A (en) 1995-08-01 1999-12-07 Laquer; Henry Louis Differential pressure HIP forging in a controlled gaseous environment
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US6257866B1 (en) * 1996-06-18 2001-07-10 Hy-Tech Forming Systems, Inc. Apparatus for accurately forming plastic sheet
US6039897A (en) * 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
JP3783170B2 (ja) * 1996-11-19 2006-06-07 同和鉱業株式会社 樹脂成形装置
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
AU3818997A (en) 1997-07-25 1999-02-16 Regents Of The University Of Minnesota Single-electron floating-gate mos memory
US5912049A (en) * 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
DE19819761C2 (de) * 1998-05-04 2000-05-31 Jenoptik Jena Gmbh Einrichtung zur Trennung eines geformten Substrates von einem Prägewerkzeug
JP3780700B2 (ja) * 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6428852B1 (en) 1998-07-02 2002-08-06 Mykrolis Corporation Process for coating a solid surface with a liquid composition
US6099771A (en) * 1998-07-08 2000-08-08 Lear Corporation Vacuum compression method for forming molded thermoplastic floor mat having a "Class A" finish
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
WO2000021689A1 (en) 1998-10-09 2000-04-20 The Trustees Of Princeton University Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
JP3291488B2 (ja) * 1999-05-27 2002-06-10 三洋電機株式会社 流体の被除去物除去方法
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
EP1065567A3 (en) * 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
AU779699B2 (en) 1999-12-23 2005-02-10 Universitat Konstanz Methods and apparatus for forming submicron patterns on films
JP3847512B2 (ja) * 2000-02-07 2006-11-22 株式会社日立メディコ 磁気共鳴イメージング装置
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP2001358056A (ja) * 2000-06-15 2001-12-26 Canon Inc 露光装置
EP2264522A3 (en) * 2000-07-16 2011-12-14 The Board of Regents of The University of Texas System Method of forming a pattern on a substrate
EP1303793B1 (en) * 2000-07-17 2015-01-28 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US20050037143A1 (en) 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
JP3721320B2 (ja) 2000-11-01 2005-11-30 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
WO2003010289A2 (en) 2001-07-25 2003-02-06 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
JP3978706B2 (ja) * 2001-09-20 2007-09-19 セイコーエプソン株式会社 微細構造体の製造方法
WO2003035932A1 (en) 2001-09-25 2003-05-01 Minuta Technology Co., Ltd. Method for forming a micro-pattern on a substrate by using capillary force
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
JP3850718B2 (ja) * 2001-11-22 2006-11-29 株式会社東芝 加工方法
US6890688B2 (en) * 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
EP1485944B1 (en) 2002-03-15 2012-06-13 Princeton University Radiation assisted direct imprint lithography
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
EP1509379B1 (en) * 2002-05-24 2012-02-29 Stephen Y. Chou Methods and apparatus of field-induced pressure imprint lithography
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
MY144124A (en) 2002-07-11 2011-08-15 Molecular Imprints Inc Step and repeat imprint lithography systems
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
EP1573395A4 (en) 2002-08-01 2010-09-29 Molecular Imprints Inc SPREADING MEASUREMENT DEVICE FOR THE PRINTING LITHOGRAPHY
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
JP2004071934A (ja) * 2002-08-08 2004-03-04 Kanegafuchi Chem Ind Co Ltd 微細パターンの製造方法および転写材料
JP3700001B2 (ja) * 2002-09-10 2005-09-28 独立行政法人産業技術総合研究所 インプリント方法及び装置
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7441008B2 (en) 2002-12-18 2008-10-21 International Business Machines Corporation Method for correlating transactions and messages
US6986815B2 (en) * 2003-01-08 2006-01-17 General Electric Company Flow system flush process
US7104268B2 (en) * 2003-01-10 2006-09-12 Akrion Technologies, Inc. Megasonic cleaning system with buffered cavitation method
JP4651390B2 (ja) * 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ 多重浮彫要素スタンプを利用したuvナノインプリントリソグラフィ法
WO2004114016A2 (en) 2003-06-09 2004-12-29 Princeton University Office Of Technology Licensing And Intellectual Property Imprint lithography with improved monitoring and control and apparatus therefor
TWI228638B (en) * 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method

Also Published As

Publication number Publication date
EP1667778A4 (en) 2009-04-22
SG128681A1 (en) 2007-01-30
US7270533B2 (en) 2007-09-18
EP1667778A2 (en) 2006-06-14
JP4658227B2 (ja) 2011-03-23
CN1859959A (zh) 2006-11-08
WO2005033797A3 (en) 2005-10-06
TW200518188A (en) 2005-06-01
WO2005033797A2 (en) 2005-04-14
TWI250560B (en) 2006-03-01
JP2007509769A (ja) 2007-04-19
JP4536157B1 (ja) 2010-09-01
JP2010192911A (ja) 2010-09-02
CN100482307C (zh) 2009-04-29
US7090716B2 (en) 2006-08-15
MY135469A (en) 2008-04-30
JP2010192912A (ja) 2010-09-02
JP2011193005A (ja) 2011-09-29
KR101178432B1 (ko) 2012-08-31
US7531025B2 (en) 2009-05-12
KR20060096424A (ko) 2006-09-11
KR20110120972A (ko) 2011-11-04
US20050072757A1 (en) 2005-04-07
EP1667778B1 (en) 2012-12-26
KR20120052426A (ko) 2012-05-23
KR101241076B1 (ko) 2013-03-11
US20050074512A1 (en) 2005-04-07
US20050072755A1 (en) 2005-04-07

Similar Documents

Publication Publication Date Title
JP5275399B2 (ja) インプリント層に閉じ込められるガスを減少させるための方法
US8211214B2 (en) Single phase fluid imprint lithography method
US7281919B2 (en) System for controlling a volume of material on a mold
KR101202653B1 (ko) 정합 영역과 몰드의 패턴 간의 밀착성 감소 방법
US8021594B2 (en) Preserving filled features when vacuum wiping
KR101293059B1 (ko) 기판과 몰드 사이에 위치되는 기체를 축출하기 위한 방법
US20080174046A1 (en) Capillary Imprinting Technique
Burgin et al. Large area submicrometer contact printing using a contact aligner
JP2011018722A (ja) ナノインプリント方法およびその方法を用いて形成されたパターン形成体、並びにナノインプリント装置
Stacey et al. System for creating a turbulent flow of fluid between a mold and a substrate
Watts et al. Single phase fluid imprint lithography method
Cui et al. Nanofabrication by Replication

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121204

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130304

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130307

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130404

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130430

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130515

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5275399

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250