TWI245421B - A device having multiple silicide types and a method for its fabrication - Google Patents

A device having multiple silicide types and a method for its fabrication Download PDF

Info

Publication number
TWI245421B
TWI245421B TW093125756A TW93125756A TWI245421B TW I245421 B TWI245421 B TW I245421B TW 093125756 A TW093125756 A TW 093125756A TW 93125756 A TW93125756 A TW 93125756A TW I245421 B TWI245421 B TW I245421B
Authority
TW
Taiwan
Prior art keywords
metal
silicide
semiconductor device
item
patent application
Prior art date
Application number
TW093125756A
Other languages
English (en)
Other versions
TW200509391A (en
Inventor
Chun-Chieh Lin
Wen-Chin Lee
Yee-Chia Yeo
Chiuan-Yi Lin
Chenming Hu
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW200509391A publication Critical patent/TW200509391A/zh
Application granted granted Critical
Publication of TWI245421B publication Critical patent/TWI245421B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • H01L29/458Ohmic electrodes on silicon for thin film silicon, e.g. source or drain electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

1245421 九、發明說明: 【發明所屬之技術領域】 本發明是有關於一種半導體積體電路元件,特別是有關於一種具有金屬矽化物 (siMde)之元件及其製造方法。 【先前技術】 半導體積體電路(1C)業近年來進步非常迅速,使得1C元件不但越作越小,而且越作 越複雜。然而,爲了能夠實現製造出複雜的1C元件的目的,則有賴於1C製程的更進一 步硏發。 在金氧半導體場效電晶體(MOSFET)中,經常採用金屬矽化物來得到可靠的接觸狀 況以及低接觸電阻。金屬矽化物可以用來提供位於金屬線和基底接觸區域之間的介面, 例如一多晶矽閘極、一矽源極以及一矽汲極。設置金屬矽化物於源/汲極區上可以降低位 於金屬接觸與下方結構之間的路徑的片電阻(sheet resistance)。目前,多樣的電晶體都是 採用相同的金屬矽化物,然而這些不同型式的電晶體(例如NMOS和PMOS)會因爲所使 用的金屬或矽化物型式而具有不同的片電阻。 因此,有需要硏究一種半導體元件及其製造方法而能夠解決上述問題。 【發明内容】 有鑑於此,本發明的主要目的係提供一種具有多樣的金屬矽化物之半導體元件及其 製造方法。 根據上述目的,本發明提供一種具有多樣的金屬矽化物之半導體元件,包括:一半 導體基底;一第一金屬矽化物,位於該半導體基底的第一主動區;以及一第二金屬砂化 物’位於該半導體基底的第二主動區,其中該第一金屬矽化物不同於該第二金屬矽化 物,以及該第一金屬矽化物與該第二金屬矽化物之至少之一爲一合金金屬矽化物。 根據上述目的,本發明提供一種具有多樣的金屬矽化物之半導體元件的製造方法, 包括下列步驟:沉積一第一金屬層於一半導體基底上的第一區與第二區上,其中該第一 0503-A30588TWF(5.0) 5 1245421 金屬層包含至少一第一金屬;沉積一第二金屬層於該第一區與該第二區上,其中該第二 金屬層包含至少一第一金屬;選擇性地移除位於該第二區中的該第一金屬層與該第二金 屬層之一;以及形成包含該等第一與第二金屬的一第一金屬矽化物於該第一區上,以及 形成包含未被移除之該第一金屬或該第二金屬的一第二金屬矽化物於該第二區上。 根據上述目的’本發明提供另一種具有多樣的金屬矽化物之半導體元件的製造方 法,包括下列步驟:沉積一硬罩幕層於於一半導體基底上的第一區與第二區上;選擇性 地移除位於該第一區中的該硬罩幕層;沉積一第一金屬層於該第一區與該第二區上,其 中該第一金屬層包含一第一金屬;形成包含有第一金屬的一第一金屬矽化物層於該第一 區上;除去位於該等第一與第二區上的該第一金屬的未反應部份;除去位於該第二區上 的該硬罩幕層;沉積一第二金屬層於該第一區與該第二區上,其中該第二金屬層包含一 第二金屬,該第二金屬不同於該第一金屬·,以及形成包含有第一與第二金屬的一第二金 屬矽化物層於該第一區上,以及形成包含有第二金屬的一第三金屬砂化物層於該第二區 上。 根據上述目的,本發明提供再一種具有多樣的金屬矽化物之半導體元件的製造方 法,包括下列步驟:沉積一第一金屬層於一半導體基底上的第一區與第二區上,其中該 第一金屬層包含一第一金屬;沉積一第二金屬層於該第一區與該第二區上,其中該第二 金屬層包含一第二金屬;沉積一第三金屬層於一半導體基底上的第一區與第二區上,其 中該第三金屬層包含該第一金屬;選擇性地移除位於該第二區中的該第一金屬層與該第 一金屬層之一;以及形成包含該等第一與第二金屬的一第一金屬砂化物於該第一區上, 以及形成該等第一與第二金屬的一第二金屬矽化物於該第二區上,其中該第一金屬矽化 物中的第一金屬含量比例大於該第二金屬矽化物中的第一金屬含量比例。 根據本發明,由於能夠在位於同一晶片上的不同型式的電晶體上,形成具有不同功 函數的金屬矽化物,因而能夠得到可靠的接觸狀況以及解決習知技術的缺點。 爲讓本發明之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉出較佳實施 例,並配合所附圖式,作詳細說明如下: 0503-A30588TWF(5.0) 6 1245421 【實施方式】 本發明係有關於半導體積體電路領域,更特別是有關於一種具有多樣的金屬矽化物 (sihcide)之元件及其製造方法。這裡要說明的是,本說明書提供了許多不同的實施例或 例子來達成本發明之各特徵,然而這些例子僅是利用特別的組成和結構來簡化說明,但 並非限定本發明。 一般而言,NMOS元件和PMOS元件都是採用相同的金屬或合金矽化物。因爲NMOS 元件中的矽源極和汲極之摻雜物(doping)不同於PMOS元件中的矽源極和汲極之摻雜 物,所以具有不同摻雜物的源/汲極的功函數(work function)也會不同。因此,選用一種金 屬矽化物的功函數都能夠降低NMOS和PMOS的源/汲極接觸電阻,這是相當困難的事。 請參閱第1圖,在本發明的一實施例中,一相配的金屬砂化物(complementary silicide) 被提供於包含有一 NMOSIOO與一 PMOS120的單一結構中。NMOS100與PMOS120可以 被形成在同一半導體基底(未圖示)上。該NMOS100包含閘極電極區102、間隙壁 (spacer)104與106、閘極介電層108、閘極的金屬矽化物區114、源極(未圖示)與源極的金 屬矽化物區110,以及汲極(未圖示)與汲極的金屬矽化物區118 〇而該PMOS120包含閘 極電極區122、間隙壁124與126、閘極介電層128、閘極的金屬矽化物區134、源極(未 圖示)與源極的金屬矽化物區136,以及汲極(未圖示)與汲極的金屬矽化物區138。這裡要 說明的是,爲了不混淆本發明特徵,其他可形成於元件中的組成及/或層則未圖示。 本實施例中的製作NMOSIOO與PMOS120於其上的該半導體基底包含有半導體元素 (例如結晶、多晶或非晶結構的矽或鍺)、混合的半導體結構(例如碳化矽、砷化鎵、磷化 鎵、磷化銦、砷化銦、銻化銦)、合金半導體(例如SiGe,GaAsP,AlInAs,AlGaAs, GalnAs, GalnP,GalnAsP)或其組合。在一實施例中,上述合金半導體基底包含具有梯度的SiGe特 性(gradient SiGe feature),也就是說某位置的Si和Ge成分比例不同於另一位置的Si和 Ge成分比例。在另一實施例中,SiGe合金是整個覆蓋於砂基底上。在另一實施例中, SiGe基底是受到應力的。更者,上述合金半導體基底可包含有矽、鍺、碳或其組合。還 有,該半導體基底係絕緣層上有半導體(semiconductor on insulator)之基底,例如絕緣層上 0503-A30588TWF(5.0) 7 1245421 有矽(SOI)之基底,或絕緣層上有薄膜電晶體(TFT) 〇在一些例子中,該半導體基底可以 包含有摻雜的嘉晶層(doped epi layer)或埋藏層(buried layer)。在其他例子中,上述混合的 半導體基底可以包含有多層結構,或是上述矽基底可以包含有一多層的混合半導體結 構。 上述NMOS100與PMOS120可以利用P井(well)、N井或雙井(dual-well)結構來被製 造,還有也可以直接被形成在上述半導體基底之上或之內。在本例子中,有一絕緣隔離 區(未圖示)位於NMOS100與PMOS120之間。該絕緣隔離區可以使用隔離技術來完成, 例如利用矽的區域氧化法(LOCOS)或淺溝槽絕緣隔離(STI)技術。更者,NMOS與PMOS 可以具有凸起(raised)的源極和汲極結構或一雙閘極(double gate)結構或一多指狀 (multi-finger)結構或一鰭式場效電晶體(FinFET) 〇除此之外,NMOS與PMOS也可以包含 一高應力薄膜。 該NMOS100中的閘極介電層—以及該pm〇S120中的閘極介電層128可以選用適 當的介電材料或是包含多種介電材料的多層結構。該介電材料最好是具有高信賴性以及 低漏電流。該介電材料例如是氧化矽或氮化矽或氮氧化矽或高介電材料(high k dielectric)。該高介電材料的介電常數(peralittivity)可以大於1〇或15或20。而該高介電材 料例如包含氧化給或氧化鉻或氧化鋁或Hf〇2-Al2〇3合金或其組合。 該NMOS100中的閘極電極1〇2以及該PMOS120中的閘極電極122包含導體材料, 而且可以具有多層結構。上述閘極電極可以包含有矽或鍺或金屬或其組合。其中,該導 體材料可以是多晶矽(poly-Si)或多晶矽鍺(pdy-SiGe)或金屬或金屬矽化物或金屬氮化物 或金屬氧化物或其組合。 間隙壁(spacers)104和106位於NM0S閘極102的兩側,而間隙壁124和126係位於 PM0S閘極122的兩側。上述間隙壁104、1〇6、124和126可以包含有介電材料,例如 氧化矽或氮化矽或氮氧化矽或碳化砂或其組合。 NMOS100中的源極和汲極(未圖示河以直接形成於半導體基底上或p井中,或是採 用凸起結構。金屬矽化物可以形成在源極和汲極的頂部而個別地形成了源極金屬砂化物 區116以及汲極金屬矽化物區118。金屬砂化物也可以形成在閘極電極102頂部而形成 0503-A30588TWF(5.0) 8 1245421 了閘極金屬矽化物區Π4。該等金屬矽化物區114、116和118的材質包含砂化鎳或矽化 鈷或矽化鎢或矽化鉅或矽化鈦或矽化鉑或矽化鈦或矽化餌或矽化鈀或其組合。 PMOS120中的源極和汲極(未圖示河以直接形成於半導體基底上或Ν井中,或是採 用凸起結構。金屬矽化物可以形成在源極和汲極的頂部而個別地形成了源極金屬矽化物 區136以及汲極金屬矽化物區138。金屬矽化物也可以形成在閘極電極122頂部而形成 了閘極金屬矽化物區134。該等金屬矽化物區134、136和138的材質包含矽化鎳或矽化 鈷或矽化鎢或矽化鉅或矽化鈦或矽化鉑或矽化鈦或矽化餌或矽化鈀或其組合。 在第1圖所示的結構中,被使用於NMOS100中的金屬矽化物區114、116和118的 金屬矽化物(統稱爲:NMOS金屬矽化物區),是不同於被使用於PMOS102中的金屬矽化 物區134、136和138的金屬矽化物(統稱爲·· PMOS金屬矽化物區)。例如,儘管NMOS 金屬矽化物區和PMOS金屬矽化物區兩者都是金屬矽化物,然而卻是不同型式的金屬矽 化物,或是兩者都是合金金屬矽化物但是卻具有不同的成分,或是兩者都是具有相同成 份的合金金屬矽化物但是卻具有不同的組成比例。同樣地,NMOS金屬矽化物區可以是 金屬矽化物,而PMOS金屬矽化物區是合金金屬矽化物,反之亦然。因此,本發明的相 配的金屬矽化物可以提供NMOS金屬矽化物區和PMOS金屬矽化物區的有彈性的微調 (flexible fine-tuning),而能夠改善接觸阻抗、附著性以及域相容性(compatibility) 〇 在一相配的金屬矽化物結構的一例子中,不同組成的鎳和鈷可以被應用在該結構的 製造過程中。這能夠使NM〇S金屬矽化物區和PMOS金屬矽化物區中的組成被微調而得 到所想要的功函數和片電阻。例如,該NMOS金屬矽化物區的功函數約小於4.4eV,而 該PMOS金屬矽化物區的功函數約大於4.7eV。 這裡要注意的是,本發明的相配的金屬矽化物結構並不限定於NMOS和PMOS結 構,但可以被用來形成任意兩金屬矽化物區於一半導體基底上,其中第一區具有第一型 式的金屬矽化物,而第二區具有第二型式的金屬矽化物。每一區可以包含摻雜矽或摻雜 的多晶矽區或源極或汲極或閘極或其組合的結構。更者,每一區中的結構可以包含一元 件’例如NMOS或PMOS或CMOS或FINFET或雙極接面電晶體(bipolar transistor)或電容 或電阻或其組合。 0503-A30588TWF(5.0) 9 1245421
A 第一實施例 請參閱第2a圖以及第2b〜2g圖。第2a圖係一流程圖,用以說明製造第1圖所示之 具有NMOS與PMOS之相配的金屬矽化物結構之第一範例方法200。第2b〜2g圖係顯示 採用第2a圖所示的第一範例方法200,來製造第1圖所示積體電路結構之製程步驟圖。 這裡要說明的是,本方法200並非僅限定於製造NMOS與PMOS之相配的金屬矽化物結 構,事實上可用來形成任意兩金屬矽化物區於一半導體基底上,其中第一區具有一成分 或一材料比例,而第二區具有不同之成分或材料比例。 在本實施例中,第一區是NMOS240,而第二區是PMOS270,而如第2b圖所示。要 注意的是,在進行本方法200之前,NMOS240與PMOS270可以先被形成。例如,該 NMOS240包含一閘極電極242、間隙壁244與246以及一閘極介電層248。而該PMOS270 包含一閘極電極272、間隙壁274與276以及一閘極介電層278 〇 請參閱第2a與2c圖,本方法200開始於步驟210,沉積第一金屬部分250、280(使 用相同金屬’ A’)個別覆蓋於NMOS240與PMOS270上。第一金屬部分250、280例如 是由物理氣相沉積法(PVD烕電鍍法(plating)或化學氣相沉積法(CVD烕其組合所形成。 其中,PVD例如是濺鍍(sputtering)或蒸鍍(evaporation) 〇其中,CVD例如是PECVD(plasma enhanced CVD)或 APCVD(atmospheric pressure CVD)或 LPCVD(low pressure CVD)或 HDPCVD(high density plasma CVD)或 ALCVD(atomic layer CVD)或其組合。 在本實施例中,第一金屬部分250、280包含鎳,因爲鎳比其他合適的金屬需要較 少的熱預算(thermal budget) ’所以鎳經常使用於0.13 // m製程的金屬矽化物技術中。矽化 鎳(nickel silicide)能夠在比較低溫(約250 °C〜600 °C)的單一加熱步驟下形成,如此能減 少基底中的砂消耗,因而能夠形成非常淺的源/汲極接合(ultra-shallow source/drain junctions)。鎳可以由鎳濺鍍來形成,其流程例如是先用HF浸漬,然後使用Ar氣體前濺 鍍貪虫刻(preeputter etch丽得到乾淨的表面,之後才進行鎳讎度製程。 請參閱第2a與2d圖,接著進行步驟212,選擇性移除第一金屬部分280,而留下第 一金屬部分250 〇第一金屬部分280可以藉由傳統的微影蝕刻製程而被去除,其流程例 如是先形成光阻層覆蓋金屬部分250與280,然後將一罩幕的蝕刻圖案轉換到該光阻層, 0503-A30588TWF(5.0) 10 1245421 然後進行蝕刻以及去光阻(strippmg)。上述蝕刻製程的條件則根據第一金屬部分280而做 選擇。例如當選用鎳時,則使用濕蝕刻製程,該蝕刻液可爲H2S〇4+H2〇2+ftO的混合溶 液。而當選用鈷時,該蝕刻液可包含HC1與BO。 請參閱第2a與2e圖,接著進行步驟214,將第二金屬部分252、282個別地沉積於 NMOS240與PMOS270上。第二金屬部分252、282是由相同金屬,B’所構成,要注意 的是第二金屬部分252、282的金屬材質或成分不同於第一金屬部分250、280。第二金 屬部分252、282的沉積方式可以是CVD或PVD。第二金屬部分252、282的材質可以包 含鎳、鈷、鎢、钽、鈦、鉑、餌、鈀或能夠在升溫時與矽反應形成具有低電阻之金屬矽 化物的其他金屬。在本例子中,第二金屬部分252、282是鈷。 請參閱第2a與2f圖,接著進行步驟216,形成金屬矽化物於NMOS240與PMOS270 中。然而,被形成於NMOS240上的金屬矽化物是不同於被形成於PMOS270上的金屬矽 化物。這是因爲被形成於NMOS240上的金屬矽化物是合金金屬矽化物,其包含有第一 金屬部分250(例如金屬A或鎳)的金屬矽化物與第二金屬部分252(例如金屬B或鈷)的金 屬矽化物。而被形成於PMOS270上的金屬矽化物,則僅包含第二金屬部分282(鈷)的金 屬石夕化物。 如第2f圖所示般地,位於NMOS240的閘極、源極與汲極上的金屬矽化物,形成了 閘極金屬矽化物254、源極金屬矽化物256以及汲極金屬矽化物258。而位於PMOS270 的閘極、源極與汲極上的金屬矽化物,形成了閘極金屬矽化物284、源極金屬矽化物286 以及汲極金屬矽化物288。閘極金屬矽化物254、源極金屬矽化物256以及汲極金屬矽化 物258是合金金屬矽化物(包含矽化鎳和矽化鈷),而閘極金屬矽化物284、源極金屬矽化 物286以及汲極金屬矽化物288是矽化鈷。合金金屬矽化物中的A/B金屬(例如鎳/銘)比 例可以經由以最適宜的金屬沉積以及金屬矽化的製程條件,而調整到最佳的比例j,因而 得到所想要的功函數。金屬矽化製程是在選用特別的金屬的狀況下,在高溫下使第二金 屬(或第一與第二金屬)與矽(或多晶矽)之間發生反應。關於金屬矽化製程的退火步驟,則 可以在例如是Ar,He, N2或其他惰性氣氛中進行快速熱退火(RTA)製程。因爲反應後的金 屬矽化物可能是一種次穩定相(metastable phase),所以基於特別金屬以及所想要的化合 0503-A30588TWF(5.0) 11 1245421 物,而可能需要進行高溫下的第二次的退火或RTA步驟,因而形成具有低電阻的穩定 之金屬矽化物相。上述第二次的退火步驟可以在後述步驟218(移除未反應之金屬)之後進 行。這裡要提醒的是,某些金屬矽化物(例如矽化鎳)可以在較低的溫度下進行一次的RTA 步驟。 請參閱第2a與2g圖,接著進行步驟218,從NMOS240、PMOS270以及其他區域(例 如絕緣隔離結構)上移除未反應之金屬。由於位於絕緣隔離結構上的金屬可能沒有與氧 化層或氮化層反應,所以可能需要金屬蝕刻溶液來選擇性移除。這蝕刻步驟可以藉由兩 步驟來完成,其中每步驟可以針對不同金屬而採用不同的蝕刻液。這可使位於多晶矽閘 極與源/汲極接觸區上的金屬矽化物留下。一般而言,因爲金屬矽化物是藉由選擇性反應 與飩刻而能夠自我對準於閘極、源極以及汲極區,所以上述金屬矽化製程並不需要微影 步驟來圖案化金屬矽化物層,因此也稱之爲自我對準金屬矽化製程(self-aligned silicide, salicide) ° 第二實施例 請參閱第3a圖以及第3b〜3g圖。第3a圖係一流程圖,用以說明製造第1圖所示之 具有NMOS與PMOS之相配的金屬矽化物結構之第二範例方法300。第3b〜3g圖係顯示 採用第3a圖所示的第二範例方法300,來製造第1圖所示積體電路結構之製程步驟圖。 這裡要說明的是,本方法300並非僅限定於製造NMOS與PMOS之相配的金屬矽化物結 構’事實上可用來形成任意兩金屬矽化物區於一半導體基底上,其中第一區具有一成分 或一材料比例,而第二區具有不同之成分或材料比例。 在本實施例中,第一區是NMOS340,而第二區是PMOS370,而如第2b圖所示。要 注意的是’在進行本方法300之前,NMOS340與PMOS370可以先被形成。例如,該 NMOS340包含一閘極電極342、間隙壁344與346以及一閘極介電層348。而該PMOS370 包含一閘極電極372、間隙壁374與376以及一閘極介電層378 〇 請參閱第3a與3c圖,本方法300開始於步驟310,沉積第一金屬部分350、380(使 用相同金屬,八’)個別覆蓋於!^〇3340與?1^03370上。第一金屬部分350、380例如 0503-A30588TWF(5.0) 12 1245421 是由PVD或CVD所沉積而成。第一金屬部分350、380可以是鎳、鈷、鎢、鉅、鈦、鉑、 餌、鈀或能夠在升溫時與矽反應形成具有低電阻之金屬矽化物的其他金屬。在本例子 中,第一金屬部分350、380包含鎳。鎳可以由鎳濺鍍來形成,其流程例如是先用HF浸 漬,然後使用Ar氣體前濺鍍飩亥[J(pre-sputter etch)而得到乾淨的表面,之後才進行鎳彳賤鍍 製程° 請參閱第3a與3d圖,接著進行步驟312,將第二金屬部分352、382個別地沉積於 NMOS340與PMOS370上。第二金屬部分352、382是由相同金屬’ B’所構成,要注意 的是第二金屬部分352、382的金屬材質或成分不同於第一金屬部分350、380。第二金 屬部分352、382的沉積方式可以是CVD或PVD。第二金屬部分352、382的材質可以包 含鎳、鈷、鎢、鉬、鈦、鉑、餌、鈀或能夠在升溫時與矽反應形成具有低電阻之金屬矽 化物的其他金屬。在本例子中,第二金屬部分352、382是鈷。 請參閱第3a與3e圖,接著進行步驟314,選擇性移除第二金屬部分382,而使 NMOS340中的第二金屬部分352露出以及使PMOS370中的第一金屬部分380露出。第 二金屬部分382可以藉由傳統的微影蝕刻製程而被去除,其流程例如是先形成光阻層覆 蓋金屬部分352與382,然後將一罩幕的蝕刻圖案轉換到該光阻層,然後進行蝕刻以及 去光阻(stripping) 〇上述蝕刻製程的條件則根據第二金屬部分382而做選擇。 請參閱第3a與3f圖,接著進行步驟316,形成金屬矽化物於NMOS340與PMOS370 上。然而,被形成於NMOS340上的金屬矽化物是不同於被形成於PMOS370上的金屬矽 化物。這是因爲被形成於NMOS340上的金屬矽化物是合金金屬矽化物,其包含有第一 金屬部分350(例如鎳)的金屬矽化物與第二金屬部分352(例如鈷)的金屬矽化物。而被形 成於PMOS370上的金屬矽化物,則僅包含第一金屬部分380(例如鎳)的金屬矽化物。 如第3f圖所示般地,位於NMOS340的閘極、源極與汲極上的金屬矽化物,形成了 閘極金屬矽化物354、源極金屬矽化物356以及汲極金屬矽化物358 〇而位於PMOS370 的閘極、源極與汲極上的金屬矽化物,形成了閘極金屬矽化物384、源極金屬矽化物386 以及汲極金屬矽化物388。閘極金屬矽化物354、源極金屬矽化物356以及汲極金屬矽化 物358是合金金屬矽化物(包含矽化鎳和矽化鈷),而閘極金屬矽化物384、源極金屬矽化 0503-A30588TWF(5.0) 13 1245421 物386以及汲極金屬矽化物388是矽化鎳。合金金屬矽化物中的A/B金屬(例如鎳/銘)比 例可以經由以最適宜的金屬沉積以及金屬矽化的製程條件,而調整到最佳的比例’因而 得到所想要的功函數。金屬矽化製程是在選用特別的金屬的狀況下,在高溫下使第二金 屬(或第一與第二金屬)與矽(或多晶矽)之間發生反應。關於金屬矽化製程的退火步驟,則 可以在例如是Ar,He,N2或其他惰性氣氛中進行快速熱退火(RTA)製程。因爲反應後的金 屬矽化物可能是一種次穩定相(metastable phase),所以基於特別金屬以及所想要的化合 物,而可能需要進行高溫下的第二次的退火或RTA步驟,因而形成具有低電阻的穩定 之金屬矽化物相。上述第二次的退火步驟可以在後述步驟318(移除未反應之金勵之後進 行。這裡要提醒的是,某些金屬矽化物(例如矽化鎳河以在較低的溫度下進行一次的RTA 步驟。 請參閱第3a與3g圖,接著進行步驟318,從NMOS340、PMOS370以及其他區域(例 如絕緣隔離結構)上移除未反應之金屬。由於位於絕緣隔離結構上的金屬可能沒有與氧 化層或氮化層反應,所以可能需要金屬蝕刻溶液來選擇性移除。這可使位於多晶矽閘極 與源/汲極接觸區上的金屬矽化物留下。一般而言,因爲金屬矽化物是藉由選擇性反應與 蝕刻而能夠自我對準於閘極、源極以及汲極區,所以上述金屬矽化製程並不需要微影步 驟來圖案化金屬矽化物層,因此也稱之爲自我對準金屬矽化製程(self-aligned silicide, salicide)〇 第三實施例 請參閱第4a圖以及第4b〜4k圖。第4a圖係一流程圖,用以說明製造第1圖所示之 具有NMOS與PMOS之相配的金屬矽化物結構之第三範例方法400。第4b〜4k圖係顯示 採用第4a圖所示的第三範例方法400,來製造第1圖所示積體電路結構之製程步驟圖。 這裡要說明的是,本方法400並非僅限定於製造NMOS與PMOS之相配的金屬矽化物結 構,事實上可用來形成任意兩金屬矽化物區於一半導體基底上,其中第一區具有一成分 或一材料比例,而第二區具有不同之成分或材料比例。 在本實施例中,第一區是NMOS440,而第一區是PMOS470,而如第4b圖所示。要 0503-A30588TWF(5.0) 14 1245421 注意的是,在進行本方法400之前,NMOS440與PMOS470可以先被形成。例如,該 NMOS440包含一閘極電極442、間隙壁444與446以及一閘極介電層448。而該PMOS470 包含一閘極電極472、間隙壁474與476以及一閘極介電層478。 請參閱第4a與4c圖,本方法400開始於步驟410,沉積硬罩幕部分450、480而個 別覆蓋於NMOS440與PMOS470上。硬罩幕部分450、480例如是由PVD或CVD或氮氧 氣體之間的高溫反應所形成。硬罩幕部分450、480可以包含有氧化矽或氮化矽或氮氧 化矽或碳化矽或高介電材料或其組合。例如,氮化矽可以由高溫的CVD或LPCVD或 PECVD所形成。沉積氮化矽之LPCVD的反應^體包含有SiCkB和NH3。氧化矽可以由 熱氧化法或CVD所形成。沉積碳化矽之PECVD的反應氣體可以是三甲基矽烷 (trimeththylsilane) ° 請參閱第4a與4d圖,接著進行步驟412,選擇性移除硬罩幕部分450,而留下硬罩 幕咅盼480。硬罩幕部分450可以藉由傳統的微影餓亥[f製程而被去除,其流程例如是先 形成光阻層覆蓋硬罩幕部分450, 480,然後將一罩幕的飩刻圖案轉換到該光阻層,然後 進行蝕刻以及去光阻(stripping) 〇上述蝕亥[J製程的條件則根據硬罩幕的材質而做選擇。例 如當光阻層被曝光顯影而被圖案化之後,然後以該圖案化的光阻層爲罩幕,而採用乾蝕 刻而形成所需之硬罩幕圖案。 請參閱第4a與4e圖,接著進行步驟414,沉積第一金屬部分452、482(使用相同金 屬’ A’)個別覆蓋於NMOS440與PMOS470上。第一金屬部分452、482例如是PVD或 CVD所形成。第一金屬部分452、482可以是鎳、鈷、鎢、鉅、鈦、鉑、餌、鈀或能夠 在升溫時與矽反應形成具有低電阻之金屬矽化物的其他金屬。在本例子中,第一金屬部 分452、482是鎳。 請參閱第4a與4f圖,接著進行步驟416,形成金屬矽化物於NMOS440。此時,被 形成於NMOS440上的金屬矽化物僅包含有第一金屬部分452(例如金屬A或鎳)的金屬矽 化物。然而,由於PMOS470被硬罩幕部分480所覆蓋,所以第一金屬部分452(例如金 屬A或鎳)就無法和PMOS470中的矽或多晶矽反應。 如第4f圖所示般地,位於NMOS440的閘極、源極與汲極上的金屬矽化物,形成了 0503-A30588TWF(5.0) 15 1245421 閘極金屬矽化物454、源極金屬矽化物456以及汲極金屬矽化物458。金屬矽化製程是在 選用特別的金屬的狀況下,在高溫下使金屬A與矽(或多晶矽)之間發生反應。金屬矽化 製程可以包含第二次的退火步驟,用以使反應後的次穩定相(metastable phase)之金屬砂化 物變成具有低電阻的穩定之金屬矽化物相。上述第二次的退火步驟可以在後述步驟 418(移除未反應之金屬)之後進行。這裡要提醒的是,某些金屬矽化物(例如矽化鎳)可以 在較低的溫度下進行一次的RTA步驟。 請參閱第4a與4g圖,接著進行步驟418,從NMOS440、PMOS470以及其他區域(例 如絕緣隔離結構)上移除未反應之金屬。在進行金屬矽化步驟《6之後,將NMOS440上 包含有未反應的殘餘金屬A(例如位於氧化物或氮化物之間隙壁上的金屬)以及位於被硬 罩幕部分480覆蓋之PMOS470上的未反應金屬,藉由金屬蝕刻程序而去除,因而只留 下位於NMOS440的多晶矽閘極與源/汲極接觸區上的金屬矽化物。 請參閱第4a與4h圖,接著進行步驟420,將PMOS470上的硬罩幕部分480去除。 其去除製程可利用濕蝕刻或乾蝕刻。例如,當採用濕蝕刻時,就要選用在氮化矽和其他 材料(包含氧化矽和金屬矽化物)之間具有高蝕刻選擇比之蝕刻溶液。 請參閱第4a與4i圖,接著進行步驟422,將第二金屬部分460、490個別地沉積於 NMOS440與PMOS470上。第二金屬部分460、490是由相同金屬’ B’所構成,要注意 的是第二金屬部分460、490的金屬材質或成分不同於第一金屬部分452、482。第二金 屬部分460、490的沉積方式可以是CVD或PVD。第二金屬部分460、490的材質可以包 含鎳、鈷、鎢、鉬、鈦、鉑、餌、鈀或能夠在升溫時與矽反應形成具有低電阻之金屬矽 化物的其他金屬。在本例子中,第二金屬部分460、490是鈷。 請參閱第4a與4j圖,接著進行步驟424 ,形成金屬矽化物於NMOS440與PMOS470 中。然而,被形成於NMOS440上的金屬矽化物是不同於被形成於PMOS470上的金屬矽 化物。這是因爲被形成於NMOS440上的金屬矽化物是合金金屬矽化物,其包含有第一 金屬部分452(例如金屬A或鎳)的金屬矽化物與第二金屬部分460(例如金屬B或鈷)的金 屬矽化物。而被形成於PMOS470上的金屬矽化物,則僅包含第二金屬部分490(鈷)的金 屬矽化物。 0503-A30588TWF(5.0) 16 1245421 如第4j圖所示般地,位於NMOS440的閘極、源極與汲極上的金屬矽化物,形成了 閘極金屬矽化物454、源極金屬矽化物456以及汲極金屬矽化物458。而位於PMOS470 的閘極、源極與汲極上的金屬矽化物,形成了閘極金屬矽化物484、源極金屬矽化物486 以及汲極金屬矽化物488。閘極金屬矽化物454、源極金屬矽化物456以及汲極金屬矽化 物458是合金金屬矽化物(包含矽化鎳和矽化鈷),而閘極金屬矽化物484、源極金屬矽化 物486以及汲極金屬矽化物488是矽化鈷。 如前述般地,事先先於步驟416形成位於NMOS440上的A金屬矽化物。然後再於 步驟424中,位於NMOS440上的A金屬矽化物再與金屬B反應而形成合金金屬矽化物。 合金金屬矽化物中的A/B金屬(例如鎳/鈷)比例可以經由以最適宜的金屬沉積以及金屬矽 化的製程條件,而調整到最佳的比例,因而得到所想要的功函數。金屬矽化製程是在選 用特別的金屬的狀況下,在高溫下使第二金屬(或第一與第二金屬)與矽(或多晶矽)之間發 生反應。關於金屬矽化製程的退火步驟,則可以在例如是Ar,He,N2或其他惰性氣氛中 進行快速熱退火(RTA)製程。因爲反應後的金屬矽化物可能是一種次穩定相(metastable phase),所以基於特別金屬以及所想要的化合物,而可能需要進行高溫下的第二次的退 火或RTA步驟,因而形成具有低電阻的穩定之金屬矽化物相。上述第二次的退火步驟 可以在後述步驟426(移除未反應之金屬)之後進行。這裡要提醒的是,某些金屬矽化物(例 如矽化鎳)可以在較低的溫度下進行一次的RTA步驟。 請參閱第4a與4k圖,接著進行步驟426,從NMOS440、PMOS470以及其他區域(例 如絕緣隔離結構)上移除未反應之金屬。由於位於絕緣隔離結構上的金屬可能沒有與氧 化層或氮化層反應,所以可能需要金屬蝕刻溶液來選擇性移除。這蝕刻步驟使位於多晶 矽閘極與源/汲極接觸區上的金屬矽化物留下。 , 第四實施例 請參閱第5a圖以及第5b〜5h圖。第5b圖係一流程圖,用以說明製造第1圖所示之 具有NMOS與PMOS之相配的金屬矽化物結構之第四範例方法500。第5b〜5h圖係顯示 採用第5a圖所示的第四範例方法500,來製造第1圖所示積體電路結構之製程步驟圖。 0503-A30588TWF(5.0) 17 1245421 這裡要說明的是,本方法500並非僅限定於製造NMOS與PM〇S之相配的金屬矽化物結 構’事實上可用來形成任意兩金屬矽化物區於一半導體基底上,其中第一區具有一成分 或一材料比例,而第二區具有不同之成分或材料比例。 在本實施例中,第一區是NMOS540,而第二區是PMOS570,而如第5b圖所示。要 注意的是,在進行本方法500之前,NMOS540與PMOS570可以先被形成。例如,該 NMOS540包含一閘極電極542、間隙壁544與546以及一閘極介電層548。而該PMOS570 包含一閘極電極572 '間隙壁574與576以及一閘極介電層578 〇 請參閱第5a與5c圖,本方法500開始於步驟510,沉積第一金屬部分550、580(使 用相同金屬’八’)個另!1覆蓋於丽〇3540與?]\4〇3570上。第一金屬部分550、580例如 是由PVD或CVD所沉積而成。第一金屬部分550、580可以是鎳、鈷、鎢、鉅、鈦、鉑、 餌、鈀或能夠在升溫時與矽反應形成具有低電阻之金屬矽化物的其他金屬。在本例子 中,第一金屬部分550、580包含鎳。鎳可以由鎮讎度來形成,其流程例如是先用HF浸 漬,然後使用Ar氣體前濺鍍蝕刻(pre-sputtei: etch)而得到乾淨的表面,之後才進行鎳濺鍍 製程。 請參閱第5a與5d圖,接著進行步驟512,將第二金屬部分552、582個別地沉積於 NMOS540與PMOS570上。第二金屬部分552、582是由相同金屬,B,所構成,要注意 的是第二金屬部分552、582的金屬材質或成分不同於第一金屬部分550、580。第二金 屬部分552、582的沉積方式可以是CVD或PVD。第二金屬部分552、582的材質可以包 含鎳、鈷、鎢、鉅、鈦、鉑、餌、鈀或能夠在升溫時與矽反應形成具有低電阻之金屬矽 化物的其他金屬。在本例子中,第二金屬部分552、582是鈷。 請參閱第5a與5e圖,接著進行步驟514,沉積第三金屬部分560、590(使用相同金 屬’ A’)個別覆蓋於NMOS540與PMOS570上,亦即第三金屬部分560、590的材質與 第一金屬部分550、580相同。第三金屬部分560、590例如是由PVD或CVD所沉積而 成。如此,即形成了一三明治結構(ΑΒΑ堆疊結構,例如是鎮/銘/鎳)。第三金屬部分560、 590可以是鎳、鈷、鎢、鉅、鈦、鉑、餌、鈀或能夠在升溫時與矽反應形成具有低電阻 之金屬矽化物的其他金屬。在本例子中,第三金屬部分560、590包含鎳。鎳可以由鎳 0503-A30588TWF(5.0) 18 1245421 濺鍍來形成,其流程例如是先用HF浸漬,然後使用Ar氣體前濺鍍蝕刻(pre-sputter etch) 而得到乾淨的表面,之後才進行鎳濺鍍製程。 請參閱第5a與5f圖,接著進行步驟516,選擇性移除第三金屬部分590,而使 NMOS540中的第三金屬部分560露出以及使PMOS570中的第二金屬部分582露出。第 三金屬部分590可以藉由傳統的微影蝕刻製程而被去除,其流程例如是先形成光阻層覆 蓋金屬部分560與590,然後將一罩幕的飩刻圖案轉換到該光阻層,然後進行蝕刻以及 去光阻(stripping)。上述蝕刻製程的條件則根據第三金屬部分590而做選擇。例如當選用 鎳時,則使用濕蝕刻製程,該蝕刻液可爲H2S〇4+H2〇2+H2〇的混合溶液。 請參閱第5a與5g圖,接著進行步驟518,形成金屬矽化物於NMOS540與PMOS570 上。然而,被形成於NMOS540上的金屬矽化物是不同於被形成於PMOS570上的金屬矽 化物。這是因爲被形成於NMOS540上的金屬矽化物是合金金屬矽化物,其包含有較多 量的金屬A(例如鎳)。而被形成於PMOS570上的金屬矽化物,則僅包含有較少量的金屬 A。換句話說,雖然兩者的金屬矽化物都含有金屬A和B(例如鎳和鈷),但是卻有不同 的組成。 如第5g圖所示般地,位於NMOS540的閘極、源極與汲極上的金屬矽化物,形成了 閘極金屬矽化物554、源極金屬矽化物556以及汲極金屬矽化物558 〇而位於PMOS570 的閘極、源極與汲極上的金屬矽化物,形成了閘極金屬矽化物584、源極金屬矽化物586 以及汲極金屬矽化物588。閘極金屬矽化物554、源極金屬矽化物556以及汲極金屬矽化 物558是具有較多量的金屬A(鎳)的合金金屬矽化物,而閘極金屬矽化物584、源極金屬 矽化物586以及汲極金屬矽化物588是具有較少量的金屬A的合金金屬矽化物。合金金 屬矽化物中的A/B金屬(例如鎳/銘)比例可以經由以最適宜的金屬沉積以及金屬矽化的製 程條件,而調整到最佳的比例,因而得到所想要的功函數。金屬矽化製程是在選用特別 的金屬的狀況下,在高溫下使第二金屬(或第一與第二金屬)與矽(或多晶矽)之間發生反 應。關於金屬矽化製程的退火步驟,則可以在例如是Ar,He,N2或其他惰性氣氛中進行 快速熱退火(RTA)製程。因爲反應後的金屬矽化物可能是一種次穩定相(metastable phase),所以基於特別金屬以及所想要的化合物,而可能需要進行高溫下的第二次的退 0503-A30588TWF(5.0) 19 1245421 火或RTA步驟,因而形成具有低電阻的穩定之金屬矽化物相。上述第二次的退火步驟 可以在後述步驟520(移除未反應之金屬)之後進行。這裡要提醒的是,某些金屬矽化物(例 如矽化鎳河以在較低的溫度下進行一次的RTA步驟。 請參閱第5a與5h圖,接著進行步驟52〇,從NMOS540、PMOS570以及其他區域(例 如絕緣隔離結構)上移除未反應之金屬。由於位於絕緣隔離結構上的金屬可能沒有與氧 化層或氮化層反應,所以可能需要金屬蝕刻溶液來選擇性移除。這可使位於多晶砍閘極 與源/汲極接觸區上的金屬矽化物留下。 第五實施例 請參閱第6a圖以及第6b〜6h圖。第6b圖係一流程圖,用以說明製造第1圖所示之 具有NMOS與PMOS之相配的金屬矽化物結構之第五範例方法600。第6b~6h圖係顯示 採用第6a圖所示的第五範例方法600,來製造第1圖所示積體電路結構之製程步驟圖。 這裡要說明的是,本方法600並非僅限定於製造NMOS與PMOS之相配的金屬矽化物結 構,事實上可用來形成任意兩金屬矽化物區於一半導體基底上,其中第一區具有一成分 或一材料比例,而第二區具有不同之成分或材料比例。 在本實施例中,第一區是NMOS640,而第二區是PMOS670,而如第5b圖所示。要 注意的是,在進行本方法600之前,NMOS640與PMOS670可以先被形成。例如,該 NMOS640包含一閘極電極642、間隙壁644與646以及一閘極介電層648〇而該PMOS670 包含一閘極電極672、間隙壁674與676以及一閘極介電層678。 請參閱第6a與6c圖,本方法600開始於步驟610,沉積第一金屬部分650、⑽(使 用相同金屬’八’)個別覆蓋於_〇3640與?仏〇3670上。第一金屬部分650、680例如 是由PVD或CVD所沉積而成。第一金屬部分650、680可以是鎳、鈷、鎢 '鉅、鈦、隹白、 餌、鈀或能夠在升溫時與矽反應形成具有低電阻之金屬矽化物的其他.金屬。在本例子 中,第一金屬部分650、680包含鎳。鎳可以由鎳濺鍍來形成,其流程例如是先用邢浸 漬,然後使用Ar氣體前濺鍍蝕刻(pre-sputteretch)而得到乾淨的表面,之後才進行鎳灘鑛 製程。 0503-A30588TWF(5.0) 20 1245421 請參閱第6a與6d圖,接著進行步驟612,選擇性移除第一金屬部分680,而留下第 一金屬部分650 〇第一金屬部分680可以藉由傳統的微影触刻製程而被去除,其流程例 如是先形成光阻層覆蓋金屬部分650與680,然後將一罩幕的蝕刻圖案轉換到該光阻層’ 然後進行蝕刻以及去光阻(stripping)。上述蝕刻製程的條件則根據第一金屬部分680而做 選擇。 請參閱第6a與6e圖,接著進行步驟614,將第二金屬部分652、682個S11地沉積於 NMOS640與PMOS670上。第二金屬部分652、682是由相同金屬’ B’所構成’要注意 的是第二金屬部分652、682的金屬材質或成分不同於第一金屬部分650、680。第二金 屬部分652、682的沉積方式可以是CVD或PVD。第二金屬部分652、682的材質可以包 含鎳、鈷、鎢、鉅、鈦、鉑、餌、鈀或能夠在升溫時與矽反應形成具有低電阻之金屬矽 化物的其他金屬。在本例子中,第二金屬部分652、682是鈷。 請參閱第6a與6f圖,接著進行步驟616,沉積第三金屬部分660、690(使用相同金 屬’ A’)個覆蓋於NMOS640與PMOS670上,亦即第三金屬部分660、690的材質與 第一金屬部分650、680相同。第三金屬部分660、690例如是由PVD或CVD所沉積而 成。如此,即在NMOS640上形成了一三明治結構(ΑΒΑ堆疊結構,例如是鎳/銘/鎳),而 在PMOS670上形成了雙層結構(ΒΑ堆疊結構,例如是鎳/銘)。第三金屬部分660、690 可以是鎳、鈷、鎢、鉅、鈦、鉑、餌、鈀或能夠在升溫時與矽反應形成具有低電阻之金 屬矽化物的其他金屬。在本例子中,第三金屬部分660、690包含鎳。鎳可以由鎳濺鍍 來形成,其流程例如是先用HF浸漬,然後使用Ar氣體前濺鍍蝕刻(pre-sputter etch)而得 到乾淨的表面,之後才進行鎳濺鍍製程。 請參閱第6a與6g圖,接著進行步驟618,形成金屬砂化物於NMOS640與PMOS670 上。然而,被形成於NMOS640上的金屬矽化物是不同於被形成於PMOS670上的金屬矽 化物。這是因爲被形成於NMOS640上的金屬矽化物是合金金屬矽化物,其包含有較多 量的金屬A(例如鎳)。而被形成於PMOS670上的金屬矽化物,則僅包含有較少量的金屬 A 〇換句話說,雖然兩者的金屬矽化物都含有金屬A和B(例如鎳和鈷),但是卻有不同 的組成。 0503-A30588TWF(5.0) 21 1245421 如第6g圖所示般地,位於NMOS640的閘極、源極與汲極上的金屬矽化物,形成了 閘極金屬砂化物654、源極金屬砂化物656以及汲極金屬砂化物658 〇而位於PMOS670 的閘極、源極與汲極上的金屬矽化物,形成了閘極金屬矽化物684、源極金屬矽化物686 以及汲極金屬矽化物688。閘極金屬矽化物654、源極金屬矽化物656以及汲極金屬矽化 物658是具有較多量的金屬A(鎳)的合金金屬矽化物,而閘極金屬矽化物084、源極金屬 矽化物686以及汲極金屬矽化物688是具有較少量的金屬A的合金金屬矽化物。合金金 屬矽化物中的A/B金屬(例如鎳/銘)比例可以經由以最適宜的金屬沉積以及金屬矽化的製 程條件,而調整到最佳的比例,因而得到所想要的功函數。金屬矽化製程是在選用特別 的金屬的狀況下,在高溫下使第二金屬(或第一與第二金屬)與矽(或多晶矽)之間發生反 應。關於金屬矽化製程的退火步驟,則因爲反應後的金屬矽化物可能是一種次穩定相 (metastable phase),所以基於特別金屬以及所想要的化合物,而可能需要進行高溫下的第 二次的退火或RTA步驟,因而形成具有低電阻的穩定之金屬矽化物相。上述第二次的 退火步驟可以在後述步驟620(移除未反應之金屬)之後進行。這裡要提醒的是,某些金屬 矽化物(例如矽化鎳河以在較低的溫度下進行一次的RTA步驟。 請參閱第6a與6h圖,接著進行步驟620,從NMOS640、PMOS670以及其他區域(例 如絕緣隔離結構)上移除未反應之金屬。由於位於絕緣隔離結構上的金屬可能沒有與氧 化層或氮化層反應,所以可能需要金屬蝕刻溶液來選擇性移除。這可使位於多晶矽閘極 與源/汲極接觸區上的金屬矽化物留下。 [本發明之功效] 根據本發明,由於能夠在位於同一晶片上的不同型式的電晶體上,形成具有不同功 函數的金屬矽化物,因而能夠得到可靠的接觸狀況以及解決習知技術的缺點。 雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝 者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾,因此本發明之保護範 圍當視後附之申請專利範圍所界定者爲準。 0503-A30588TWF(5.0) 22 1245421 【圖式簡單說明】 第1圖係顯示根據本發明的一範例結構的部分剖面圖; 第2a圖係一流程圖,用以說明製造第1圖所示結構之本發明的第一範例方法; 第2b〜2g圖係顯示採用第2a圖所示的第一範例方法來製造第1圖所示結構之製 面圖; 第3a圖係一流程圖,用以說明製造第1圖所示結構之本發明的第二範例方法; 第3b〜3g圖係顯示採用第3a圖所示第二範例方法來製造第1圖所示結構 圖 第4a圖係一流程圖,用以說明製造第1圖所示結構之本發明的第三範例方法· 面圖 第4b〜4k圖係顯示採用第4a圖所示的第三範例方法來製造第1圖所示結構之製尸气 第5a圖係一流程圖,用以說明製造第1圖所示結構之本發明的第四範例方& · 面圖; 第 及 第5b〜5h圖係顯示採用第5a圖所示的第四範例方法來製造第1圖所示結構之製程【 6a圖係一流程圖,用以說明製造第1圖所示結構之本發明的第五範例、、 方法’以 面圖。 第6b〜6h圖係顯示採用第6a圖所示的第五範例方法來製造第1 [ 【主要元件符號說明】 100、240、340、440、540、640〜NMOS 區; 120、270、370、470、570、670〜PMOS 區; 102、122、242、272、342、272、442、472、542、572、642、672〜鬧栋電極區 104、106、124、126、244、246、274、276、344、346、374、376、’ 444、446、474、 476、544、546、574、576、644、646、674、676〜間隙壁; 0503-A30588TWF(5.0) 23 1245421 108、128、248、278、348、378、448、478、548、578、648、678〜閘極介電層; 114、116、118、254'256、258、354、356、358、454、456、458、554、556、558、 654、656、658〜NMOS區中的金屬矽化物; 134、136、138、284、286、288、384、386、388、484、486、488、584、586、588、 684、686、688〜PMOS區中的金屬矽化物; 250、280、350、380、452、482、550、580、650、680〜第一金屬部分; 252、282、352、382、460、490、552、582、652、682〜第二金屬部分; 560、590、660、690〜第三金屬部分; 450、480〜硬罩幕; 200〜本發明的第一範例方法; 300〜本發明的第二範例方法; 400~本發明的第三範例方法; 500〜本發明的第四範例方法; 600〜本發明的第五範例方法。 0503-A30588TWF(5.0) 24

Claims (1)

1245421 十、申請專利範圍: 1.一種具有多樣的金屬矽化物之半導體元件,包括: 一半導體基底; 一第一金屬矽化物,位於該半導體基底的第一主動區;以及 一第二金屬矽化物,位於該半導體基底的第二主動區,其中該第一金屬矽化物不同 於該第二金屬矽化物,以及該第一金屬矽化物與該第二金屬矽化物之至少之一爲一合金 金屬砍化物。 2·如申請專利範圍第1項所述之具有多樣的金屬矽化物之半導體元件,其中該第一 金屬矽化物係政化鎳或矽化鈷或矽化鎢或矽化鉅或矽化鈦或矽化鉑或矽化鈦或矽化餌 或矽化鈀或其組合。 3·如申請專利範圍第1項所述之具有多樣的金屬矽化物之半導體元件,其中該第二 金屬矽化物係矽化鎳或矽化鈷或矽化鎢或矽化鉅或矽化鈦或矽化鉑或矽化鈦或政化餌 或矽化鈀或其組合。 4. 如申請專利範圍第1項所述之具有多樣的金屬矽化物之半導體元件,其中該第一 主動區具有一第一結構,而該第二主動區具有一第二結構。 5. 如申請專利範圍第4項所述之具有多樣的金屬矽化物之半導體元件,其中該第一 結構包含一 N型金氧半導體場效電晶體(NMOSFET),而該第二結構包含一 p型金氧半導 體場效電晶體(PMOSFET” 6. 如申請專利範圍第4項所述之具有多樣的金屬矽化物之半導體元件,其中該第一 結構包含一 P型金氧半導體場效電晶體(PMOSFET),而該第二結構包含一 N型金氧半導 體場效電晶體(NMOSFET) 〇 7·如申請專利範圍第5項所述之具有多樣的金屬矽化物之半導體元件,其中該第一 結構與該第二結構之至少之一更包括一凸起的源/汲極結構或一雙閘極結構或一多指狀 結構或一高應力薄膜或一繪式場效電晶體(FinFET)或其組合。 8.如申請專利範圍第5項所述之具有多樣的金屬矽化物之半導體元件,其中該第一 結構與該第二結構之至少之一包括: 0503-A30588TWF(5.0) 25 1245421 一源極; 一汲極;以及 一閘極結構,該閘極結構包含一閘極介電層與一閘極電極。 9·如申請專利範圍第8項所述之具有多樣的金屬矽化物之半導體元件,其中該閘極 電極包含至少一導體材料。 10. 如申請專利範圍第8項所述之具有多樣的金屬矽化物之半導體元件,其中該閘 極電極包含矽'鍺與金屬之至少之一 〇 11. 如申請專利範圍第8項所述之具有多樣的金屬矽化物之半導體元件,其中該閘 極電極係多晶矽或多晶矽鍺(poly-SiGe)或金屬或金屬矽化物或金屬氮化物或金屬氧化物 或其組合。 12. 如申請專利範圍第8項所述之具有多樣的金屬矽化物之半導體元件,其中該閘 極介電層係氧化ΐ夕或氮化砂或氮氧化石夕或高介電材料或其組合。 13. 如申請專利範圍第12項所述之具有多樣的金屬矽化物之半導體元件,其中該高 介電材料係金屬氧化物或金屬氮化物或金屬矽酸鹽(silicate)或過渡金屬氧化物或過渡金 屬氮化物或過渡金屬矽酸鹽或金屬氮氧化物或金屬鋁酸鹽(aluminate)或矽酸鉻或鋁酸鉻 或 HfCh 或 &〇2 或 HfOxNy 或 Zr〇xNy 或 HfSix〇y 或 ZrSix〇y 或 HfSix〇yNz 或 2说*0批或 Al2〇3 或 Ti〇2 或 Ta2〇5 或 La2〇3 或 Ce〇2 或 Bi4Si£h2 或 W〇3 或 Y2〇3 或 LaAlCh 或 Bai.xSrxTi〇3 或 PbTiCb 或BaTiCb或SrTiCb或PbZrCb或PST或PZN或PZT或PMN或其組合。 14·如申請專利範圍第13項所述之具有多樣的金屬矽化物之半導體元件,其中該高 介電材料的介電常數約大於10。 15·如申請專利範圍第13項所述之具有多樣的金屬矽化物之半導體元件,其中該高 介電材料的介電常數約大於15 〇 16. 如申請專利範圍第13項所述之具有多樣的金屬矽化物之半導體元件,其中該高 介電材料的介電常數約大於20 〇 17. 如申請專利範圍第1項所述之具有多樣的金屬矽化物之半導體元件,其中該半 導體基底包含有半導體元素。 0503-A30588TWF(5.0) 26 1245421 18·如申請專利範圍第1項所述之具有多樣的金屬矽化物之半導體元件,其中該半 導體基底包含矽。 19.如申請專利範圍第1項所述之具有多樣的金屬矽化物之半導體元件,其中該半 導體基底包含鍺。 20·如申請專利範圍第1項所述之具有多樣的金屬矽化物之半導體元件,其中該半 導體基底更包括一多層混合的半導體結構。 * 21·如申請專利範圍第1項所述之具有多樣的金屬矽化物之半導體元件,其中該半 導體基底包含一混合的半導體。 22.如申請專利範圍第1項所述之具有多樣的金屬矽化物之半導體元件,其中該半 導體基底包含矽和鍺° 23·如申請專利範圍第1項所述之具有多樣的金屬矽化物之半導體元件,其中該半 導體基底包含一合金半導體。 24·如申請專利範圍第23項所述之具有多樣的金屬矽化物之半導體元件,其中該半 導體基底包含矽或鍺或碳或其組合。 25_如申請專利範圍第24項所述之具有多樣的金屬矽化物之半導體元件,其中該半 導體基底包含矽鍺。 26. 如申請專利範圍第25項所述之具有多樣的金屬矽化物之半導體元件,其中該半 導體基底包含具有梯度的矽鍺結構。 27. 如申請專利範圍第1項所述之具有多樣的金屬矽化物之半導體元件,其中該年1 導體基底係絕緣層上有半導體(semiconductor on insulator)之基底。 28. 如申請專利範圍第27項所述之具有多樣的金屬矽化物之半導體元件,其中該半 導體基底係絕緣層上有矽(S〇I)之基底。 29·如申請專利範圍第1項所述之具有多樣的金屬矽化物之半導體元件,其中該第 一金屬矽化物的功函數約小於4.4eV 〇 30·如申請專利範圍第1項所述之具有多樣的金屬矽化物之半導體元件,其中該第 二金屬矽化物的功函數約大於4.7eV 〇 0503-A30588TWF(5.0) 27 1245421 31.如申請專利範圍第1項所述之具有多樣的金屬矽化物之半導體元件,其中該第 一金屬矽化物是一第一合金矽化物,而該第二金屬矽化物是一第二合金矽化物。 见如申請專利範圍第31項所述之具有多樣的金屬矽化物之半導體元件,其中該第 一合金矽化物包含具有第一摩爾組成的一第一金屬以及一第二金屬,該第二合金矽化物 包含具有第二摩爾組成的該第一金屬以及該第二金屬,其中上述第一摩爾組成不同於上 述第二摩爾組成。 33. 如申請專利範圍第32項所述之具有多樣的金屬矽化物之半導體元件,其中該第 一金屬是鎳 > 而該第二金屬是鈷。 34. —種具有多樣的金屬矽化物之半導體元件的製造方法,包括下列步驟: 沉積一第一金屬層於一半導體基底上的第一區與第二區上,其中該第一金屬層包含 至少一第一金屬; 沉積一第二金屬層於該第一區與該第二區上,其中該第二金屬層包含至少一第二金 屬; 選擇性地移除位於該第二區中的該第一金屬層與該第二金屬層之一;以及 形成包含該等第一與第二金屬的一第一金屬矽化物於該第一區上,以及形成包含未 被移除之該第一金屬或#第二金屬的一第二金屬矽化物於該第二區上。 35. 如申請專利範圍第34項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中在形成該等第一與第二金屬矽化物之後,更包括除去該等第一與第二金屬之未 反應部份。 36·如申請專利範圍第34項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中形成該等第一與第二金屬矽化物之製程包含有高溫處理。 37·如申請專利範圍第34項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中該第一區包含一第一閘極堆疊結構與一第一源/汲極,而該第一源/汲極對向或 相鄰於該第一閘極堆疊結構;以及該第二區包含一第二閘極堆疊結構與一第二源/汲極, 而該第二源/汲極對向或相鄰於該第二閘極堆疊結構。 0503-A30588TWF(5.0) 28 1245421 38. 如申請專利範圍第34項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中該第一區與該第二區之一爲NMOS,而另一爲PMOS。 39. 如申請專利範圍第34項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中該第一金屬爲鎳,而該第二金屬爲鈷。 40. 如申請專利範圍第34項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中該等第一與第二金屬是由濺鍍法所形成。 41. 如申請專利範圍第34項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中該等第一與第二金屬是由物理氣相沉積法(PVD)或電鍍法或化學氣相沉積法 (CVD烕其組合所形成。 42_如申請專利範圍第41項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中該化學氣相沉積法爲PECVD或APCVD或LPCVD或HDPCVD或ALCVD或其 組合。 43.如申請專利範圍第34項所述之具有多樣的金屬矽化物之半導體元件的製造方 法’其中選擇性地移除該第一金屬層或該第二金屬層之製程包括微影步驟以及蝕亥[[步 驟。 44. 一種具有多樣的金屬矽化物之半導體元件的製造方法,包括下歹丨j步驟: 沉積一硬罩幕層於於一半導體基底上的第一區與第二區上; 選擇性地移除位於該第一區中的該硬罩幕層; 沉積一第一金屬層於該第一區與該第二區上,其中該第一金屬層包含一第一金屬; 形成包含有第一金屬的一第一金屬矽化物層於該第一區上; 除去位於該等第一與第二區上的該第一金屬的未反應部份; 除去位於該第二區上的該硬罩幕層; 沉積一第二金屬層於該第一區與該第二區上,其中該第二金屬層包含一第二金屬, 該第二金屬不同於該第一金屬;以及 形成包含有第一與第二金屬的一第二金屬矽化物層於該第一區上,以及形成包含有 0503-A30588TWF(5.0) 29 1245421 第二金屬的一第三金屬矽化物層於該第二區上。 45. 如申請專利範圍第44項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中該硬罩幕層的材質是氧化砂或氮化砂或氮氧化砂或碳化砂或高介電材料或其組 合。 46. 如申請專利範圍第44項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中該硬罩幕層的形成製程包含PVD或CVD或高溫反應步驟或其組合。 47. 如申請專利範圍第44項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中該硬罩幕層是由SiCl2H4l]NH3反應而形成。 48. 如申請專利範圍第44項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中該第一金屬層與該第二金屬層是由濺鍍法所沉積而成。 49. 如申請專利範圍第44項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中該第一金屬層與該第二金屬層是由CVD所沉積而成。 50. —種具有多樣的金屬矽化物之半導體元件的製造方法,包括下列步驟: 沉積一第一金屬層於一半導體基底上的第一區與第二區上,其中該第一金屬層包含 一第一金屬; 沉積一第二金屬層於該第一區與該第二區上,其中該第二金屬層包含一第二金屬; 沉積一第三金屬層於一半導體基底上的第一區與第二區上,其中該第三金屬層包含 該第一金屬; 選擇性地移除位於該第二區中的該第一金屬層與該第二金屬層之一;以及 形成包含該等第一與第二金屬的一第一金屬矽化物於該第一區上,以及形成該等第 一與第二金屬的一第二金屬矽化物於該第二區上,其中該第一金屬矽化物中的第一金屬 含量比例大於該第二金屬矽化物中的第一金屬含量比例。 51. 如申請專利範圍第50項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中在選擇性地移除位於該第二區中的該第一金屬層與該第二金屬層之一的製程包 括:在沉積該第二金屬層之前,先選擇性地除去該第一金屬層。 0503-A30588TWF(5.0) 30 1245421 52. 如申請專利範圍第50項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中在選擇性地移除位於該第二區中的該第一金屬層與該第二金屬層之一的製程包 括:在沉積該第三金屬層之後,選擇性地除去該第三金屬層。 53. 如申請專利範圍第50項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中該第一金屬與該第二金屬之一爲鎳,而另一爲銘。 54. 如申請專利範圍第50項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中該等第一、二與三金屬層是由涵鍍法所沉積而成。 55. 如申請專利範圍第50項所述之具有多樣的金屬矽化物之半導體元件的製造方 法,其中該等第一、二與三金屬層是由CVD所沉積而成。 0503-A30588TWF(5.0) 31
TW093125756A 2003-08-29 2004-08-27 A device having multiple silicide types and a method for its fabrication TWI245421B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US49875903P 2003-08-29 2003-08-29

Publications (2)

Publication Number Publication Date
TW200509391A TW200509391A (en) 2005-03-01
TWI245421B true TWI245421B (en) 2005-12-11

Family

ID=34590086

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093125756A TWI245421B (en) 2003-08-29 2004-08-27 A device having multiple silicide types and a method for its fabrication

Country Status (4)

Country Link
US (2) US7112483B2 (zh)
CN (2) CN1320654C (zh)
SG (2) SG109536A1 (zh)
TW (1) TWI245421B (zh)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3803631B2 (ja) * 2002-11-07 2006-08-02 株式会社東芝 半導体装置及びその製造方法
US7112483B2 (en) * 2003-08-29 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a device having multiple silicide types
KR100702307B1 (ko) * 2004-07-29 2007-03-30 주식회사 하이닉스반도체 반도체 소자의 디램 및 그 제조 방법
JP4791722B2 (ja) * 2004-09-21 2011-10-12 株式会社東芝 半導体装置の製造方法
JP2006128494A (ja) * 2004-10-29 2006-05-18 Toshiba Corp 半導体集積回路装置及びその製造方法
JP4146859B2 (ja) * 2004-11-30 2008-09-10 松下電器産業株式会社 半導体装置の製造方法
KR100719340B1 (ko) * 2005-01-14 2007-05-17 삼성전자주식회사 듀얼 게이트 전극을 갖는 반도체 소자 및 그 형성 방법
KR100691006B1 (ko) * 2005-04-29 2007-03-09 주식회사 하이닉스반도체 메모리 소자의 셀 트랜지스터 구조 및 그 제조방법
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7541632B2 (en) 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7858458B2 (en) 2005-06-14 2010-12-28 Micron Technology, Inc. CMOS fabrication
DE102005030583B4 (de) * 2005-06-30 2010-09-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Kontaktisolationsschichten und Silizidgebieten mit unterschiedlichen Eigenschaften eines Halbleiterbauelements und Halbleiterbauelement
US7888721B2 (en) * 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US20070072358A1 (en) * 2005-09-29 2007-03-29 Chih-Ning Wu Method of manufacturing metal-oxide-semiconductor transistor devices
JP2007142347A (ja) * 2005-10-19 2007-06-07 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US8039284B2 (en) * 2006-12-18 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dual metal silicides for lowering contact resistance
US20080191285A1 (en) 2007-02-09 2008-08-14 Chih-Hsin Ko CMOS devices with schottky source and drain regions
JP5086665B2 (ja) * 2007-03-02 2012-11-28 株式会社東芝 半導体装置およびその製造方法
KR100857229B1 (ko) * 2007-05-28 2008-09-05 삼성전자주식회사 반도체 소자 및 그 형성방법
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US20090053883A1 (en) * 2007-08-24 2009-02-26 Texas Instruments Incorporated Method of setting a work function of a fully silicided semiconductor device, and related device
JPWO2009101763A1 (ja) * 2008-02-12 2011-06-09 パナソニック株式会社 半導体装置及びその製造方法
US7960223B2 (en) * 2008-06-16 2011-06-14 International Business Machines Corporation Structure and method to integrate dual silicide with dual stress liner to improve CMOS performance
US20090315185A1 (en) * 2008-06-20 2009-12-24 Boyan Boyanov Selective electroless metal deposition for dual salicide process
US7824986B2 (en) * 2008-11-05 2010-11-02 Micron Technology, Inc. Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions
KR101536562B1 (ko) * 2009-02-09 2015-07-14 삼성전자 주식회사 반도체 집적 회로 장치
KR101070869B1 (ko) * 2009-04-21 2011-10-06 전북대학교산학협력단 쇼트키 장벽 트랜지스터 소자의 제조방법
US8895426B2 (en) * 2009-06-12 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate transistor, integrated circuits, systems, and fabrication methods thereof
US8304841B2 (en) * 2009-09-14 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate transistor, integrated circuits, systems, and fabrication methods thereof
US8330227B2 (en) 2010-02-17 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated semiconductor structure for SRAM and fabrication methods thereof
US8362574B2 (en) 2010-06-04 2013-01-29 Kabushiki Kaisha Toshiba Faceted EPI shape and half-wrap around silicide in S/D merged FinFET
US8460984B2 (en) 2011-06-09 2013-06-11 GlobalFoundries, Inc. FIN-FET device and method and integrated circuits using such
CN103077969B (zh) * 2011-10-26 2016-03-30 中国科学院微电子研究所 一种mos器件及其制造方法
CN103094212A (zh) * 2011-10-31 2013-05-08 中芯国际集成电路制造(上海)有限公司 Cmos形成方法
CN103515319B (zh) * 2012-06-20 2015-08-19 中芯国际集成电路制造(上海)有限公司 形成cmos全硅化物金属栅的方法
CN103515318B (zh) * 2012-06-20 2016-03-02 中芯国际集成电路制造(上海)有限公司 Cmos全硅化物金属栅制备方法
US20140106529A1 (en) * 2012-10-16 2014-04-17 Stmicroelectronics (Crolles 2) Sas Finfet device with silicided source-drain regions and method of making same using a two step anneal
CN102969234B (zh) * 2012-11-01 2017-04-19 上海集成电路研发中心有限公司 一种金属栅电极的制造方法
US10304826B2 (en) * 2012-12-28 2019-05-28 Taiwan Semiconductor Manufacturing Company Complimentary metal-oxide-semiconductor (CMOS) with low contact resistivity and method of forming same
KR102049774B1 (ko) 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9190496B2 (en) 2014-01-23 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9177810B2 (en) 2014-01-29 2015-11-03 International Business Machines Corporation Dual silicide regions and method for forming the same
KR102236555B1 (ko) 2014-11-11 2021-04-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9892958B2 (en) 2014-12-02 2018-02-13 Globalfoundries Inc. Contact module for optimizing emitter and contact resistance
CN108122850B (zh) * 2016-11-28 2020-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10522359B2 (en) * 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
EP3667733A1 (en) * 2018-12-13 2020-06-17 IMEC vzw Silicided fin junction for back-side connection
CN111900161A (zh) * 2020-06-28 2020-11-06 中国科学院微电子研究所 半导体器件及其金属硅化物分离结构制造方法
US20230009981A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Company Limited Conductive structures and methods of formation
US20230326764A1 (en) * 2022-04-08 2023-10-12 Tokyo Electron Limited Silicidation Process for Semiconductor Devices

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR950003233B1 (ko) * 1992-05-30 1995-04-06 삼성전자 주식회사 이중층 실리사이드 구조를 갖는 반도체 장치 및 그 제조방법
JP3514500B2 (ja) * 1994-01-28 2004-03-31 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JPH088211A (ja) * 1994-06-23 1996-01-12 Sony Corp シリサイドパターンの形成方法
JP2751895B2 (ja) * 1995-10-31 1998-05-18 日本電気株式会社 半導体装置の製造方法
US6130123A (en) * 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
US6166417A (en) * 1998-06-30 2000-12-26 Intel Corporation Complementary metal gates and a process for implementation
US6204103B1 (en) * 1998-09-18 2001-03-20 Intel Corporation Process to make complementary silicide metal gates for CMOS technology
US6380024B1 (en) 2000-02-07 2002-04-30 Taiwan Semiconductor Manufacturing Company Method of fabricating an SRAM cell featuring dual silicide gates and four buried contact regions
US6391767B1 (en) 2000-02-11 2002-05-21 Advanced Micro Devices, Inc. Dual silicide process to reduce gate resistance
US6413859B1 (en) 2000-03-06 2002-07-02 International Business Machines Corporation Method and structure for retarding high temperature agglomeration of silicides using alloys
US6350665B1 (en) 2000-04-28 2002-02-26 Cypress Semiconductor Corporation Semiconductor structure and method of making contacts and source and/or drain junctions in a semiconductor device
US6468900B1 (en) * 2000-12-06 2002-10-22 Advanced Micro Devices, Inc. Dual layer nickel deposition using a cobalt barrier to reduce surface roughness at silicide/junction interface
CN1157771C (zh) * 2001-09-05 2004-07-14 旺宏电子股份有限公司 局部形成硅化金属层的方法
US6534405B1 (en) 2001-10-01 2003-03-18 Taiwan Semiconductor Manufacturing Company Method of forming a MOSFET device featuring a dual salicide process
US6475908B1 (en) * 2001-10-18 2002-11-05 Chartered Semiconductor Manufacturing Ltd. Dual metal gate process: metals and their silicides
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US7189606B2 (en) * 2002-06-05 2007-03-13 Micron Technology, Inc. Method of forming fully-depleted (FD) SOI MOSFET access transistor
US6589836B1 (en) * 2002-10-03 2003-07-08 Taiwan Semiconductor Manufacturing Company One step dual salicide formation for ultra shallow junction applications
US7112483B2 (en) * 2003-08-29 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a device having multiple silicide types
US7338865B2 (en) * 2004-07-23 2008-03-04 Texas Instruments Incorporated Method for manufacturing dual work function gate electrodes through local thickness-limited silicidation

Also Published As

Publication number Publication date
CN1320654C (zh) 2007-06-06
SG109536A1 (en) 2005-03-30
CN2731718Y (zh) 2005-10-05
US7459756B2 (en) 2008-12-02
US20060286740A1 (en) 2006-12-21
SG134330A1 (en) 2007-08-29
US7112483B2 (en) 2006-09-26
US20050045965A1 (en) 2005-03-03
CN1591868A (zh) 2005-03-09
TW200509391A (en) 2005-03-01

Similar Documents

Publication Publication Date Title
TWI245421B (en) A device having multiple silicide types and a method for its fabrication
TWI726128B (zh) 半導體元件及其製作方法
TWI690080B (zh) 半導體元件
JP5015446B2 (ja) 二重の完全ケイ化ゲートを形成する方法と前記方法によって得られたデバイス
CN107689376B (zh) 半导体器件和方法
TWI317172B (en) Cmos device having pmos and nmos transistors with different gate structures
JP4144884B2 (ja) Cmosトランジスタの製造方法
JP4994585B2 (ja) シリサイド化された電極を有する半導体装置の製造方法及び該半導体装置
US20050156208A1 (en) Device having multiple silicide types and a method for its fabrication
CN108074983A (zh) 多栅极半导体器件及其制造方法
JP2013511852A (ja) FinFETとトライゲートデバイス用のラップアラウンド型コンタクト
TW201822263A (zh) 半導體元件及其製作方法
KR20070029799A (ko) 완전 실리사이드화 금속 게이트의 형성 방법
JP2007258267A (ja) 半導体装置及びその製造方法
CN106158967A (zh) 半导体器件及其制造方法
CN105023923A (zh) Sram finfet器件的结构和方法
TWI801743B (zh) 半導體裝置及其製造方法
CN109427896A (zh) 半导体装置的形成方法
JP2006245167A (ja) 半導体装置及びその製造方法
JP2008140853A (ja) 半導体装置及びその製造方法
CN106992119A (zh) 半导体装置的制造方法
CN103377924A (zh) 一种半导体结构及其制造方法
JP2006278369A (ja) 半導体装置の製造方法
US7755145B2 (en) Semiconductor device and manufacturing method thereof
JP2008527743A (ja) Cmosデバイスの自己形成金属シリサイド化ゲート