TWI801743B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI801743B
TWI801743B TW109121262A TW109121262A TWI801743B TW I801743 B TWI801743 B TW I801743B TW 109121262 A TW109121262 A TW 109121262A TW 109121262 A TW109121262 A TW 109121262A TW I801743 B TWI801743 B TW I801743B
Authority
TW
Taiwan
Prior art keywords
silicide
precursor
source
layer
phase
Prior art date
Application number
TW109121262A
Other languages
English (en)
Other versions
TW202107546A (zh
Inventor
煒業 盧
蔡彥明
陳泓旭
張志維
林聖軒
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202107546A publication Critical patent/TW202107546A/zh
Application granted granted Critical
Publication of TWI801743B publication Critical patent/TWI801743B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01028Nickel [Ni]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Bipolar Transistors (AREA)

Abstract

提供了具有多個矽化物區域的半導體裝置。在一些實施例中,在源極/汲極區域上沉積第一矽化物前驅物以及第二矽化物前驅物。形成具有第一相的第一矽化物,並且第二矽化物前驅物不溶於第一矽化物的第一相。第一矽化物的第一相改變為第一矽化物的第二相,並且第二矽化物前驅物可溶於第一矽化物的第二相。第二矽化物前驅物以及第一矽化物的第二相形成第二矽化物。

Description

半導體裝置及其製造方法
本揭露實施例係有關於一種裝置及其製造方法,特別係有關於一種半導體裝置及製造方法。
半導體裝置被使用於各種電子應用中,像是例如,個人電腦、行動電話、數位相機以及其他電子設備。通常藉由以下方式製造半導體裝置:依序在半導體基板上方沉積材料的絕緣體層或介電質層、導電層以及半導體層,並使用微影將各種材料層圖案化以在其上形成電路構件以及元件。
半導體工業藉由不斷減小最小特徵尺寸來繼續改善各種電子構件(例如,電晶體、二極體、電阻器、電容器等)的積體密度,這允許將更多的構件整合到給定區域中。然而,隨著最小特徵尺寸的減小,出現了應解決的其他問題。
根據本揭露的一些實施例,提供一種製造半導體裝置的方法,包括在源極/汲極區域上沉積第一矽化物前驅物以及第二矽化物前驅物,其中沉積也形成具有富含金屬相的富含金屬矽化物,第二矽化物前驅物不溶於富含金屬矽化物的富含金屬相,藉由熱退火將富含金屬矽化物的富含金屬相改變為富含 半導體相,由於與額外的半導體材料形成矽化物,第二矽化物前驅物可溶於富含半導體相,以及與第二矽化物前驅物以及富含半導體相形成第二矽化物。
根據本揭露的一些實施例,提供一種製造半導體裝置的方法,包括形成與第一閘極堆疊相鄰的第一源極/汲極區域,形成與第二閘極堆疊相鄰的第二源極/汲極區域,在第一源極/汲極區域以及第二源極/汲極區域兩者上沉積第一材料層,第一材料層包括第一矽化物前驅物以及第二矽化物前驅物,在第一源極/汲極區域以及第二源極/汲極區域兩者上形成第一矽化物,其中第一矽化物富含金屬,並且其中形成第一矽化物與第一矽化物前驅物而不與第二矽化物前驅物形成第一矽化物,第一矽化物具有第一相,從第一源極/汲極區域去除第一矽化物前驅物以及第二矽化物前驅物,而不從第二源極/汲極區域去除第二矽化物前驅物,以及將第一矽化物的第一相改變為第一矽化物的第二相。
根據本揭露的一些實施例,提供一種半導體裝置,包括第一源極/汲極區域、第一矽化物、以及第二矽化物。第一源極/汲極區域與第二源極/汲極區域分開,第一矽化物在第一源極/汲極區域上,第一矽化物包括第一組元素,第二矽化物在第二源極/汲極區域上,第二矽化物包括第一組元素以及第一元素,其中第一元素不溶於包括第一組元素的矽化物的第一相中,並且可溶於包括第一組元素的矽化物的第二相中。
50:基板
50N,50P:區域
52:鰭片
56:隔離區域
58:通道區域
60:虛擬介電質層
62:虛擬閘極層
64:遮罩層
72:虛擬閘極
74:遮罩
80:閘極密封間隔
82:磊晶源極/汲極區域
86:閘極間隔
87:接觸蝕刻停止層
88:第一層間介電質層
89:區域
92:閘極介電質層
93:源極/汲極接觸開口
94:閘極電極
94A:襯墊層
94B:功函數調諧層
94C:填充材料
95:閘極堆疊
96:閘極遮罩
108:第二層間介電質層
150:蝕刻停止層
201:第一雙材料矽化物前驅物層
203:氧化阻擋層
205:矽化物
207:光阻層
209:退火製程
211,213:矽化物
215:矽化物層
217:黏著層
219:第一黏著矽化物層
221:第二黏著矽化物層
從以下的詳細描述並閱讀所附圖式以最佳理解本揭露之各方面。應注意的是,不同特徵並未一定按照比例繪製。事實上,可能任意的放大或縮小不同特徵的大小及幾何尺寸,以做清楚的說明。
第1圖根據一些實施例以三維視圖示出了鰭式場效電晶體(Fin Field-effect transistor,FinFET)的示例。
第2圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第3圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第4圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第5圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第6圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第7圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第8A圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第8B圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第9A圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第9B圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第10A圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第10B圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第10C圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第11A圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第11B圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第11C圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第12A圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第12B圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第13A圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第13B圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第14A圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第14B圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第14C圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第15A圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第15B圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第16A圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第16B圖是根據一些實施例的鰭式場效電晶體的製造中的中間階段的剖面圖。
第17A圖示出了根據一些實施例的第一雙(dual)材料矽化物前驅物層的沉積。
第17B圖示出了根據一些實施例的第一雙材料矽化物前驅物層的沉積。
第17C圖示出了根據一些實施例的第一雙材料矽化物前驅物層的沉積。
第18圖示出了根據一些實施例的第一雙材料矽化物前驅物層的部分去除。
第19A圖、第19B圖示出了根據一些實施例的退火製程。
第20圖示出了根據一些實施例的黏著層的沉積。
第21圖示出了根據一些實施例的接點(contact)的形成。
以下的揭露提供各種許多不同的實施例或範例以實行本揭露之不同特徵。以下敘述各個構件以及排列方式的特定範例,以簡化本揭露。當然,這些僅為範例且非意圖作為限制。例如,若說明書敘述了第一特徵形成於第二特徵上方或之上,即表示可包括上述第一特徵與上述第二特徵係直接接觸的實施例,亦可包括有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可未直接接觸的實施例。除此之外,在各種範例中,本揭露可能使用重複的參考符號及/或字母。這樣的重複係為了簡化以及清楚之目的,並不表示所討論的各種實施例及/或配置之間的關聯。
此外,空間相關用詞,如:「在...下方」、「下方」、「較低的」、「上方」、「較高的」等等的類似用詞,可在這裡使用以便於描述圖式中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意圖涵蓋使用中或操作中的裝置之不同方位。設 備可被轉向不同方位(旋轉90度或其他方位),且在此使用的空間相關用詞亦可依此相同解釋。
現在將關於其中使用單一製程形成偏析的矽化物區域(segregated silicide region)的特定實施例來描述實施例。然而,本文描述的實施例完全旨在示例性的,並且不旨在限於本文中發現的那些描述。
第1圖根據一些實施例以三維視圖示出了鰭式場效電晶體的示例。鰭式場效電晶體在基板50(例如,半導體基板)上包括鰭片52。隔離區域56設置在基板50中,並且鰭片52在相鄰的隔離區域56上方以及從相鄰的隔離區域56之間突出。儘管將隔離區域56描述/示出為與基板50分開,但是如本文所使用之術語「基板」可以被使用為僅指半導體基板或包括隔離區域的半導體基板。另外,儘管鰭片52被示為與基板50一樣的單一連續材料,但是鰭片52以及/或基板50可以包括單一材料或複數個材料。在本文中,鰭片52指的是在相鄰隔離區域56之間延伸的部分。
閘極介電質層92沿著側壁並在鰭片52的頂表面上方,而閘極電極94在閘極介電質層92上方。源極/汲極區域82相對於閘極介電質層92以及閘極電極94設置在鰭片52的相對的側面。第1圖更示出了在後面的圖中使用的參考剖面。剖面A-A沿著閘極電極94的縱軸,並且在例如垂直於鰭式場效電晶體的源極/汲極區域82之間的電流流動方向的方向上。剖面B-B垂直於剖面A-A並且沿著鰭片52的縱軸,並且在例如鰭式場效電晶體的源極/汲極區域82之間的電流流動的方向上。剖面C-C平行於剖面A-A,並延伸穿過鰭式場效電晶體的源極/汲極區域。為了清楚起見,後續圖式參考這些參考剖面。
本文討論的一些實施例是在使用後閘極製程(gate-last process)形成的鰭式場效電晶體的背景下討論的。在其他實施例中,可以使用先閘極製程(gate-first process)。而且,一些實施例考慮了在平面裝置中使用的方面,例 如平面場效電晶體(planar FET)。
第2圖至第16B圖是根據一些實施例的在鰭式場效電晶體的製造中的中間階段的剖面圖。除了多個鰭片/鰭式場效電晶體之外,第2圖至第7圖示出了第1圖中示出的參考剖面A-A。除了多個鰭片/鰭式場效電晶體之外,沿第1圖中所示的參考剖面A-A示出了第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第13A圖、第14A圖、第15A圖、第16A圖以及第17A圖,並且沿第1圖中類似的參考剖面B-B示出了第8B圖、第9B圖、第10B圖、第11B圖、第12B圖、第13B圖、第14B圖、第14C圖、第15B圖、第16B圖以及第17B圖。除了多個鰭片/鰭式場效電晶體之外,第10C圖是沿第1圖所示的參考剖面C-C示出的。
在第2圖中,提供了基板50。基板50可以是半導體基板,例如塊材半導體(bulk semiconductor)、絕緣體上半導體(semiconductor-on-insulator,SOI)基板等,基板50可以被摻雜(例如,以p型或n型摻雜劑)或未摻雜。基板50可以是晶圓,例如矽晶圓。通常,絕緣體上半導體基板是在絕緣體層上形成的半導體材料層。絕緣體層可以是例如掩埋氧化物(buried oxide,BOX)層、氧化矽層等。絕緣體層提供在通常為矽或玻璃基板的基板上。也可以使用其他基板,例如多層或梯度基板。在一些實施例中,基板50的半導體材料可以包括矽、鍺、化合物半導體、合金半導體、或其組合。化合物半導體包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦以及/或銻化銦。合金半導體包括矽鍺、磷化鎵砷、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦以及/或磷化鎵銦砷。
基板50具有區域50N以及區域50P。區域50N可以用於形成n型裝置,像是N型金屬氧化物半導體(N-Metal-Oxide-Semiconductor,NMOS)電晶體,例如,n型鰭式場效電晶體。區域50P可以用於形成p型裝置,像是P型金屬氧化物半導體(P-Metal-Oxide-Semiconductor,PMOS)電晶體,例如p型鰭式場效電晶體。區域50N可以與區域50P實體地分開(如分隔器),並且可以在區域50N 與區域50P之間設置任何數量的裝置特徵(例如,其他主動裝置、摻雜區域、隔離結構等)。
在第3圖中,鰭片52形成在基板50中。鰭片52是半導體帶(semiconductor strip)。在一些實施例中,可以藉由在基板50中蝕刻溝槽以在基板50中形成鰭片52。蝕刻可以是任何可接受的蝕刻製程,像是反應離子蝕刻(reactive ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)等、或其組合。蝕刻可以是各向異性的(anisotropic)。
鰭片可以藉由任何合適的方法圖案化。例如,鰭片可以使用一種或多種微影製程而被圖案化,包括雙重圖案化或多重圖案化製程。通常,雙重圖案化或多重圖案化製程將微影以及自對準製程相結合,而允許創建具有例如間距小於使用單個直接微影製程可獲得的間距的圖案。例如,在一些實施例中,在基板上方形成犧牲層並使用微影製程將犧牲層圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔(spacer)。然後去除犧牲層,並且可以接著使用保留的間隔以圖案化鰭片。在一些實施例中,遮罩(或其他層)可以保留在鰭片52上。
在第4圖中,絕緣材料54形成在基板50上方以及在相鄰的鰭片52之間。絕緣材料54可以是氧化物,例如氧化矽、氮化物、類似物、或其組合,並且可以藉由高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、流動式化學氣相沉積(flowable chemical vapor deposition,FCVD)(例如,在遠程電漿系統中的基於化學氣相沉積的材料沉積,以及後固化(post curing)以使其轉化為另一種材料,例如氧化物)、類似物、或其組合。可以使用藉由任何可接受的方法形成的其他絕緣材料。在所示的實施例中,絕緣材料54是藉由流動式化學氣相沉積製程形成的氧化矽。一旦形成絕緣材料,就可以執行退火製程。在一些實施例中,形成絕緣材料54,使得多餘的絕緣材 料54覆蓋鰭片52。儘管絕緣材料54被示為單層,但是一些實施例可以利用多層。例如,在一些實施例中,可以首先沿著基板50以及鰭片52的表面形成襯墊(liner)(未圖示)。在這之後,可以在襯墊上方形成像是上述那些的填充材料。
在第5圖中,對絕緣材料54施加去除製程以去除鰭片52上方的多餘絕緣材料54。在一些實施例中,可以利用像是化學機械研磨(chemical mechanical polish,CMP)的平坦化製程、回蝕(etch-back)製程、以及其組合等。平坦化製程暴露鰭片52,使得鰭片52以及絕緣材料54的頂表面在平坦化製程完成之後是齊平的。在遮罩保留在鰭片52上的實施例中,平坦化製程可以暴露遮罩或去除遮罩,使得在平坦化製程完成之後,遮罩或鰭片52以及絕緣材料54的頂表面分別是齊平的。
在第6圖中,絕緣材料54凹陷以形成淺溝槽隔離(Shallow Trench Isolation,STI)區域56。絕緣材料54凹陷使得區域50N以及區域50P中的鰭片52的上部從相鄰的淺溝槽隔離區域56之間突出。此外,淺溝槽隔離區域56的頂表面可以具有如圖所示的平坦表面、凸表面、凹表面(例如碟形)、或其組合。淺溝槽隔離區域56的頂表面可以藉由適當的蝕刻形成為平坦的、凸的以及/或凹的。淺溝槽隔離區域56可以使用可接受的蝕刻製程來凹陷,像是對絕緣材料54的材料具有選擇性的蝕刻製程(例如,以比鰭片52的材料更快的速率蝕刻絕緣材料54的材料)。例如,可以使用例如使用稀釋氫氟酸(dHF)的氧化物去除。
關於第2圖至第6圖所描述的製程僅僅是如何可以形成鰭片52的一個示例。在一些實施例中,鰭片可以藉由磊晶成長(epitaxial growth)製程形成。例如,可以在基板50的頂表面上方形成介電質層,並且溝槽可以被蝕刻穿過介電質層以暴露出下覆基板50。可以在溝槽中磊晶成長同質磊晶(homoepitaxial)結構,並且介電質層可以是凹陷的使得同質磊晶結構從介電質層突出以形成鰭片。另外,在一些實施例中,異質磊晶(heteroepitaxial)結構 可以用於鰭片52。例如,第5圖中的鰭片52可以凹陷,並且可以在凹陷的鰭片52上方磊晶成長與鰭片52不同的材料。在此實施例中,鰭片52包括凹陷的材料以及設置在凹陷的材料上方的磊晶成長材料。在另一些實施例中,可以在基板50的頂表面上方形成介電質層,並且溝槽可以被蝕刻穿過介電質層。然後可以使用與基板50不同的材料在溝槽中磊晶成長異質磊晶結構,並且可以使介電質層凹陷,使得異質磊晶結構從介電質層突出以形成鰭片52。在同質磊晶或異質磊晶結構是磊晶成長的一些實施例中,儘管原位(in situ)摻雜以及佈植(implantation)摻雜可以一起使用,但可以在成長期間原位摻雜磊晶成長的材料,可以消除之前以及之後的佈植。
此外,在區域50N(例如,N型金屬氧化物半導體區域)中磊晶成長與區域50P(例如,P型金屬氧化物半導體區域)中的材料不同的材料可能是有利的。在各個實施例中,鰭片52的上部可以由矽鍺(SixGe1-x,其中x可以在0-1的範圍內)、碳化矽、純或實質上純的鍺、三五族化合物半導體、二六族化合物半導體等形成。例如,用以形成三五族化合物半導體的可用材料包括但不限於砷化銦、砷化鋁,砷化鎵、磷化銦、氮化鎵、砷化銦鎵、砷化銦鋁、銻化鎵、銻化鋁、磷化鋁、磷化鎵、以及類似物。
進一步在第6圖中,可以在鰭片52以及/或基板50中形成適當的井(well)(未圖示)。在一些實施例中,可以在區域50N中形成P井,並且可以在區域50P中形成N井。在一些實施例中,在區域50N以及區域50P兩者中形成P井或N井。
在具有不同井類型的實施例中,可以使用光阻或其他遮罩(未圖示)來實現用於區域50N以及區域50P的不同佈植操作。例如,可以在區域50N中的鰭片52以及淺溝槽隔離區域56上方形成光阻。圖案化光阻以暴露基板50的區域50P,例如P型金屬氧化物半導體區域。可以藉由使用旋塗技術來形成光阻, 並且可以使用可接受的微影技術來圖案化光阻。一旦光阻被圖案化,就在區域50P中執行n型雜質佈植,並且光阻可以作為遮罩以本質上防止n型雜質被佈植到區域50N中,例如N型金屬氧化物半導體區域。n型雜質可以是佈植到此區域中的磷、砷、銻等,其濃度等於或小於1018cm-3,例如在大約1016cm-3以及大約1018cm-3之間。在佈植之後,去除光阻,例如藉由可接受的灰化製程。
在佈植區域50P之後,在區域50P中的鰭片52以及淺溝槽隔離區域56上方形成光阻。圖案化光阻以暴露基板50的區域50N,例如N型金屬氧化物半導體區域。可以藉由使用旋塗技術來形成光阻,並且可以使用可接受的微影技術來圖案化光阻。一旦光阻被圖案化,就在區域50N中執行p型雜質佈植,並且光阻可以作為遮罩以本質上防止p型雜質被佈植到區域50P中,例如P型金屬氧化物半導體區域。p型雜質可以是佈植到此區域中的硼、氟化硼、銦等,其濃度等於或小於1018cm-3,例如在大約1016cm-3以及大約1018cm-3之間。在佈植之後,去除光阻,例如藉由可接受的灰化製程。
在區域50N以及區域50P的佈植之後,可以執行退火以修復佈植損傷並活化佈植的p型以及/或n型雜質。在一些實施例中,儘管原位以及佈植摻雜可以一起使用,磊晶鰭片的成長材料可以在成長期間被原位摻雜,這可以消除佈植。
在第7圖中,虛擬介電質層(dummy dielectric layer)60形成在鰭片52上。虛擬介電質層60可以是例如氧化矽、氮化矽、其組合等,並且根據可接受的技術可以被沉積或被熱成長(thermally grown)。在虛擬介電質層60上方形成虛擬閘極層62,並且在虛擬閘極層62上方形成遮罩層64。可以在虛擬介電質層60上方沉積虛擬閘極層62,然後將其平坦化,例如藉由化學機械研磨。遮罩層64可以沉積在虛擬閘極層62上方。虛擬閘極層62可以是導電或非導電材料,並且可以選自包括非晶矽、多晶矽(polycrystalline-silicon或polysilicon)、多晶 矽鍺(poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物以及金屬的群組。可以藉由物理氣相沉積(PVD)、化學氣相沉積、濺鍍沉積或本領域中已知的以及用於沉積所選材料的其他技術來沉積虛擬閘極層62。虛擬閘極層62可以由對隔離區域的蝕刻具有高蝕刻選擇性的其他材料製成。遮罩層64可以包括例如氮化矽、氮氧化矽等。在此示例中,跨越區域50N以及區域50P形成單個虛擬閘極層62以及單個遮罩層64。應注意的是,僅出於說明的目的,示出的虛擬介電質層60僅覆蓋鰭片52。在一些實施例中,可以沉積虛擬介電質層60,使得虛擬介電質層60覆蓋淺溝槽隔離區域56,虛擬介電質層60在虛擬閘極層62以及淺溝槽隔離區域56之間延伸。
第8A圖至第16B圖示出了實施例裝置的製造中的各種附加操作。第8A圖至第16B圖示出了區域50N以及區域50P中的任一個中的特徵。例如,第8A圖至第16B圖所示的結構可以適用於區域50N以及區域50P兩者。在每個附圖所附的文字中描述了區域50N以及區域50P的結構上的差異(如果有的話)。
在第8A圖以及第8B圖中,可以使用可接受的微影以及蝕刻技術圖案化遮罩層64(見第7圖)以形成遮罩74。然後,可以將遮罩74的圖案轉移至虛擬閘極層62。在一些實施例(未圖示)中,也可以藉由可接受的蝕刻技術將遮罩74的圖案轉移至虛擬介電質層60以形成虛擬閘極72。虛擬閘極72覆蓋鰭片52的各個通道區域58。遮罩74的圖案可以用於將每個虛擬閘極72與相鄰的虛擬閘極實體分開。虛擬閘極72也可以具有實質上垂直於相應的磊晶鰭片52的長度方向的長度方向。
進一步在第8A圖以及第8B圖中,可以在虛擬閘極72、遮罩74以及/或鰭片52的暴露表面上形成閘極密封間隔(gate seal spacer)80。各向異性蝕刻後的熱氧化或沉積可以形成閘極密封間隔80。閘極密封間隔80可以由氧化矽、氮化矽、氮氧化矽等形成。
在形成閘極密封間隔80之後,可以執行用於輕摻雜源極/汲極(lightly doped source/drain,LDD)區域(未明確示出)的佈植。在具有不同裝置類型的實施例中,類似於以上在第6圖中討論的佈植,可以在區域50N上方形成遮罩,例如光阻,同時暴露區域50P,並且可以將適當類型(例如,p型)的雜質佈植到區域50P中的暴露的鰭片52中。然後可以去除遮罩。隨後,可以在區域50P上方形成遮罩,例如光阻,同時暴露區域50N,並且可以將適當類型的雜質(例如,n型)佈植到區域50N中的暴露的鰭片52中。然後可以去除遮罩。n型雜質可以是先前討論的任何n型雜質,並且p型雜質可以是先前討論的任何p型雜質。輕摻雜的源極/汲極區域可以具有大約1015cm-3至大約1019cm-3的雜質濃度。退火可用於修復佈植損壞並活化佈植的雜質。
在第9A圖以及第9B圖中,沿著虛擬閘極72以及遮罩74的側壁在閘極密封間隔80上形成閘極間隔86。可以藉由保形地(conformally)沉積絕緣材料並隨後各向異性地蝕刻絕緣材料來形成閘極間隔86。閘極間隔86的絕緣材料可以是氧化矽、氮化矽、氮氧化矽、碳氮化矽、其組合等。
應注意的是,以上揭露內容大體上描述了形成間隔以及輕摻雜源極/汲極區域的製程。可以使用其他製程以及順序。例如,可以利用更少的或額外的間隔,可以利用不同順序的操作(例如,可以在形成閘極間隔86之前不蝕刻閘極密封間隔80),產生「L形」閘極密封間隔,間隔可以被形成以及去除,以及/或類似製程以及順序。此外,可以使用不同的結構以及操作來形成n型以及p型裝置,例如,在形成閘極密封間隔80之前可以形成用於n型裝置的輕摻雜源極/汲極區域,並且在形成閘極密封間隔80之後可以形成用於p型裝置的輕摻雜源極/汲極區域。
在第10A圖、第10B圖以及第10C圖中,在鰭片52中形成磊晶源極/汲極區域82,以在各個通道區域58中施加應力,從而改善性能。在鰭片52中形 成磊晶源極/汲極區域82,使得每個虛擬閘極72設置在磊晶源極/汲極區域82的各個相鄰對之間。在一些實施例中,磊晶源極/汲極區域82可以延伸到鰭片52,並且可以穿透鰭片52。在一些實施例中,閘極間隔86用於將磊晶源極/汲極區域82與虛擬閘極72分開成適當的橫向距離,使得磊晶源極/汲極區域82不會使隨後形成的鰭式場效電晶體的閘極短路。
可以藉由遮蔽區域50P(例如,P型金屬氧化物半導體區域)並蝕刻區域50N中的鰭片52的源極/汲極區域來形成區域50N(例如,N型金屬氧化物半導體區域)中的磊晶源極/汲極區域82,以在鰭片52中形成凹陷。然後,在凹陷中磊晶成長區域50N中的磊晶源極/汲極區域82。磊晶源極/汲極區域82可以包括任何可接受的材料,例如適合用於n型鰭式場效電晶體。例如,如果鰭片52是矽,則區域50N中的磊晶源極/汲極區域82可以包括在通道區域58中施加拉伸應變的材料,例如矽、碳化矽、摻雜磷的碳化矽、磷化矽等。區域50N中的磊晶源極/汲極區域82可以具有從鰭片52的各個表面升高的表面並且可以具有切面(facet)。
可以藉由遮蔽區域50N(例如,N型金屬氧化物半導體區域)並蝕刻區域50P中的鰭片52的源極/汲極區域來形成區域50P(例如,P型金屬氧化物半導體區域)中的磊晶源極/汲極區域82,以在鰭片52中形成凹陷。然後,在凹陷中磊晶成長區域50P中的磊晶源極/汲極區域82。磊晶源極/汲極區域82可以包括任何可接受的材料,例如適合用於p型鰭式場效電晶體。例如,如果鰭片52是矽,則區域50P中的磊晶源極/汲極區域82可以包括材料例如矽或在通道區域58中施加壓縮應變的材料,例如矽鍺、摻雜硼的矽鍺、鍺、鍺錫等。區域50P中的磊晶源極/汲極區域82可以具有從鰭片52的各個表面升高的表面並且可以具有切面。
磊晶源極/汲極區域82以及/或鰭片52可以以摻雜劑佈植以形成源 極/汲極區域,類似於先前討論的用於形成輕摻雜源極/汲極區域,然後進行退火的製程。源極/汲極區域的雜質濃度可以在大約1019cm-3至大約1021cm-3之間。用於源極/汲極區域的n型以及/或p型雜質可以是先前討論的任何一種雜質。在一些實施例中,磊晶源極/汲極區域82可以在成長期間被原位摻雜。
作為使用於在區域50N以及區域50P中形成磊晶源極/汲極區域82的磊晶製程的結果,磊晶源極/汲極區域的上表面具有切面,這些切面橫向向外擴展超過鰭片52的側壁。在一些實施例中,如第10C圖的區域50N所示,這些切面導致同一鰭式場效電晶體的相鄰源極/汲極區域82合併。在其他實施例中,如第10C圖的區域50P所示,在完成磊晶製程之後,相鄰的源極/汲極區域82保持分開。在第10C圖所示的實施例中,形成閘極間隔86覆蓋鰭片52的側壁的一部分,從而阻擋磊晶成長,前述側壁的一部分在淺溝槽隔離區域56上方延伸。在一些其他實施例中,可以調整用於形成閘極間隔86的間隔蝕刻以去除間隔材料,允許磊晶成長的區域延伸到淺溝槽隔離區域56的表面。
在第11A圖、第11B圖以及第11C圖中,第一層間介電質層(interlayer dielectric,ILD)88沉積在第10A圖、第10B圖以及第10C圖所示的結構上方。第一層間介電質層88可以由介電質材料形成,並且可以藉由任何合適的方法沉積,例如化學氣相沉積、電漿增強化學氣相沉積(PECVD)、或流動式化學氣相沉積。介電材料可以包括磷矽酸鹽玻璃(phospho-silicate glass,PSG)、硼矽酸鹽玻璃(boro-silicate glass,BSG)、硼摻雜磷矽酸鹽玻璃(boron-doped phospho-silicate glass,BPSG)、未摻雜矽酸鹽玻璃(undoped silicate glass,USG)等。可以使用藉由任何可接受的製程形成的其他絕緣材料。在一些實施例中,接觸蝕刻停止層(contact etch stop layer,CESL)87設置在第一層間介電質層88以及磊晶源極/汲極區域82、與遮罩74以及閘極間隔86之間。接觸蝕刻停止層87可以包括介電質材料,例如蝕刻速率與上覆的第一層間介電質層88的材料不同 的氮化矽、氧化矽、氮氧化矽等。
在第12A圖以及第12B圖中,可以執行像是化學機械研磨之類的平坦化製程,以使第一層間介電質層88的頂表面與虛擬閘極72或遮罩74的頂表面齊平。平坦化製程也可以去除虛擬閘極72上的遮罩74,以及沿著遮罩74的側壁的閘極密封間隔80以及閘極間隔86的一部分。在平坦化製程之後,虛擬閘極72的頂表面、閘極密封間隔80、閘極間隔86以及第一層間介電質層88是齊平的。因此,虛擬閘極72的頂表面經由第一層間介電質層88而被暴露。在一些實施例中,可以保留遮罩74,在這種情況下,平坦化製程使第一層間介電質層88的頂表面與遮罩74的頂表面齊平。
在第13A圖以及第13B圖中,在一個或多個蝕刻操作中去除了虛擬閘極72以及遮罩74(如果存在),使得形成凹陷90。虛擬介電質層60在凹陷90中的部分也可以被去除。在一些實施例中,僅虛擬閘極72被去除,並且虛擬介電質層60保留並且藉由凹陷90被暴露。在一些實施例中,虛擬介電質層60從晶粒的第一區域中的凹陷90被去除(例如,核心邏輯區域),並保留在晶粒的第二區域的凹陷90中(例如,輸入/輸出區域)。在一些實施例中,藉由各向異性乾式蝕刻製程去除虛擬閘極72。例如,蝕刻製程可以包括使用(多種)反應氣體的乾式蝕刻製程,反應氣體選擇性地蝕刻虛擬閘極72而不蝕刻第一層間介電質層88或閘極間隔86。每一個凹陷90暴露以及/或覆蓋各個鰭片52的通道區域58。每一個通道區域58設置在磊晶源極/汲極區域82的相鄰對之間。在去除期間,當蝕刻虛擬閘極72時,虛擬介電質層60可用作為蝕刻停止層。然後可以在去除虛擬閘極72之後可選地去除虛擬介電質層60。
在第14A圖以及第14B圖中,形成閘極介電質層92以及閘極電極94以替換閘極。第14C圖示出了第14B圖的區域89的詳細視圖。閘極介電質層92保形地沉積在凹陷90中,例如在鰭片52的頂表面以及側壁上以及在閘極密封間 隔80/閘極間隔86的側壁上。閘極介電質層92也可以形成在第一層間介電質層88的頂表面上。根據一些實施例,閘極介電質層92包括氧化矽、氮化矽或其多層。在一些實施例中,閘極介電質層92包括高k介電質材料,並且在這些實施例中,閘極介電質層92可以具有大於約7.0的k值,並且可以包括鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛及其組合的金屬氧化物或矽酸鹽。閘極介電質層92的形成方法可以包括分子束沉積(Molecular-Beam Deposition,MBD)、原子層沉積(Atomic Layer Deposition,ALD)、電漿增強化學氣相沉積等。在虛擬介電質層60的一部分保留在凹陷90中的實施例中,閘極介電質層92包括虛擬介電質層60的材料(例如,SiO2)。
閘極電極94分別沉積在閘極介電質層92上方,並填充凹陷90的保留部分。閘極電極94可以包括含金屬的材料,例如氮化鈦、氧化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、鎢、其組合、或其多層。例如,儘管在第14B圖中示出了單層閘極電極94,但是如第14C圖所示,閘極電極94可以包括任何數量的襯墊層94A、任何數量的功函數調諧層(work function tuning layer)94B、以及填充材料94C。在填充凹陷90之後,可以執行平坦化製程,像是化學機械研磨,以去除閘極介電質層92以及閘極電極94的材料的多餘部分,這些多餘部分在層間介電質層88的頂表面上方。閘極電極94以及閘極介電質層92的材料的保留部分因此形成所得的鰭式場效電晶體的替換閘極。閘極電極94以及閘極介電質層92可以被統稱為「閘極堆疊95」。閘極以及閘極堆疊可以沿著鰭片52的通道區域58的側壁延伸。
區域50N以及區域50P中的閘極介電質層92的形成可以同時發生,使得每一個區域中的閘極介電質層92由相同的材料形成,並且閘極電極94的形成可以同時發生,使得每一個區域中的閘極電極94由相同的材料形成。在一些實施例中,每一個區域中的閘極介電質層92可以藉由相異的製程形成,使得閘 極介電質層92可以是不同的材料,以及/或每一個區域中的閘極電極94可以藉由相異的製程形成,使得閘極電極94可以是不同的材料。當使用相異的製程時,可以使用各種遮罩操作來遮蔽以及暴露適當的區域。
在第15A圖以及第15B圖中,使閘極堆疊(包括閘極介電質層92以及相應的上覆閘極電極94)凹陷,使得在閘極堆疊正上方以及閘極間隔86的相對部分之間形成凹陷,如第15A圖以及第15B圖所示。在凹陷中填充包括一層或多層介電材料(例如氮化矽、氮氧化矽等)的閘極遮罩96,然後藉由平坦化製程以去除在第一層間介電質層88上方延伸的介電材料的多餘部分。隨後形成的閘極接點(gate contact)233(第21圖)穿過閘極遮罩96以接觸凹陷的閘極電極94的頂表面。
在第16A圖至第16B圖中,第二層間介電質層108沉積在第一層間介電質層88上方,並且在第二層間介電質層108以及第一層間介電質層88之間形成蝕刻停止層150。在一些實施例中,蝕刻停止層150可以經由像是電漿增強化學氣相沉積(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD)、旋塗等製程沉積的介電質材料形成,例如氮化矽。然而,可以利用任何合適的材料以及製程。
另外,第二層間介電質層108可以是藉由流動式化學氣相沉積方法形成的可流動膜。在一些實施例中,第二層間介電質層108由例如磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼摻雜磷矽酸鹽玻璃、未摻雜矽酸鹽玻璃等的介電材料形成,並且可以藉由任何合適的方法沉積,像是化學氣相沉積以及電漿增強化學氣相沉積。然而,可以利用任何合適的材料或製造方法。
在第17A圖至第17C圖中,經由第二層間介電質層108、蝕刻停止層150、第一層間介電質層88以及接觸蝕刻停止層87形成源極/汲極接觸開口93。在一些實施例中,可以使用一個或多個蝕刻製程依序地蝕刻經由第二層間 介電質層108、蝕刻停止層150、第一層間介電質層88以及接觸蝕刻停止層87中的每一個,而形成源極/汲極接觸開口93。然而,可以使用任何合適的製程或多種製程,以形成源極/汲極接觸開口93以及暴露源極/汲極區域82。
一旦形成源極/汲極接觸開口93並且暴露源極/汲極區域82,就可以執行可選的預矽化物(pre-silicide)佈植,以形成部分非晶(amorphous)區域並幫助修復可能發生的表面損傷。例如,在一些實施例中,像是矽或鍺的離子可以經由源極/汲極接觸開口93佈植並佈植到源極/汲極區域82中。然而,可以利用任何合適的離子。
第17A圖至第17C圖另外示出了第一雙材料矽化物前驅物層201的沉積以及氧化阻擋層203的沉積。在一些實施例中,第一雙材料矽化物前驅物層201至少包括第一矽化物前驅物材料以及第二矽化物前驅物材料。然而,第二矽化物前驅物材料在由第一矽化物前驅物材料形成的矽化物的第一相中是不溶的(例如,小於5%),並且在由第一矽化物前驅物材料形成的矽化物的第二相中是可溶的(例如,大於約10%)。
例如,第一矽化物前驅物材料可以是針對其所位於的源極/汲極區域82而被調諧的材料。例如,在一些實施例中,第一矽化物前驅物材料對於放置在區域50N內具有相對高的蕭基障壁(Schottky barrier),例如鎳,其具有矽化物的第一相為Ni2Si並且具有矽化物的第二相為NiSi。在這樣的實施例中,第二矽化物前驅物材料是對電洞/電子具有低蕭基障壁,且不溶於第一相(例如,Ni2Si)並且可溶於第二相(例如,NiSi)的材料,例如鉑。在這樣的實施例中,第一雙材料矽化物前驅物層201可以是鎳以及鉑的合金層,或者可以是被交替的鉑層分開的交替的鎳層。然而,可以利用任何合適的材料。
在一些實施例中,可以使用像是物理氣相沉積、化學氣相沉積、原子層沉積、其組合等的沉積製程來沉積第一雙材料矽化物前驅物層201。另外, 可以將第一雙材料矽化物前驅物層201沉積到約0.5nm至約10nm之間的厚度。然而,可以利用任何合適的厚度。
在一些實施例中,可以在小於約250℃的溫度下執行沉積製程,並且仍然足夠高以使得在沉積製程期間被沉積的鎳形成矽化物的第一相(Ni2Si)。這樣,在區域50N以及區域50P兩者中的源極/汲極區域82上方形成矽化物205的第一相層。矽化物205的第一相層可以形成為約1nm至約20nm之間的厚度,例如約3nm。
另外,在一些實施例中,矽化物的第一相(例如,Ni2Si)富含金屬。例如,在矽化物的第一相是Ni2Si的實施例中,鎳的濃度可以在大約55%至大約85%之間,例如大約65%。然而,可以利用任何合適的富含金屬的組成。
在其他實施例中,可以在太低而不能使第一矽化物前驅物材料反應的溫度下執行沉積第一雙材料矽化物前驅物層201的沉積製程,例如低於約250℃的溫度。在這樣的實施例中,可以在沉積之後執行可選的退火製程,以造成矽化物205的第一相層的形成。在一些實施例中,可選的退火製程可以在大約150℃至大約250℃之間的溫度下(例如大約200℃),執行在大約1秒至大約100秒之間(例如大約30秒)的時間區間。然而,可以利用任何合適的溫度以及時間。
然而,因為第二矽化物前驅物材料不溶於存在於矽化物205的第一相層中的矽化物的第一相中,所以第二矽化物前驅物材料(例如,鉑)將不會擴散到矽化物205的第一相層中,並且第二矽化物前驅物材料不會反應形成自己的矽化物。這樣,在此製造階段,第二矽化物前驅物材料被有效地限制為僅在第一雙材料矽化物前驅物層201內。
一旦形成第一雙材料矽化物前驅物層201,就可以在第一雙材料矽化物前驅物層201上方沉積可選的氧化阻擋層203,以在後續製程期間幫助保 護第一雙材料矽化物前驅物層201。在一些實施例中,氧化阻擋層203可以是像是鈦、鎢、鉭、或釕的材料,其可以使用像是物理氣相沉積、化學氣相沉積、原子層沉積、這些的組合等的沉積製程來沉積至約2nm至約10nm之間的厚度,例如約4nm。然而,可以利用任何合適的材料、厚度或製程。
第18圖(示出了沿第1圖所示的參考剖面C-C的剖視圖)示出了第一雙材料矽化物前驅物層201的圖案化,以從區域50N去除第一雙材料矽化物前驅物層201,並且將第一雙材料矽化物前驅物層201保留在區域50P內。在一些實施例中,圖案化第一雙材料矽化物前驅物層201可以藉由首先在第一雙材料矽化物前驅物層201上方施加光阻層207(例如,三層光阻),將光阻層207內的光敏材料暴露於圖案化光源,顯影光敏材料以去除區域50N上方的一部分光敏材料,然後使用光敏材料作為遮罩去除光阻層207的其他層,並暴露區域50N中的氧化阻擋層203以及第一雙材料矽化物前驅物層201的材料。
一旦光阻層207被圖案化,則光阻層207可以使用作為遮罩以保護在區域50P中第一雙材料矽化物前驅物層201的部分,並且去除區域50N中氧化阻擋層203以及第一雙材料矽化物前驅物層201的部分。在一些實施例中,可以使用例如一種或多種各向異性蝕刻製程(像是反應性離子蝕刻)以去除區域50N內的氧化阻擋層203以及第一雙材料矽化物前驅物層201的暴露部分。然而,可以利用任何合適的製程。
然而,儘管在區域50N內去除了氧化阻擋層203以及第一雙材料矽化物前驅物層201,但是並無去除在區域50N內的矽化物205的第一相層。另外,位於區域50P內的氧化阻擋層203、第一雙材料矽化物前驅物層201、以及矽化物205的第一相層也保留在圖案化製程之後。
第19A圖(示出了沿第1圖所示的參考剖面C-C的剖面圖)示出,一旦從區域50N去除了第一雙材料矽化物前驅物層201,就進行退火製程(在第 19A圖中藉由波浪線標記209表示)以將矽化物205的第一相層的相移至另一相。在特定實施例中,退火製程209可以是快速熱退火,其在高於第一雙材料矽化物前驅物層201的沉積溫度的溫度下(例如藉由在大約400℃至大約450℃之間)執行約小於1s至約60s之間的時間(例如約30s),儘管可以使用任何合適的溫度以及時間。然而,可以利用任何合適的退火製程,例如毫秒雷射退火(milli-second laser anneal)、快速退火(flash anneal)、尖波退火(spike anneal)或習知的浸入式退火(soak anneal)。
藉由利用這樣的溫度,可以將位於區域50N內的矽化物205的第一相層的相移為相同材料的第二相。例如,在矽化物205的第一相層最初形成為Ni2Si的實施例中,退火製程209可以將此材料的相移為NiSi。這樣,可以在區域50N中形成矽化物211的第二相層。
另外,在一些實施例中,矽化物211的第二相層是富含半導體的,例如藉由富含矽、富含鍺、或富含三五族材料。例如,在矽化物的第一相是NiSi的一些實施例中,矽的濃度可以在大約35%至大約65%之間,例如大約50%。然而,可以利用任何合適的富含半導體的組成。
另外,由於退火製程209,在區域50P中,與矽化物205的第一相層發生類似的相變。特別是,在矽化物205的第一相層沉積為Ni2Si的實施例中,Ni2Si經歷相變而改變為NiSi。然而,隨著從材料的第一相(例如,Ni2Si)到材料的第二相(NiSi)的相變,第二矽化物前驅物(例如,鉑)不再受到擴散以及反應的阻擋,因為第二矽化物前驅物現在可溶於材料中。特別是,在第一矽化物前驅物是鎳並且第二矽化物前驅物是鉑的實施例中,退火製程209使矽化物205的第一相層(鉑不溶於其中)中的Ni2Si的相改變為第二相(例如NiSi,鉑可溶於其中)。
給定溶解度的改變,第二矽化物前驅物(例如,鉑)開始擴散並 反應,以與第一矽化物前驅物(例如,鎳)以及源極/汲極區域82的材料形成矽化物213的第三相層。這樣,矽化物213的第三相層不僅包括第一矽化物前驅物以及來自源極/汲極區域82的矽,並且包括第二矽化物前驅物的材料。在第一矽化物前驅物是鎳並且第二矽化物前驅物是鉑的實施例中,矽化物213的第三相層是NiPtSi。然而,可以形成任何合適的材料。
另外,在一些實施例中,第二矽化物前驅物(例如,鉑)可移動穿過矽化物213的第三相層,以與源極/汲極區域82的先前未反應的材料反應。這樣,第二矽化物前驅物實際上可以從矽化物213的第三相層自偏析(self-segregate),以在矽化物213的第三相層與區域50P內的源極/汲極區域82的保留部分之間形成偏析的矽化物層215。在一些實施例中,偏析的矽化物層215可以具有在大約0.5nm至大約4nm之間的厚度,例如大約2nm。然而,可以利用任何合適的厚度。
第19B圖示出了一些實施例中的元素的相對強度,其中第一前驅物材料是鎳,第二前驅物材料是鉑,並且源極/汲極區域82是矽鍺。可以看出,鎳以及鉑與矽一起形成矽化物213的第三相層,並且鉑完全延伸通過矽化物213的第三相層。
第19A圖還示出,一旦形成了矽化物213的第三相層,就可以選擇性地去除氧化阻擋層203。在一些實施例中,可以使用像是濕式蝕刻製程或乾式蝕刻製程的蝕刻製程來去除氧化阻擋層203,濕式蝕刻製程或乾式蝕刻製程利用對氧化阻擋層203的材料(例如,鈦)具有選擇性的蝕刻劑。然而,可以利用任何合適的蝕刻製程。
一旦氧化阻擋層205被去除,則第一雙材料矽化物前驅物層201中尚未被去除或反應的任何未反應的材料被去除。在一些實施例中,可以使用像是濕式蝕刻製程或乾式蝕刻製程的蝕刻製程來去除第一雙材料矽化物前驅物 層201,濕式蝕刻製程或乾式蝕刻製程利用對第一雙材料矽化物前驅物層201的材料(例如,鎳以及鉑)具有選擇性的蝕刻劑。然而,可以利用任何合適的蝕刻製程。
第20圖(示出了沿第1圖所示的參考剖面C-C的剖視圖)示出,一旦去除了氧化阻擋層203以及第一雙材料矽化物前驅物層201的未反應部分,則可以沉積黏著層217以準備形成接點233。在一些實施例中,可以在形成黏著層217之前執行可選的清潔製程,以去除在完成各種蝕刻製程之後可能存在的任何氧化物。在一些實施例中,清潔製程使用氫預清潔處理(hydrogen pre-clean treatment)(例如,氫(H2)電漿處理等)以從表面去除廢物以及任何氧化物。然而,可以利用任何合適的清潔製程。
一旦清潔,就可以沉積黏著層217,以幫助上覆層附著至下覆層。在一些實施例中,黏著層217可以是像是鈦、鎢、或鉭之類的材料,其使用像是化學氣相沉積、物理氣相沉積或原子層沉積之類的沉積製程而被沉積至大約1nm至大約10nm之間的厚度,像是大約5nm。然而,可以利用任何合適的材料、沉積製程以及厚度。
另外,在一些實施例中,可以在黏著層217的材料(例如,鈦)在沉積製程期間與下覆的材料反應以在矽化物211的第二相層上方形成第一黏著矽化物層219的溫度下,執行黏著層的沉積製程。在黏著層217是鈦的實施例中,可以在大約400℃至大約600℃之間的沉積溫度下執行沉積製程,儘管可以利用任何合適的溫度。
在這些溫度下,黏著層217的材料可以與暴露的材料反應以形成額外的矽化物。例如,在區域50N中,黏著層217的材料與矽化物的第二相層211反應以在矽化物211的第二相層上方形成第一黏著矽化物層219。在黏著層217是鈦並且矽化物211的第二相層是NiSi的實施例中,第一黏著矽化物層219可以形成 為TiNiSi合金,TiNiSi合金形成為具有在大約2nm至大約20nm之間的厚度,例如大約8nm。然而,可以利用任何合適的厚度。
類似地,在區域50P中,黏著層217的材料(例如,鈦)與來自矽化物213的第三相層的材料的矽反應,形成第二黏著矽化物層221。在黏著層217是鈦的實施例中,第二黏著矽化物層221在矽化物213的第三相層上方反應以形成矽化物,例如矽化鈦。在一些實施例中,第二黏著矽化物層221可以形成為具有大約1nm至大約10nm的厚度,例如大約5nm。然而,可以利用任何合適的厚度。
第20圖另外示出,一旦形成黏著層217,就可以在黏著層217上方沉積阻擋層223。在一些實施例中,阻擋層223可以由金屬材料形成,像是氮化鈦、鈦、氮化鋁鈦、碳化鉭、碳氮鉭、矽氮鉭、錳、鋯、氮化鉭、釕、鉬、氮化鎢、其他金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬的氧氮化物、金屬鋁酸鹽、矽酸鋯、鋁酸鋯、這些的組合等。另外,可以使用像是原子層沉積、化學氣相沉積、濺鍍等之類的沉積製程將阻擋層223沉積至大約5Å至大約200Å之間的厚度,儘管可以使用任何合適的沉積製程或厚度。
一旦形成阻擋層223,並且在沉積黏著層217的沉積製程在太低的溫度下而造成黏著層217不能反應的實施例中,可以執行可選的退火製程,以造成第一黏著矽化物層219以及第二黏著矽化物層221的形成。在一些實施例中,可選的退火製程可以在大約350℃至大約600℃之間的溫度下(例如大約500℃),執行大約1毫秒至大約60秒(例如大約30秒)的時間區間。然而,可以利用任何合適的溫度以及時間。
第21圖(示出了沿第1圖所示的參考剖面C-C的剖視圖)示出了第一接點233的形成。在一些實施例中,第一接點233可以是例如鎢、鋁、銅、鈷、鈦、鉭、釕、氮化鈦、鋁化鈦、氮化鈦鋁、氮化鉭、碳化鉭、矽化鎳、矽 化鈷、其組合等的導電材料,儘管可以使用例如濺鍍、化學氣相沉積、電鍍、化學鍍等的沉積製程沉積任何合適的材料,以填充以及/或過度填充(overfill)開口。一旦填充或過度填充,可以使用例如化學機械研磨(CMP)的平坦化製程去除開口外部的任何沉積材料。然而,可以利用任何合適的形成材料以及製程。
藉由利用本文描述的實施例,可以將矽化物的材料調諧到每個放入的裝置中,而不是使用不能分別配合N+以及P+接點的單一蕭基障壁。例如,對於N型裝置調諧用於N型裝置的矽化物材料(例如,在區域50N之內),對於P型裝置調諧用於P型裝置的矽化物材料(例如,在區域50P之內)。這樣,對於P+裝置的接點,可以將P+蕭基障壁的減小到大於約25%。另外,由於向外擴散的特性材料,有效矽化物面積也增加了,這導致N+以及P+兩者接點阻值均降低,並且由於雪犁效應(snowplow)以及低固體溶解度,改善在較快擴散係數金屬中從摻雜物偏析的摻雜物濃度。
根據一些實施例,一種製造半導體裝置的方法,包括在源極/汲極區域上沉積第一矽化物前驅物以及第二矽化物前驅物,其中沉積也形成具有第一相的第一矽化物,第二矽化物前驅物不溶於第一矽化物的第一相,將第一矽化物的第一相改變為第一矽化物的第二相,第二矽化物前驅物可溶於第一矽化物的第二相,以及第二矽化物前驅物以及第一矽化物的第二相形成第二矽化物。在一些實施例中,形成第二矽化物也形成第二矽化物與源極/汲極區域的保留部分之間的偏析區域。在一些實施例中,第一矽化物前驅物包括矽化物材料,矽化物材料包括鎳、鈦、釕、鎢以及鈷。在一些實施例中,第二矽化物前驅物包括P型金屬或N型金屬兩者之任一種。在一些實施例中,方法更包括在改變富含金屬矽化物的富含金屬相之前,去除第一矽化物前驅物以及第二矽化物前驅物的一部分。在一些實施例中,在去除第一矽化物前驅物以及第二矽化物前驅 物的部分之前,第一矽化物前驅物以及第二矽化物前驅物的部分位於N+源極/汲極區域上方。在一些實施例中,第二矽化物位於P+源極/汲極區域上方。
根據另一些實施例,一種製造半導體裝置的方法,包括形成與第一閘極堆疊相鄰的第一源極/汲極區域,形成與第二閘極堆疊相鄰的第二源極/汲極區域,在第一源極/汲極區域以及第二源極/汲極區域兩者上沉積第一材料層,第一材料層包括第一矽化物前驅物以及第二矽化物前驅物,在第一源極/汲極區域以及第二源極/汲極區域兩者上形成第一矽化物,其中形成第一矽化物是與第一矽化物前驅物形成而不與第二矽化物前驅物形成,第一矽化物具有第一相,從第一源極/汲極區域去除第一矽化物前驅物以及第二矽化物前驅物,而不從第二源極/汲極區域去除第二矽化物前驅物,以及將第一矽化物的第一相改變為第一矽化物的第二相。在一些實施例中,其中第二矽化物前驅物不溶於第一矽化物的第一相。在一些實施例中,在改變第一矽化物的第一相的期間,第二矽化物前驅物擴散到第二相中以形成第三矽化物。在一些實施例中,方法更包括在第三矽化物上方形成第四矽化物。在一些實施例中,形成第四矽化物包括沉積鈦。在一些實施例中,形成第四矽化物更包括與沈積鈦分開地執行退火製程。在一些實施例中,形成第一矽化物包括與沈積第一材料層分開地執行退火製程。
根據又另一些實施例,一種半導體裝置,包括第一源極/汲極區域、第一矽化物、以及第二矽化物。第一源極/汲極區域與第二源極/汲極區域分開,第一矽化物在第一源極/汲極區域上,第一矽化物包括第一組元素,第二矽化物在第二源極/汲極區域上,第二矽化物包括第一組元素以及第一元素,其中第一元素不溶於包括第一組元素的矽化物的第一相中,並且可溶於包括第一組元素的矽化物的第二相中。在一些實施例中,第一組元素包括鎳以及矽。在一些實施例中,第一元素是鉑。在一些實施例中,半導體裝置更包括在第二矽化 物以及第二源極/汲極區域之間的偏析的矽化物,偏析的矽化物包括第一元素。在一些實施例中,半導體裝置更包括在第二矽化物上方的第三矽化物,第三矽化物包括與第二矽化物不同的材料。在一些實施例中,第三矽化物包括鈦。
前面概述數個實施例之特徵,使得本技術領域中具有通常知識者可更好地理解本揭露之各方面。本技術領域中具有通常知識者應理解的是,可輕易地使用本揭露作為設計或修改其他製程以及結構的基礎,以實現在此介紹的實施例之相同目的及/或達到相同優點。本技術領域中具有通常知識者亦應理解的是,這樣的等效配置並不背離本揭露之精神以及範疇,且在不背離本揭露之精神以及範疇的情形下,可對本揭露進行各種改變、替換以及更改。
50:基板
52:鰭片
56:隔離區域
82:磊晶源極/汲極區域
92:閘極介電質層
94:閘極電極

Claims (12)

  1. 一種製造半導體裝置的方法,包括:在一半導體鰭片內的一源極/汲極區域上沉積一第一矽化物前驅物以及一第二矽化物前驅物,其中該沉積操作也形成具有一富含金屬相的一富含金屬矽化物,該第二矽化物前驅物不溶於該富含金屬矽化物的該富含金屬相;藉由熱退火將該富含金屬矽化物的該富含金屬相改變為一富含半導體相,由於與額外的半導體材料形成矽化物,該第二矽化物前驅物可溶於該富含半導體相;以及該第二矽化物前驅物以及該富含半導體相形成一第二矽化物。
  2. 如請求項1所述的製造半導體裝置的方法,其中形成該第二矽化物也形成該第二矽化物與該源極/汲極區域的一保留部分之間的一偏析區域。
  3. 如請求項1所述的製造半導體裝置的方法,其中該第一矽化物前驅物包括矽化物材料,該矽化物材料包括鎳、鈦、釕、鎢以及鈷,並且該第二矽化物前驅物包括P型金屬或N型金屬兩者之任一種。
  4. 如請求項1所述的製造半導體裝置的方法,更包括在改變該富含金屬矽化物的該富含金屬相之前,去除該第一矽化物前驅物以及該第二矽化物前驅物的一部分,其中在去除該第一矽化物前驅物以及該第二矽化物前驅物的該部分之前,該第一矽化物前驅物以及該第二矽化物前驅物的該部分位於一N+源極/汲極區域上方,該第二矽化物位於一P+源極/汲極區域上方。
  5. 一種製造半導體裝置的方法,包括:形成與一第一閘極堆疊相鄰的一第一源極/汲極區域;形成與一第二閘極堆疊相鄰的一第二源極/汲極區域;在該第一源極/汲極區域以及該第二源極/汲極區域兩者上沉積一第一材料 層,該第一材料層包括一第一矽化物前驅物以及一第二矽化物前驅物;在該第一源極/汲極區域以及該第二源極/汲極區域兩者上形成一第一矽化物,其中該第一矽化物富含金屬,並且其中形成該第一矽化物是與該第一矽化物前驅物形成而不與該第二矽化物前驅物形成,該第一矽化物具有一第一相;從該第一源極/汲極區域去除該第一矽化物前驅物以及該第二矽化物前驅物,而不從該第二源極/汲極區域去除該第二矽化物前驅物,其中在去除該第一矽化物前驅物以及該第二矽化物前驅物期間,在該第二源極/汲極區域上的該第二矽化物前驅物被一遮罩覆蓋;以及將該第一矽化物的該第一相改變為該第一矽化物富含半導體的一第二相;其中在改變該第一矽化物的該第一相的期間,該第二矽化物前驅物擴散到該第二相中以形成一第三矽化物。
  6. 如請求項5所述的製造半導體裝置的方法,其中該第二矽化物前驅物不溶於該第一矽化物的該第一相。
  7. 如請求項6所述的製造半導體裝置的方法,更包括在該第三矽化物上方形成一第四矽化物。
  8. 如請求項7所述的製造半導體裝置的方法,其中形成該第四矽化物的操作包括沉積鈦,形成該第四矽化物的操作更包括與沈積該鈦分開地執行一退火製程。
  9. 如請求項5所述的製造半導體裝置的方法,其中形成該第一矽化物的操作包括與沈積該第一材料層分開地執行一退火製程。
  10. 一種半導體裝置,包括:一第一源極/汲極區域,在一半導體鰭片內,與一第二源極/汲極區域分開;一第一矽化物,在該第一源極/汲極區域上,該第一矽化物包括一第一組元素;以及 一第二矽化物,在該第二源極/汲極區域上,該第二矽化物包括該第一組元素以及一第一元素,其中該第一元素不溶於包括該第一組元素的一矽化物的一第一相中,並且可溶於包括該第一組元素的該矽化物的一第二相中。
  11. 如請求項10所述的半導體裝置,更包括在該第二矽化物以及該第二源極/汲極區域之間的一偏析的矽化物,該偏析的矽化物包括該第一元素。
  12. 如請求項11所述的半導體裝置,更包括在該第二矽化物上方的一第三矽化物,該第三矽化物包括與該第二矽化物不同的一材料。
TW109121262A 2019-07-31 2020-06-23 半導體裝置及其製造方法 TWI801743B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/527,350 US11348839B2 (en) 2019-07-31 2019-07-31 Method of manufacturing semiconductor devices with multiple silicide regions
US16/527,350 2019-07-31

Publications (2)

Publication Number Publication Date
TW202107546A TW202107546A (zh) 2021-02-16
TWI801743B true TWI801743B (zh) 2023-05-11

Family

ID=74165534

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109121262A TWI801743B (zh) 2019-07-31 2020-06-23 半導體裝置及其製造方法

Country Status (5)

Country Link
US (3) US11348839B2 (zh)
KR (1) KR102272738B1 (zh)
CN (1) CN112310217A (zh)
DE (1) DE102019121278B4 (zh)
TW (1) TWI801743B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220310398A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Contact Resistance Reduction for Transistors
US20230027261A1 (en) * 2021-07-22 2023-01-26 Taiwan Semicondutor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US11973124B2 (en) 2021-11-04 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060163670A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Dual silicide process to improve device performance
US20110237061A1 (en) * 2010-03-29 2011-09-29 Renesas Electronics Corporation Manufacturing method of semiconductor device
TW201133627A (en) * 2010-02-15 2011-10-01 Panasonic Corp Method for producing semiconductor device and device for producing semiconductor device using same
US20120126297A1 (en) * 2010-11-19 2012-05-24 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
TW201426846A (zh) * 2012-10-02 2014-07-01 Kurita Water Ind Ltd 半導體基板的洗淨方法與洗淨系統
TW201428975A (zh) * 2012-12-31 2014-07-16 Taiwan Semiconductor Mfg 半導體裝置及其形成方法以及鰭式場效二極體
TW201611133A (zh) * 2014-06-16 2016-03-16 英特爾股份有限公司 在積體電路裝置的金屬之間的選擇性擴散屏障
TW201707151A (zh) * 2015-05-07 2017-02-16 芬史蓋爾公司 超薄通道電晶體結構、製造及應用
TW201731022A (zh) * 2015-10-02 2017-09-01 台灣積體電路製造股份有限公司 具有超厚金屬之半導體結構及其製造方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4803539A (en) 1985-03-29 1989-02-07 International Business Machines Corporation Dopant control of metal silicide formation
US7205234B2 (en) * 2004-02-05 2007-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal silicide
JP4146859B2 (ja) 2004-11-30 2008-09-10 松下電器産業株式会社 半導体装置の製造方法
US20070123042A1 (en) 2005-11-28 2007-05-31 International Business Machines Corporation Methods to form heterogeneous silicides/germanides in cmos technology
JP5221112B2 (ja) 2007-11-29 2013-06-26 株式会社東芝 半導体装置の製造方法および半導体装置
US9054194B2 (en) 2009-04-29 2015-06-09 Taiwan Semiconductor Manufactruing Company, Ltd. Non-planar transistors and methods of fabrication thereof
US8466058B2 (en) * 2011-11-14 2013-06-18 Intermolecular, Inc. Process to remove Ni and Pt residues for NiPtSi applications using chlorine gas
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8835318B2 (en) * 2012-03-08 2014-09-16 Globalfoundries Inc. HNO3 single wafer clean process to strip nickel and for MOL post etch
CN103367363B (zh) 2012-03-27 2016-08-10 中国科学院微电子研究所 半导体器件及其制造方法
US8835309B2 (en) * 2012-09-13 2014-09-16 International Business Machines Corporation Forming nickel—platinum alloy self-aligned silicide contacts
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US20140106529A1 (en) * 2012-10-16 2014-04-17 Stmicroelectronics (Crolles 2) Sas Finfet device with silicided source-drain regions and method of making same using a two step anneal
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
CN103915341B (zh) * 2013-01-08 2016-12-28 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US9076759B2 (en) * 2013-01-10 2015-07-07 United Microelectronics Corp. Semiconductor device and manufacturing method of the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US20170338321A1 (en) * 2016-05-18 2017-11-23 Newport Fab, LLC dba Jazz Semiconductor, Inc. Nickel silicide implementation for silicon-on-insulator (soi) radio frequency (rf) switch technology
KR102551745B1 (ko) 2016-11-09 2023-07-06 삼성전자주식회사 반도체 장치

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060163670A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Dual silicide process to improve device performance
TW201133627A (en) * 2010-02-15 2011-10-01 Panasonic Corp Method for producing semiconductor device and device for producing semiconductor device using same
US20110237061A1 (en) * 2010-03-29 2011-09-29 Renesas Electronics Corporation Manufacturing method of semiconductor device
US20120126297A1 (en) * 2010-11-19 2012-05-24 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
TW201426846A (zh) * 2012-10-02 2014-07-01 Kurita Water Ind Ltd 半導體基板的洗淨方法與洗淨系統
TW201428975A (zh) * 2012-12-31 2014-07-16 Taiwan Semiconductor Mfg 半導體裝置及其形成方法以及鰭式場效二極體
TW201611133A (zh) * 2014-06-16 2016-03-16 英特爾股份有限公司 在積體電路裝置的金屬之間的選擇性擴散屏障
TW201707151A (zh) * 2015-05-07 2017-02-16 芬史蓋爾公司 超薄通道電晶體結構、製造及應用
TW201731022A (zh) * 2015-10-02 2017-09-01 台灣積體電路製造股份有限公司 具有超厚金屬之半導體結構及其製造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
期刊 K. Hoummada, C. Perrin-Pellegrino, and D. Mangelinck Effect of Pt addition on Ni silicide formation at low temperature: Growth, redistribution, and solubility Journal Applied Physics vol. 106 AIP Publishing 21 September 2009 page 063511-7 *

Also Published As

Publication number Publication date
US20210035868A1 (en) 2021-02-04
CN112310217A (zh) 2021-02-02
DE102019121278B4 (de) 2023-09-21
US20220293474A1 (en) 2022-09-15
US11348839B2 (en) 2022-05-31
DE102019121278A1 (de) 2021-02-04
KR20210016253A (ko) 2021-02-15
US20230369130A1 (en) 2023-11-16
KR102272738B1 (ko) 2021-07-07
TW202107546A (zh) 2021-02-16
US11810826B2 (en) 2023-11-07

Similar Documents

Publication Publication Date Title
US11823949B2 (en) FinFet with source/drain regions comprising an insulator layer
TWI801743B (zh) 半導體裝置及其製造方法
US20220359745A1 (en) Semiconductor Device and Method
US11145746B2 (en) Semiconductor device and method
US20230268442A1 (en) Semiconductor Device and Method of Manufacture
US11935754B2 (en) Transistor gate structure and method of forming
US20220359654A1 (en) Methods of Forming Semiconductor Devices Including Gate Barrier Layers
US20210313450A1 (en) FinFET Device and Method
TWI795779B (zh) 半導體裝置及其形成方法
TW202118067A (zh) 半導體裝置
US11075120B2 (en) FinFET device and method
US20220246479A1 (en) Source/drain regions and methods of forming same
US20240177998A1 (en) Transistor Gate Structure and Method of Forming
US20230299136A1 (en) Semiconductor device and method
CN113571473A (zh) 间隙填充结构及其制造方法
CN114551578A (zh) 半导体装置和其形成方法