JP4144884B2 - Cmosトランジスタの製造方法 - Google Patents

Cmosトランジスタの製造方法 Download PDF

Info

Publication number
JP4144884B2
JP4144884B2 JP2004349278A JP2004349278A JP4144884B2 JP 4144884 B2 JP4144884 B2 JP 4144884B2 JP 2004349278 A JP2004349278 A JP 2004349278A JP 2004349278 A JP2004349278 A JP 2004349278A JP 4144884 B2 JP4144884 B2 JP 4144884B2
Authority
JP
Japan
Prior art keywords
metal
containing layer
layer
forming
silicide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004349278A
Other languages
English (en)
Other versions
JP2005167251A (ja
Inventor
シリル・カブラル・ジュニア
ジェイカブ・ティー・ケドジィールスキ
ビクター・クー
クリスチャン・ラボア
ヴィジェイ・ナラヤナン
アン・エル・シーガン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005167251A publication Critical patent/JP2005167251A/ja
Application granted granted Critical
Publication of JP4144884B2 publication Critical patent/JP4144884B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明は半導体基板上への回路装置の製造に関し、特にシリサイド・コンタクトとシリサイド金属ゲートを形成する、CMOS(complementary metal oxide semiconductor)トランジスタの製造方法に関する。
従来技術を通じて、CMOS用の既存のプロセス・フロー中で金属ゲートの集積化を実行するのは困難であることが判明している。大部分の金属ゲート材料はソース/ドレイン(S/D)接合活性化アニールに必要な高温処理中にゲート誘電体と相互作用する。金属ゲート積層体が高温アニールの影響を受けないようにする必要性から、「ゲートは最後(gate last)」プロセスすなわち「置換ゲート(replacement gate)」プロセスが開発された。このプロセスでは、ゲート積層体を最後に形成するとともに、引き続く処理の間に500℃未満に保つ。従来技術の置換ゲート・プロセスによって金属ゲート用の材料の選択肢が増えるが、プロセスの複雑性とコストが増大する。
本出願人の出願に係る米国特許出願第10/300165号(2002年11月20日出願)には、「置換ゲート」プロセスを使用しない既存のCMOSトランジスタのプロセス・フローにおいて金属ゲートのシリサイドを形成する方法が記載されている。この別の方法では、付加する処理工程数が最小化しているから、複雑性が最小限になるとともにコストが低減する。
「置換ゲート」プロセスを使用していないのは大きな利点である。米国特許出願第10/300165号に記載された別の方法の第2の利点は標準のPVD(physical vapor deposition)によってシリサイド金属ゲート形成用の金属を堆積することができる点である。米国特許出願第10/300165号ではゲート誘電体上に金属を直接に堆積していないから、CVD(chemical vapor deposition)やALD(atomic layer deposition)を使用する必要がない。すなわち、プラズマを使用していないから、ゲート誘電体が受ける損傷を最小限にすることができる。
さらなる利点はシリサイド金属ゲートを形成した後におけるゲート誘電体のパッシベーションが容易になる点である。水素はシリサイド中を容易に拡散するから、既存の炉アニール・プロセス中でのパッシベーションが可能になる。
現在のCMOS技術では装置のソース/ドレイン(S/D)領域およびゲート領域へのコンタクトとしてシリサイドを使用している。現在使用されている低抵抗率かつ低コンタクト抵抗のシリサイドの例としてTiSi2 、CoSi2 、NiSiのC54相が挙げられる。これら3つのシリサイドはすべて自己整合シリサイド・プロセス(すなわちサリサイド・プロセス)を用いて集積化する。このプロセスはキャップ層(たとえばTiN、Ti、またはW)を備えた金属(Ti、Co、またはNi)のブランケット(全面敷きつめ)堆積と、第1の低温でのアニールによる第1のシリサイド相(すなわちTiSi2 、CoSi2 、NiSiのC49相)の形成と、キャップ層、およびシリコンとコンタクトしていない未反応の金属の選択ウエットエッチング除去と、第2の高温でのアニールによる低抵抗金属シリサイド相(TiSi2 またはCoSi2 のC54相)の形成とから成る。NiSiは抵抗が小さいから第2のアニールを必要としない。
Niシリサイド用のさらなる方法は第1のアニールの間に金属に富んだNiシリサイドを形成したのち第2のアニールの間にNiSiを形成するものである。これら特定のシリサイドの利点はそれらはすべて自己整合プロセスで実現できるからさらなるリソグラフィ工程を必要としない点である。
米国特許出願第10/300165号
上述した観点から、S/D領域およびゲート領域へのシリサイド・コンタクトならびに金属シリサイド・ゲートを集積化しうる集積化方法を実現する必要性が以前として存在する。また、ゲート金属およびコンタクトとして複数のシリサイド相すなわちシリサイド種別を実現しうる集積化方法を実現することが求められている。
本発明は自己整合プロセス(サリサイド)と少なくとも1つのリソグラフィ工程を用いてシリサイド・コンタクト(S/Dおよびゲート)と金属シリサイド・ゲートを組み込むことを可能にする、CMOSのシリサイド金属を集積化する方法を提供する。本発明の集積化方法によれば、シリサイド・コンタクトと金属シリサイド・ゲートを備えたCMOS構造体を製造する際に付随する複雑性とコストを最小化することができる。
本発明の集積化方法によれば、半導体基板上に堆積する金属の厚さを少なくとも2種類にすることができるから、一部のCMOSトランジスタの上に薄いシリサイド金属を形成してゲート・コンタクトを形成する際に使用し、他のCMOSトランジスタの上に厚いシリサイド金属を形成して金属シリサイド・ゲートを形成する際に使用することも可能になる。本発明の集積化方法は金属の堆積厚さを変え金属ゲートを形成する間に存在する金属の量を変化させることにより、複数の相の金属シリサイド・ゲートを形成するのに使用することもできる。
本発明のさらなる利点は本発明の集積化方法は複数のシリサイド種別のコンタクトと金属シリサイド・ゲートを形成するのに使用することができる点である。
異なる相の例を挙げる。
Niの厚さを変化させると、一部の金属シリサイド・ゲートは金属豊富相(metal rich phase)になり、他の金属シリサイド・ゲートはNiSi相になる。異なるシリサイド種別の例を挙げる。CoSi2 は一部のデバイスへのコンタクトとして使用することができる(その場合、ゲートは過剰ドープされたポリシリコンから成り上部にシリサイド・コンタクトを備えている)。NiSiは他のデバイス上の金属シリサイドとして使用することができる(その場合、ゲート・ポリシリコンはすべて利用済みになる)。
本発明の方法は半導体基板上に設けられた複数の被パターニング・ポリシリコン・ゲート領域を備えた平坦化構造体を準備することで開始する。各被パターニング・ポリシリコン・ゲート(すなわちポリシリコン・ゲート導電体)の上表面は露出している。初期構造体では、各CMOSトランジスタはポリシリコン・ゲート領域とシリサイド化ソース/ドレイン領域を備えている。
そのような構造体を準備したら、各被パターニング・ポリシリコン・ゲート領域の露出した上表面を備えた平坦化構造体の表面に第1の金属含有層と第1のキャップ層を備えた第1の2層構造体を堆積する。
次に、第1の2層構造体を備えた構造体をリソグラフィによってパターニングして一部のポリシリコン・ゲートを露出させ、他のポリシリコン・ゲートは保護されたままにする。次いで、この構造体の表面に、第2の金属含有層と第2のキャップ層を備えた第2の2層構造体を形成する。第2の金属含有層は露出したポリシリコン・ゲートの表面に直接に形成する。
第1の金属含有層および第2の金属含有層の厚さならびにそれらの層で使用している金属の種別に応じて、最終ゲート構造体中に存在するものを調製することができる。したがって、ポリSiへのコンタクトおよび金属ゲート用に様々なシリサイド種別とシリサイド相を形成することができる。
次いで、サリサイド・プロセスを実行する。これにより、第1の金属含有層および第2の金属含有層とその下に存在するSi含有材料との間に反応が生じるから、引き続いてシリサイド・ゲートと金属シリサイド・ゲートを形成することができる。
図1〜図5を参照する。図1〜図5は本発明の様々な段階における半導体CMOS構造体の断面図である。図面では2つのポリシリコン・ゲートの存在(すなわちpFETトランジスタとnFETトランジスタを備えたCMOSトランジスタ構造体)を示しているが、本発明はこのようなポリSiゲート数に限定されない。それどころか、本発明に係る集積化プロセスは任意個数のポリSiゲートについて機能する。したがって、単一の半導体構造体を横切って複数のポリSiゲートが存在している。
留意点を挙げると、図1〜図5は本発明の可能性のある一実施形態を示すものであり、第1の金属含有層は薄い層でありシリサイド金属ゲート・コンタクトを形成する際に使用し、第2の金属含有層は厚い層でありシリサイド金属ゲートを形成する際に使用する。この実施形態を説明したが、2層構造体の各々に堆積する金属の厚さと種別を変更することにより、本発明を変更することができる。これにより、様々な種別と相のシリサイド・ゲート・コンタクトとシリサイド金属ゲートを実現することが可能になる。
図1は本発明で使用する初期平坦化構造体を示す図である。特に、図1に示す初期平坦化構造体は半導体基板10を備えている。半導体基板10はその中に形成した分離領域12とシリサイド化ソース/ドレイン・コンタクト26を備えている。本発明の初期平坦化構造体は複数の被パターニング・ポリSiゲート領域18も備えている。被パターニング・ポリSiゲート領域18の各々は半導体基板10の表面に形成したゲート誘電体14とポリSiゲート導電体16を備えている。被パターニング・ポリSiゲート領域18の各々はその各側壁上に形成した少なくとも1つのスペーサ20も備えている。図面では、2つのスペーサ22、24が示されている。
平坦化構造体は半導体基板10の表面の、被パターニング・ポリSiゲート領域18に隣接する領域に配置された第1の誘電体30と第2の誘電体32を備えた平坦化構造体28も備えている。第2の誘電体32は各被パターニング・ポリSiゲート領域18の上表面、すなわち各ポリSiゲート導電体16の上表面と同一平面をなす上表面を有する。
図1に示す初期構造体の半導体基板10としては、次に示す半導体材料を使用することができるが、それらに限定されない。すなわち、Si、Ge、SiGe、SiC、SiGeC、Ga、GaAs、InAs、InP、および他のすべてのIII-V族化合物半導体である。半導体基板10としては層化半導体、たとえばSi/Ge、SOI(silicon-on-insulator)、SGOI(SiGe-on-insulstor)も使用することができる。本発明の一部の実施形態では、半導体基板10はSi含有半導体材料から成るのが望ましい。半導体基板10はドープされていてもよいしアンドープでもよい、あるいはその中にドープした領域とアンドープの領域を備えていてもよい。
図1において、参照番号11aは第1のドープ(nまたはp)領域を指し、参照番号11bは第2のドープ(nまたはp)領域を指している。第1のドープ領域および第2のドープ領域の導電型は同じでもよいし、異なっていてもよい。これらイオン打ち込み(I/I)ドープした領域は「ウェル」は呼ばれている。
次いで、半導体基板10中に分離領域12を形成する。分離領域12は図示するようにトレンチ分離領域であってもよいし、フィールド酸化膜分離領域であってもよい。トレンチ分離領域は当業者に周知の既存のトレンチ分離プロセスを用いて形成する。たとえば、トレンチ分離領域を形成する際にリソグラフィ工程、エッチング工程、およびトレンチ誘電体を用いたトレンチ充填工程を使用する。任意実行事項として、トレンチ充填工程に先行してトレンチにライナ(下敷き層)を形成してもよいし、トレンチ充填工程の後に焼きしめ(densification)工程を実行してもよいし、トレンチ充填工程の次に平坦化工程を実行してもよい。フィールド酸化膜領域はいわゆるローカル・オクシデーション・オブ・シリコン(local oxidation of silicon: シリコンの局所酸化)プロセスを用いて形成する。
半導体基板10中に分離領域12を形成した後、半導体基板10および(それが堆積した誘電体である場合には)分離領域12の表面を含む構造体の表面全体にゲート誘電体14を形成する。ゲート誘電体14は熱成長プロセス、たとえば酸化、窒化、またはオキシナイトライド化によって形成することができる。あるいは、ゲート誘電体14は堆積プロセス、たとえばCVD(chemical vapor deposition)、プラズマ支援CVD、ALD(atomic layer deposition)、蒸着、反応性スパッタリング、化学溶液堆積(chemical solution deposition)、および他の同様の堆積プロセスによって形成することができる。ゲート誘電体14は上述したプロセスの任意の組み合わせを用いても形成することができる。
ゲート誘電体14はたとえば次に示す絶縁材料から成るが、それらに限定されない。すなわち、酸化物、窒化物、オキシナイトライド、および/またはシリケートである。(「Aおよび/またはB」は「AおよびB、A、またはB」を表わす。)一実施形態では、ゲート誘電体14は酸化物、たとえばSiO2 、HfO2 、ZrO2 、Al23 、TiO2 、La23 、SrTiO3 、LaAlO3 から成るのが望ましい。
ゲート誘電体14の物理的厚さは変動しうる。しかし、ゲート誘電体14の厚さは典型的には約0.5nm〜約10nmであるが、約0.5nm〜約3nmの厚さがより典型的である。
ゲート誘電体14を形成した後、既知の堆積プロセス(たとえばPVD(physical vapor deposition)、CVD、蒸着など)を用いてゲート誘電体14上にポリシリコン(すなわちポリSi)のブランケット層16を形成する。ポリシリコンのブランケット層16はドープされていてもよいし、アンドープでもよい。ドープする場合には、ポリシリコンのブランケット層16を形成する際にインサイチュ(in-situ)ドーピング・プロセスを使用する。あるいは、ドープト・ポリSi層16は堆積、イオン打ち込み、およびアニールによって形成することができる。
ポリSi層16をドープすると、形成したシリサイド・ゲートの仕事関数がシフトする。ドープに使用するイオンの例としてはAs、P、B、Sb、Bi、In、Al、Tl、Ga、またはこれらの混合物が挙げられる。本発明のこの時点におけるポリシリコン層16の厚さ(すなわち高さ)は使用する堆積プロセスに応じて変化する。ポリシリコン層16の垂直方向厚さは典型的には約20nm〜約180nmであるが、約40nm〜約150nmの厚さがより典型的である。
次いで、リソグラフィとエッチングによってブランケット・ポリシリコン層16(および任意実行事項としてゲート誘電体14)をパターニングして被パターニング・ポリシリコン・ゲート領域18を形成する。被パターニング・ポリシリコン・ゲート領域18の寸法(すなわち長さ)は同じである。あるいは、被パターニング・ポリシリコン・ゲート領域18の寸法は装置性能を改善するために可変にしてもよい。リソグラフィ工程にはブランケット堆積ポリシリコン16の上表面へのフォトレジストの塗布と、所望の照射パターンに合わせたフォトレジストの露出と、既存のレジスト現像液を用いた被露出フォトレジストの現像とが含まれる。次いで、ドライ・エッチング・プロセスを用いてフォトレジストのパターンをポリシリコンのブランケット層16に転写する。エッチングが完了したら、被パターニング・フォトレジストを剥離する。一部の実施形態では、フォトレジストを形成する前にハードマスクを形成し、ポリシリコンのブランケット層16をパターニングする際に使用する。
被パターニング・ポリシリコン・ゲート領域18を形成する際に本発明で使用しうる好適なドライ・エッチング・プロセスには次に示すものがあるが、それらに限定されない。すなわち、RIE(reactive ion etching)、イオン・ビーム・エッチング、プラズマ・エッチング、レーザ・アブレーションである。使用するドライ・エッチング・プロセスには通常、下に存在するゲート誘電体14に対して選択性がある。したがって、このエッチング工程によって通常、ゲート誘電体14は除去されない。しかし、一部の実施形態では、このエッチング工程を用いて、被パターニング・ポリシリコン・ゲート領域18によって保護されていない、ゲート誘電体14の部分を除去している。
次に、各被パターニング・ポリシリコン・ゲート領域18の露出した側壁上に少なくとも1つのスペーサ20を形成する。少なくとも1つのスペーサ20は絶縁体(たとえば酸化物、窒化物、オキシナイトライド、および/またはそれらの任意の組み合わせ)から成る。少なくとも1つのスペーサ20は堆積とエッチングによって形成する。図面では、2つのスペーサが示されている。
特に、図1には、第1の幅を有する第1のスペーサ22と第2の幅を有する第2のスペーサ24とを備えた構造体が示されている。第1の幅は第2の幅よりも狭い。別の実施形態では、2スペーサ方式を単一スペーサで置換する。この場合、この単一スペーサは図1に示す第1の幅と第2の幅の合計と実質的に等しい幅を有する幅広スペーサである。
第1のスペーサと第2のスペーサを使用する場合、第1のスペーサと第2のスペーサば異なる材料から成る。たとえば、第1のスペーサ22はSiO2 から成り、第2のスペーサ24はSi34 から成る。
スペーサの幅は(引き続いて形成する)ソース/ドレイン・シリサイド・コンタクトがポリシリコン・ゲート導電体16の端の直下からトランジスタのチャネル領域中に侵入しないよう十分に広くする必要がある。通常、底部で計測したスペーサの幅が約20nm〜約80nmの場合、ソース/ドレイン・シリサイド・コンタクトはゲート積層体の端の直下から侵入することはない。
スペーサを形成した後、基板中にソース/ドレイン拡散領域(特に図示せず)を形成する。ソース/ドレイン拡散領域はイオン打ち込み工程とアニール工程を用いて形成する。アニール工程は先行するイオン打ち込み工程で打ち込んだドーパントを活性化させるように機能する。イオン打ち込みとアニールの条件は当業者に周知である。
次に、先行して除去していない場合、ゲート誘電体14を選択的に除去する化学エッチング・プロセスを用いてゲート誘電体14の露出した部分を除去する。このエッチング工程は半導体基板10の上表面および分離領域12の上表面で停止させる。ゲート誘電体14の露出した部分を除去する際には任意の化学エッチャントを使用しうるが、一実施形態では希フッ酸(DHF)を使用する。
次いで、サリサイド・プロセスを用いてソース/ドレイン(S/D)シリサイド・コンタクト26を形成する。サリサイド・プロセスはソースドレイン拡散領域を備えた半導体基板10の露出した表面に金属を堆積する工程と、金属シリサイドを形成する第1のアニール工程と、反応しなかった金属をすべて選択的にエッチング除去する工程と、(必要な場合には)第2のアニールを実行する工程とを備えている。S/Dシリサイド化工程中にゲートがシリサイド化するのを防止するためにポリSiゲート領域16の表面に絶縁キャップ層を設けてもよい。
半導体基板10がシリコンを含んでいない場合、半導体基板10の露出した表面にシリコン層(図示せず)を成長させ、ソース/ドレイン・シリサイド・コンタクト26を形成する際にそれを使用してもよい。
ソース/ドレイン・シリサイド・コンタクト26を形成する際に用いる金属はシリコンと反応して金属シリサイドを形成しうる任意の金属から成る。そのような金属の例には次に示すものが挙げられるが、それらに限定さない。すなわち、Ti、Ta、W、Co、Ni、Pt、Pd、およびこれらの合金である。一実施形態では、Coが好適な金属である。そのような実施形態では、第2のアニール工程が必要である。別の実施形態では、NiまたはPtが好適である。この実施形態では、第2のアニール工程は通常、実行しない。
金属は既存の任意の堆積プロセス、たとえばスパッタリング、CVD、蒸着、化学溶液堆積、めっきなどを用いて堆積することができる。
通常、第1のアニールは第2のアニールよりも低い温度で実行する。通常、第1のアニール(これは高抵抗シリサイド相材料を形成することもあるし、そうでないこともある)は連続加熱方式または様々な定率変動・飽和加熱サイクル(ramp and soak heating cycle)を用いて約300℃〜約600℃の温度で実行する。第1のアニール工程は約350℃〜約550℃の温度で実行するのがより望ましい。第2のアニールは連続加熱方式または様々な定率変動・飽和加熱サイクルを用いて約600℃〜約800℃の温度で実行する。第2のアニール工程は約650℃〜約750℃の温度で実行するのがより望ましい。通常、第2のアニールによって、高抵抗シリサイドが低抵抗のシリサイド相に変換される。
サリサイド・アニールはガス(たとえばHe、Ar、N2 、または形成ガス)雰囲気中で行なう。ソース/ドレイン・シリサイド・コンタクト・アニール工程では異なる雰囲気を使用する。あるいは、同工程は同じ雰囲気中で実行する。たとえば、両アニール工程でHeを使用する。あるいは、第1のアニール工程ではHeを使用し、第2のアニール工程では形成ガスを使用してもよい。
選択エッチング工程には反応しなかった金属を選択的に除去しうる既存の任意のエッチング・プロセスがある。例としては、硫酸/過酸化水素溶液を用いたウエット・エッチングが挙げられる。
次いで、第1の誘電体層30と第2の誘電体層32を備えた被パターニング誘電体積層体28を形成する。第1の誘電体層30はエッチング停止層として機能し、第2の誘電体層32は層間誘電体として機能する。被パターニング誘電体積層体28の第1の誘電体層30と第2の誘電体層32は異なる絶縁材料(たとえば酸化物、窒化物、オキシナイトライドなど)から成る。
本発明の一実施形態では、誘電体積層体の第1の誘電体層30はSi34 から成り、第2の誘電体層32はSiO2 から成る。図示するように、被パターニング誘電体積層体28は分離領域12とソース/ドレイン・シリサイド・コンタクト26を覆っているが、各被パターニング・ポリシリコン・ゲート領域20の上表面は露出されたままにしている。特に、この露出された上表面はポリシリコン・ゲート導電体16の上表面である。
第1の誘電体層30と第2の誘電体層32は同じまたは異なる堆積プロセスを用いて形成する。誘電体積層体の第1の誘電体層30と第2の誘電体層32を形成する際に使用しうる好適な堆積プロセスの例として次に示すものが挙げられるが、それらに限定されない。すなわち、CVD、ALD、PVD、化学溶液堆積、蒸着、および他の同様の堆積プロセスである。平坦化する前の誘電体積層体の元の厚さは変動しうるが、その厚さはポリシリコン・ゲート領域20の高さよりも高い必要がある。
第1の誘電体層と第2の誘電体層を形成したら、既存の平坦化プロセス(たとえばCMP(chemical mechanical polishing)および/または研磨など)によって第2の誘電体層32を平坦化する。
次に、たとえば図2に示すように、図1に示す構造体全体の表面に第1の2層構造体34を形成する。第1の2層構造体34は第1の金属含有層36と第1のキャップ層38から成る。図2に示すように、まず第1の金属含有層36を形成し、その後、第1のキャップ層38を形成する。本発明では、第1の金属含有層36の厚さによっては、第1の金属含有層36はシリサイド・ゲート・コンタクトおよびシリサイド金属ゲートのうちの一方を形成する際に使用してもよい。
第1の2層構造体34の第1の金属含有層36はポリシリコンと反応して金属シリサイドを形成しうる任意の金属から成る。そのような金属の例として次に示すものが挙げられるが、それらに限定さない。すなわち、Ni、Co、Pt、Ti、W、Mo、Ta、またはこれらの合金である。これらの金属の積層体も第1の金属含有層36として使用することができる。これら様々な金属のうちではCoまたはNiを使用するのが望ましい。
本発明の一部の実施形態では、第1の金属含有層36に含まれる金属として金属シリサイドの形成を促進しうる合金化添加剤(alloying additive)を用いている。本発明で使用しうる合金化添加剤の例として次に示すものが挙げられる。すなわち、C、Al、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Ge、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Hf、Ta、W、Re、Ir、Pt、またはこれらの混合物である(ただし、これらの合金化添加剤は第1の金属含有層36中の金属と同じものではない)。合金化添加剤は、それが存在する場合、約0.1〜約50原子パーセントの量だけ存在する。
本発明の一実施形態では、第1の2層構造体34の第1の金属含有層36は薄い層であり、その厚さは典型的には約5nm〜約15nmであるが、約8nm〜約12nmの厚さがより典型的である。本発明の別の実施形態では、第1の2層構造体34の第1の金属含有層36は厚い層であり、その厚さは典型的には約5nm〜約100nmであるが、約10nm〜約60nmの厚さがより典型的である。
第1の2層構造体34の第1の金属含有層36は既存の任意の堆積プロセス(たとえばスパッタリング、CVD、化学溶液堆積、めっきなど)を用いて形成する。
第1の2層構造体34の第1のキャップ層38は第1の金属含有層36の表面に形成するものであるが、シリサイドを形成するために使用し下に存在する金属中に酸素が侵入するのを防止しうる任意の金属を用いることができる。そのようなキャップ層の例としてTiN、W、またはTiを挙げることができる。第1のキャップ層38の厚さは典型的には約5nm〜約50nmであるが、約10nm〜約25nmの厚さがより典型的である。第1のキャップ層38は既存の堆積プロセス(たとえばPVDやCVD)を用いて形成する。
次に、図2に示す構造体にフォトレジストを塗布する。次いで、塗布したフォトレジストにリソグラフィを適用する。この結果、被パターニング・ポリシリコン・ゲート20のうちの事前選択したものを覆う、第1の2層構造体34の部分を露出させるとともに被パターニング・ポリシリコン・ゲート20の他のものを保護する被パターニング・フォトレジスト40(図3参照)が形成される。次いで、被パターニング・ポリシリコン・ゲート20のうちの事前選択したものを覆う露出した材料(すなわち第1の2層構造体34)を除去してポリシリコン・ゲート20のうちの事前選択したものの各々のポリシリコン含有表面を少なくとも露出させる。特に、第1のキャップ層38の露出した部分をまず除去した後、下に存在する第1の金属含有層36を除去する。
この除去プロセスはウエット・エッチング・プロセスを用いて実行する。このウエット・エッチング・プロセスでは、第1のキャップ層38の露出した部分を選択的に除去した後、下に存在する第1の金属含有層36を除去し、その下に存在するポリシリコン・ゲート20および第2の誘電体32の表面でエッチングを停止させる。一例として、上記ウエット・エッチング・プロセスとしてH2 O:H22 :HCl=20:1:1を含有するエッチャントを使用するものが挙げられる。留意点を挙げると、上記ウエット・エッチング・プロセスでは、露出した第1のキャップ層38とその下に存在する第1の金属含有層36を被パターニング・フォトレジスト40に損傷を与えることなく選択的に除去する必要がある。
図3は上記ウエット・エッチングの後に形成される構造体を示す図である。図示する構造体において、事前選択したポリシリコン・ゲート20のポリシリコン含有表面は露出されているが、他のゲート領域は上に存在する被パターニング・フォトレジスト40によって保護されている。
図示しない別の実施形態では、構造体上にフォトレジストを形成する前に第1のキャップ層38上に低温酸化膜(LTO)を形成する。フォトリソグラフィによってフォトレジストをパターニングした後、露出した事前選択のゲート領域からウエットHFエッチングを用いてこのLTOを除去する。フォトレジストを除去した後、このLTOをハードマスクとして使用し、露出した第1のキャップ層38とその下に存在する第1の金属含有層36をウエット・エッチング・プロセスまたはRIEプロセスによって除去する。
露出した第1のキャップ層38とその下に存在する第1の金属含有層36のエッチングが事前選択したポリシリコン・ゲート領域20上で完了したら、当業者に周知の既存の剥離プロセスを用いて被パターニング・フォトレジスト40またはLTOを除去する。
本発明のこの時点において、事前選択したポリシリコン・ゲート20の各々の露出したポリシリコン表面16をクリーニングし露出したポリシリコン表面からシリコン酸化物を除去する必要がある。本発明では、シリコン酸化物を除去しうる任意のクリーニング・プロセスを使用することができる。そのようなクリーニング・プロセスの一例として、希フッ酸を挙げることができる。
図4は図3に示す構造体上に第2の2層構造体42を形成した後の構造体を示す図である。第2の2層構造体42は第2の金属含有層44と第2のキャップ層46から成る。図4に示すように、第2の金属含有層44をまず形成した後、第2のキャップ層46を形成する。本発明では、第2の金属含有層44はシリサイド・ゲート・コンタクトおよびシリサイド金属ゲートのうちの一方を形成する際に使用することができる。
第2の2層構造体42の第2の金属含有層44はポリシリコンと反応して金属シリサイドを形成しうる任意の金属から成る。第2の金属含有層44は第1の金属含有層36と同じ金属から構成されていてもよいし、異なる金属から構成されていてもよい。第2の金属含有層44として使用しうるような金属の例として次に示すものが挙げられるが、それらに限定さない。すなわち、Ni、Co、Pt、Ti、W、Mo、Ta、またはこれらの合金である。本発明では、これらの金属の積層体も第2の金属含有層44として考えることができる。これら様々な金属のうちでは第2の金属含有層44としてCoまたはNiを使用するのが望ましい。
本発明の一部の実施形態では、第2の金属含有層44に含まれる金属として金属シリサイドの形成を促進しうる合金化添加剤を用いている。本発明で使用しうる合金化添加剤の例として次に示すものが挙げられる。すなわち、C、Al、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Ge、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Hf、Ta、W、Re、Ir、Pt、またはこれらの混合物である(ただし、これらの合金化添加剤は第2の金属含有層44中の金属と同じものではない)。合金化添加剤は、それが存在する場合、約0.1〜約50原子パーセントの量だけ存在する。
本発明の一実施形態では、第2の金属含有層44の厚さは第1の金属含有層36の厚さよりも厚い。その実施形態では、第2の金属含有層44の厚さは典型的には約5nm〜約100nmであるが、約10nm〜約60nmの厚さがより典型的である。
本発明の別の実施形態では、第2の金属含有層44の厚さは第1の金属含有層36の厚さよりも薄い。その実施形態では、第2の金属含有層44の厚さは典型的には約5nm〜約15nmであるが、約8nm〜約12nmの厚さがより典型的である。
本発明のさらに別の実施形態では、第2の金属含有層44の厚さは第1の金属含有層36の厚さと実質的に等しい。
第2の金属含有層44は既存の任意の堆積プロセス(たとえばスパッタリング、CVD、蒸着、化学溶液堆積、めっきなど)を用いて形成する。
第2の2層構造体42の第2のキャップ層46にはシリサイドを形成するために使用し下に存在する金属中に酸素が侵入するのを防止しうる任意の金属を用いることができる。そのようなキャップ層の例としてTiN、W、またはTiを挙げることができる。第2のキャップ層46の厚さは典型的には約5nm〜約50nmであるが、約10nm〜約25nmの厚さがより典型的である。第2のキャップ層46は既存の堆積プロセス(たとえばPVDやCVD)を用いて形成する。
本発明の一実施形態では、図3〜図5に示す処理工程を複数回繰り返してゲート・コンタクトおよび金属シリサイド・ゲート用に複数のシリサイド種別すなわちシリサイド相を形成することができる。異なるシリサイド種別を使用する場合、アニール温度と熱劣化温度が異なる可能性がある点に留意することが重要である。
図5は被パターニング・ポリシリコン・ゲートの1つの表面にシリサイド・コンタクト48を形成し、金属シリサイド・ゲート50を形成した後のCMOS装置を示す図である。特に、図5に示すシリサイドはサリサイド・プロセスを用いて形成する。このサリサイド・プロセスは金属シリサイドを形成するのに十分な第1の温度における第1のアニールと、反応しなかった金属およびキャップ層を除去する選択エッチングと、最も抵抗率の小さなシリサイド相を形成するのに十分な第2の温度における任意事項としての第2のアニールとから成る。
通常、第1のアニールは第2のアニール工程よりも低い温度で実行する。通常、第1のアニール工程(これは高抵抗シリサイド相材料を形成することもあるし、そうでないこともある)は連続加熱方式または様々な定率変動・飽和加熱サイクルを用いて約300℃〜約600℃の温度で実行する。第1のアニール工程は約350℃〜約550℃の第1の温度で実行するのがより望ましい。第2のアニール工程は連続加熱方式または様々な定率変動・飽和加熱サイクルを用いて約600℃〜約800℃の第2の温度で実行する。第2のアニール工程は約650℃〜約750℃の第2の温度で実行するのがより望ましい。通常、第2のアニールによって、高抵抗シリサイドが低抵抗のシリサイド相に変換される。サリサイド・アニールはガス(たとえばHe、Ar、N2 、または形成ガス)雰囲気中で行なう。第1のアニール工程と第2のアニール工程とで同じまたは異なる雰囲気を使用することができる。
サリサイド・プロセスで使用する選択エッチング・プロセスには反応しなかった金属とキャップ層を構造体から選択的に除去しうる任意のエッチング・プロセスが含まれる。使用しうる選択エッチング・プロセスの例としては、硫酸/過酸化水素溶液またはそれらの組み合わせ用いたウエット・エッチングが挙げられる。
以上、その好適な実施形態について本発明を特に示すとともに記述したが、当業者が理解しうる点を挙げると、本発明の範囲と本旨の内で形態および詳細において上述した変更および他の変更をなしうる。したがって、本発明は記述するとともに説明した正確な形態および詳細に限定すべきでなく、特許請求の範囲の範囲に属すべきものである。
シリサイド化S/D領域を有する複数のポリシリコン・ゲート領域を備えた初期の平坦化構造体を(断面図によって)示す模式図である。 図1の構造体において第1の金属含有層と第1のキャップ層を有する第1の2層構造体を備えた状態を(断面図によって)示す模式図である。 図2に示す構造体において第1の2層構造体を選択的にパターニングして事前選択した被パターニング・ポリシリコン・ゲート領域を露出させた後の状態を(断面図によって)示す模式図である。 図3に示す構造体において第2の金属含有層と第2のキャップ層を有する第2の2層構造体を形成した後の状態を(断面図によって)示す模式図である。 サリサイド・プロセスを実行してシリサイド化コンタクトとシリサイド化ゲートを形成した後の構造体を(断面図によって)示す模式図である。
符号の説明
10 半導体基板
11a 第1のドープ領域
11b 第2のドープ領域
12 分離領域
14 ゲート誘電体
16 ドープト・ポリSi層
18 ポリシリコン・ゲート領域
20 スペーサ
22 第1のスペーサ
24 第2のスペーサ
26 シリサイド・コンタクト
28 誘電体積層体
30 第1の誘電体層
32 第2の誘電体層
34 第1の2層構造体
36 第1の金属含有層
38 第1のキャップ層
40 フォトレジスト
42 第2の2層構造体
44 第2の金属含有層
46 第2のキャップ層
48 シリサイド・コンタクト
50 金属シリサイド・ゲート

Claims (18)

  1. CMOS構造体を形成する方法であって、
    複数の被パターニング・ポリシリコン・ゲート領域を備えた平坦化構造体を準備するステップであって、前記複数の被パターニング・ポリシリコン・ゲート領域上に積層された層間誘電体を、該複数の被パターニング・ポリシリコン・ゲート領域の上部表面が露出されて該層間誘電体の表面と同一平面になるまで平坦化するステップを含む、ステップと、
    第1の金属含有層を備えた第1の2層構造体を形成するステップであって、前記第1の金属含有層は各被パターニング・ポリシリコン・ゲート領域の前記露出した上部表面と接触している、ステップと、
    前記第1の2層構造体をパターニングして、被パターニング・ポリシリコン・ゲート領域のうちの事前選択されたものの上側の前記第1の2層構造体を除去して、前記事前選択された被パターニング・ポリシリコン・ゲート領域の上部表面を露出させるステップと、
    前記被パターニング構造体上に、第2の金属含有層を備えた第2の2層構造体を形成するステップであって、前記第2の金属含有層は被パターニング・ポリシリコン・ゲート領域のうちの事前選択したものの各々の露出した上部表面と接触しており、前記第1の金属含有層と第2の金属含有層のうちの一方は他方よりも厚い、もしくは、前記第1の金属含有層と第2の金属含有層が互いに異なる金属を含む、ステップと、
    サリサイド・プロセスを実行して、前記一方の金属含有層の下側の被パターニング・ポリシリコン・ゲート領域中にシリサイド・ゲート・コンタクトを、前記他方の金属含有層の下側の被パターニング・ポリシリコン・ゲート領域中に前記金属シリサイド・ゲートを、夫々作るステップと、
    を備えた方法。
  2. 前記一方の金属含有層の厚みが5〜15nmであり、前記他方の金属含有層の厚みが10〜100nmである、請求項1記載の方法。
  3. 平坦化構造体を準備する前記ステップが、前記平坦化するステップの前に、
    前記基板表面に被パターニング・ポリシリコン・ゲート領域を形成するステップと、
    前記基板中に前記シリサイド化ソース/ドレイン領域を形成するステップと、
    前記基板および前記被パターニング・ポリシリコン・ゲート領域の表面に、第1の誘電体を積層し、次いで、該第1の誘電体上に前記層間誘電体を積層するステップと、
    を備えている、請求項1または2に記載の方法。
  4. 前記被パターニング・ポリシリコン・ゲート領域を形成するステップと前記ソース/ドレインを形成するステップの間に、
    各被パターニング・ポリシリコン・ゲート導体に隣接して少なくとも1つのスペーサを形成するステップ
    を備えた、請求項に記載の方法。
  5. 前記少なくとも1つのスペーサの底部の厚さが20〜80nmである、請求項記載の方法。
  6. 前記複数の被パターニング・ポリシリコン・ゲート領域が、As、P、B、Sb、Bi、In、Al、Tl、Ga、およびこれらの混合物から選択されたドーパントによりドープされたポリシリコン導電体を備える、請求項1〜5のいずれか1項に記載の方法。
  7. 前記シリサイド化ソース/ドレイン領域は
    前記基板に設けられ及び活性化されたソース/ドレイン領域の表面に金属を堆積する工程と、
    第1のアニールを実行して金属シリサイドを形成する工程と、
    反応しなかった金属を選択的にエッチング除去する工程と、
    第2のアニールを任意事項として実行する工程と
    を備えたサリサイド・プロセスを用いて形成する、
    請求項に記載の方法。
  8. 前記金属Ti、Ta、W、Co、Ni、Pt、Pd、およびこれらの合金から成る群から選択される、請求項7に記載の方法。
  9. 前記第1のアニールHe、Ar、N2 、またはフォーミングガス雰囲気中、300℃〜600℃の温度で実行される、請求項7または8に記載の方法。
  10. 前記第2のアニールがHe、Ar、N2 、またはフォーミングガス雰囲気中、600℃〜800℃の温度で実行される、請求項7〜9のいずれか1項に記載の方法。
  11. 前記第1の金属含有層及び第2の金属含有層が、夫々、Ti、Ta、W、Co、Ni、Pt、Pd、およびこれらの合金から成る群から選択される金属を含む、請求項1〜10のいずれか1項に記載の方法。
  12. 前記第1の金属含有層及び第2の金属含有層が、夫々、CoまたはNiを含む請求項1〜11のいずれか1項に記載の方法。
  13. 前記第1の金属含有層及び/又は第2の金属含有層がさらに合金化添加剤を含む、請求項11または12に記載の方法。
  14. 前記合金化添加物C、Al、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Ge、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Hf、Ta、W、Re、Ir、Pt、およびこれらの混合物から成る群から選択される、請求項13に記載の方法。
  15. 前記パターニング工程はリソグラフィ工程とエッチング工程とを備えている、
    請求項1に記載の方法。
  16. 前記サリサイド・プロセスは
    金属シリサイドを形成する第1のアニール工程と、
    反応しなかった金属を選択的にエッチング除去する工程と、
    第2のアニールを任意事項として実行する工程と
    を備える、請求項1に記載の方法。
  17. 前記第1のアニールが、He、Ar、N2 、またはフォーミングガス雰囲気中、00℃〜00℃の温度で実行される、請求項16に記載の方法。
  18. 前記第2のアニールが、He、Ar、N2 、またはフォーミングガス雰囲気中、00℃〜00℃の温度で実行される、請求項16または17に記載の方法。
JP2004349278A 2003-12-02 2004-12-02 Cmosトランジスタの製造方法 Expired - Fee Related JP4144884B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/725,851 US6927117B2 (en) 2003-12-02 2003-12-02 Method for integration of silicide contacts and silicide gate metals

Publications (2)

Publication Number Publication Date
JP2005167251A JP2005167251A (ja) 2005-06-23
JP4144884B2 true JP4144884B2 (ja) 2008-09-03

Family

ID=34620373

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004349278A Expired - Fee Related JP4144884B2 (ja) 2003-12-02 2004-12-02 Cmosトランジスタの製造方法

Country Status (4)

Country Link
US (1) US6927117B2 (ja)
JP (1) JP4144884B2 (ja)
CN (1) CN1320637C (ja)
TW (1) TWI321831B (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7164189B2 (en) * 2004-03-31 2007-01-16 Taiwan Semiconductor Manufacturing Company Ltd Slim spacer device and manufacturing method
US7098114B1 (en) * 2004-06-22 2006-08-29 Integrated Device Technology, Inc. Method for forming cmos device with self-aligned contacts and region formed using salicide process
JP2006059972A (ja) * 2004-08-19 2006-03-02 Handotai Rikougaku Kenkyu Center:Kk ニッケル−シリコン化合物の形成方法
JP5395354B2 (ja) * 2005-03-15 2014-01-22 日本電気株式会社 半導体装置の製造方法及び半導体装置
JP2006294800A (ja) * 2005-04-08 2006-10-26 Toshiba Corp 半導体装置の製造方法
JP2006344836A (ja) * 2005-06-09 2006-12-21 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7151023B1 (en) * 2005-08-01 2006-12-19 International Business Machines Corporation Metal gate MOSFET by full semiconductor metal alloy conversion
JP2007103884A (ja) * 2005-10-07 2007-04-19 Interuniv Micro Electronica Centrum Vzw シリサイド・コンタクトを形成する方法
JP4287421B2 (ja) 2005-10-13 2009-07-01 株式会社ルネサステクノロジ 半導体装置の製造方法
JP2007142347A (ja) * 2005-10-19 2007-06-07 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP5194797B2 (ja) 2005-11-16 2013-05-08 日本電気株式会社 半導体装置およびその製造方法
WO2007060938A1 (ja) 2005-11-22 2007-05-31 Nec Corporation 半導体装置及びその製造方法
US20070123042A1 (en) * 2005-11-28 2007-05-31 International Business Machines Corporation Methods to form heterogeneous silicides/germanides in cmos technology
US7297618B1 (en) * 2006-07-28 2007-11-20 International Business Machines Corporation Fully silicided gate electrodes and method of making the same
US7732878B2 (en) * 2006-10-18 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with continuous contact etch stop layer
JP2008141003A (ja) * 2006-12-01 2008-06-19 Toshiba Corp 半導体装置の製造方法
JP4965576B2 (ja) * 2007-02-14 2012-07-04 パナソニック株式会社 半導体装置及びその製造方法
JP5086665B2 (ja) * 2007-03-02 2012-11-28 株式会社東芝 半導体装置およびその製造方法
US7829416B2 (en) * 2007-08-07 2010-11-09 Panasonic Corporation Silicon carbide semiconductor device and method for producing the same
US20090134469A1 (en) * 2007-11-28 2009-05-28 Interuniversitair Microelektronica Centrum (Imec) Vzw Method of manufacturing a semiconductor device with dual fully silicided gate
US7749898B2 (en) * 2008-06-24 2010-07-06 Globalfoundries Inc. Silicide interconnect structure
US9437454B2 (en) * 2010-06-29 2016-09-06 Semiconductor Energy Laboratory Co., Ltd. Wiring board, semiconductor device, and manufacturing methods thereof
KR101815527B1 (ko) * 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8124525B1 (en) * 2010-10-27 2012-02-28 International Business Machines Corporation Method of forming self-aligned local interconnect and structure formed thereby
CN102903621B (zh) * 2011-07-29 2016-02-17 中国科学院微电子研究所 半导体器件的制造方法
US8765586B2 (en) 2011-12-20 2014-07-01 Globalfoundries Inc. Methods of forming metal silicide regions on semiconductor devices
CN103187253B (zh) * 2011-12-28 2015-11-25 中芯国际集成电路制造(上海)有限公司 制作半导体器件的方法
US9698229B2 (en) * 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
CN110890275B (zh) * 2018-09-07 2022-04-12 长鑫存储技术有限公司 金属硅化物形成方法
US11837601B2 (en) * 2021-05-10 2023-12-05 Sandisk Technologies Llc Transistor circuits including fringeless transistors and method of making the same

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2582445B1 (fr) * 1985-05-21 1988-04-08 Efcis Procede de fabrication de transistors mos a electrodes de siliciure metallique
US4908332A (en) * 1989-05-04 1990-03-13 Industrial Technology Research Institute Process for making metal-polysilicon double-layered gate
US5310692A (en) * 1992-05-29 1994-05-10 Sgs-Thomson Microelectronics, Inc. Method of forming a MOSFET structure with planar surface
US6124189A (en) * 1997-03-14 2000-09-26 Kabushiki Kaisha Toshiba Metallization structure and method for a semiconductor device
US6143613A (en) * 1997-06-30 2000-11-07 Vlsi Technology, Inc. Selective exclusion of silicide formation to make polysilicon resistors
US5953612A (en) * 1997-06-30 1999-09-14 Vlsi Technology, Inc. Self-aligned silicidation technique to independently form silicides of different thickness on a semiconductor device
US6207543B1 (en) * 1997-06-30 2001-03-27 Vlsi Technology, Inc. Metallization technique for gate electrodes and local interconnects
US6074921A (en) * 1997-06-30 2000-06-13 Vlsi Technology, Inc. Self-aligned processing of semiconductor device features
US5966597A (en) * 1998-01-06 1999-10-12 Altera Corporation Method of forming low resistance gate electrodes
US6093628A (en) * 1998-10-01 2000-07-25 Chartered Semiconductor Manufacturing, Ltd Ultra-low sheet resistance metal/poly-si gate for deep sub-micron CMOS application
US6136705A (en) * 1998-10-22 2000-10-24 National Semiconductor Corporation Self-aligned dual thickness cobalt silicide layer formation process
US6326251B1 (en) * 1999-01-12 2001-12-04 Advanced Micro Devices Method of making salicidation of source and drain regions with metal gate MOSFET
EP1039533A3 (en) * 1999-03-22 2001-04-04 Infineon Technologies North America Corp. High performance dram and method of manufacture
US6261894B1 (en) * 2000-11-03 2001-07-17 International Business Machines Corporation Method for forming dual workfunction high-performance support MOSFETs in EDRAM arrays
US6562718B1 (en) * 2000-12-06 2003-05-13 Advanced Micro Devices, Inc. Process for forming fully silicided gates
US6927135B2 (en) * 2002-12-18 2005-08-09 Micron Technology, Inc. Methods of fabricating multiple sets of field effect transistors
JP4209206B2 (ja) * 2003-01-14 2009-01-14 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation

Also Published As

Publication number Publication date
CN1320637C (zh) 2007-06-06
TWI321831B (en) 2010-03-11
JP2005167251A (ja) 2005-06-23
TW200529375A (en) 2005-09-01
US6927117B2 (en) 2005-08-09
US20050118757A1 (en) 2005-06-02
CN1624902A (zh) 2005-06-08

Similar Documents

Publication Publication Date Title
JP4144884B2 (ja) Cmosトランジスタの製造方法
JP4917012B2 (ja) 相補型金属酸化物半導体(cmos)を形成する方法及びその方法に従い製造されたcmos
US7029966B2 (en) Process options of forming silicided metal gates for advanced CMOS devices
KR100945785B1 (ko) 완전 실리사이드화 금속 게이트의 형성 방법
US7682968B2 (en) Self-aligned metal to form contacts to Ge containing substrates and structure formed thereby
US7473975B2 (en) Fully silicided metal gate semiconductor device structure
JP2008034413A (ja) 半導体装置及びその製造方法
US8076203B2 (en) Semiconductor device and method of manufacturing the same
JP2007287793A (ja) 半導体装置の製造方法
EP1955368A1 (en) Method for forming a semiconductor device having a salicide layer
JP2006032712A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070315

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080115

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080414

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080414

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20080414

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080414

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080611

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080611

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20080611

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080616

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110627

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110627

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120627

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees