TWI243423B - Highly integrated semiconductor device with silicide layer that secures contact margin and method of manufacturing the same - Google Patents

Highly integrated semiconductor device with silicide layer that secures contact margin and method of manufacturing the same Download PDF

Info

Publication number
TWI243423B
TWI243423B TW093123176A TW93123176A TWI243423B TW I243423 B TWI243423 B TW I243423B TW 093123176 A TW093123176 A TW 093123176A TW 93123176 A TW93123176 A TW 93123176A TW I243423 B TWI243423 B TW I243423B
Authority
TW
Taiwan
Prior art keywords
region
layer
semiconductor substrate
electrode
gate electrode
Prior art date
Application number
TW093123176A
Other languages
English (en)
Other versions
TW200509259A (en
Inventor
Myoung-Hwan Oh
Young-Gun Ko
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of TW200509259A publication Critical patent/TW200509259A/zh
Application granted granted Critical
Publication of TWI243423B publication Critical patent/TWI243423B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/24Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7835Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with asymmetrical source and drain regions, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Description

1243423 九、發明說明: 【發明所屬之技術領域】 ^明是有關於一種高積集半導體元件以及一種此高 元件的製造方法’且特別是有關於—種具有確 半導體元件的製造方法。 及此同積集 【先前技術】 以、當ίί?元件的積集度增加時,半導體元件的線寬會 樣的電阻增力電阻增加,這 在金屬乳化物半導體(M0S)電 ς =沒極區上形成一層自動對準石夕化物的 件將層的高積集半導體元 10 閘極絕緣層15與多曰^層夕日日矽層18,並圖案化 電極20,在閘極電極2〇二18的特疋區域以形成一個閘極 中植入低濃度的摻雜離、,則的半導體基底1G的特定區域 與25b,接著沿著閘極坧=形成輕摻雜汲極(LDD)區25a 並在間隙壁30兩側的半導0的兩側形成絕緣間隙壁30, 摻雜區祝與说,難、導體基底1〇的特定區域中形成重 曰父开^成一個源極區40a與一個;:及極 14403pif.doc 1243423 區40b。接著,在產生的結構上沈積一 示)並進行一道熱處理’用㈣成的間極屬層 術、與汲極區4Gb會與轉移金屬層反應,如開= 極20、源㈣偷、與沒極區條上形成一層石夕化物 然後移除未反應的轉移金屬層。因為形成知極電^ 2〇、 源極區40a、與汲極區働上的石夕化物層45具有低電阻, 將會連制—層金顧,可崎低内連線電阻與接 請參照第2圖,在第!圖的結果結構上沈積一層内層 =層!°,並加以韻刻直到暴露出源極區術與汲極區4(; 為止,糟以形成一個接觸窗開口 55a。 不官如何,當半導體元件的積集度增加日寺,源極區偷 j極區40b的面積會降低,因為欠缺接觸窗開口所必須 =邊界’在形成接觸窗開口的光學微影製錢行期間可能 ^么生對不準’假如發生對不準,可能會形成穿過間隙壁 3〇的接觸窗開口 55 ’如此會暴露出LDD區25a,如第2 f所不。因為被接觸窗開口 55暴露出來的lDD區25a有 摻質濃度與高㈣阻,#咖區B與之後形成的 j層(未顯示)接觸時,在LDD區仏與金屬層之間的接 觸電阻會增力σ。 此外’隨著在高積集半導體元件中閘極電極線寬的縮 丑源極區40a與;:及極區4〇b的深度也會減少,結果小於 〇· 1 μι^的設計規則要求的接合深度要^ 、於約800埃。 饭t形成在源極區4〇a與汲極區上的矽化物層45 久接。深度’此矽化物層必須也是很薄,源極區40a與 14403pif.doc 1243423 汲極區40b的矽就幾乎會被用來 成接合漏電流。 用末圯成矽化物層45,這會造 【發明内容】 本發明提供一種高積集半導髀一 基底;-閘極電極,放置於該半導體包括:一半導體 ΐ區广入 極區與該汲極區包括—輕摻雜汲極區二 移間隙壁,沿著該閘極電極之側壁形 :σ°,扁 與該源極區及該汲極區隔離開;以及—魏物 極、該源極區、與該汲極區上,其中;夕 該源極區與該汲極區上的該輕穆雜沒極區丄 層歐中,魏物層具有-足夠厚度可以作為- 該蟲晶層可以是-石夕層或是一石夕_錯層 一厚度範圍約由250至350埃,每一該源極區 具有一深度範圍由800至1000埃。 ,、及極& 该偏移間隙壁具有一最小厚度必須將導電声 開,該偏移間隙壁具有一厚度範圍自15〇至25〇&。隔 在一實施例中,該石夕化物層會比該蠢晶層薄。、 該矽化物層係一金屬構成,係選自鈦、鈷、 及這些金屬之一組合其中之一。 y、、’ 14403pif.doc 8 1243423 ^ =實施例中,該半導體基底為絕緣體上有矽之基底。 階辟兩?集半導體元件可以進一步包括一個自動對準間 ”土组沿著偏移間隙壁的侧壁形成Q根據本發明的另一方 種高積集半導體^件’包括:—半導體基底; 閑極電極,放置在辭導體基底上; 極兩側上的該半導體基底的特定區二= =電極會陷入該磊晶層中一特定深度;_源極區與一汲 ^…形成在該蟲晶層與誠晶層下方的該特定上方區域 二舌ί以每—該源極區與該祕區包括—輕摻雜沒極區盘 上,ΐϊϊ ;—偏移_壁,形成沿著該閘極電極之側壁 =將補極電極與該源極區與該沒極 移間隙壁的兩側形成;以及-辦層 成於該重摻雜區上的-區域會::5二中:: ::雜二上二據本發明的再二方 ^ , 千導體兀件的製造方法,該方法句括· 形成-閘極電極於-半導體基底上 著該閑極電極之側壁;沪著㈣偏移間隙壁沿 導體基底之特定區域到兩側上成長該半 層;形成一源極區與」二成長 該汲極區包括一輕摻雜汲極區°與:重;=7該:極區與 矽化物層於該閘極電極、^Γ7Η 乡”-,以及形成— 今料㈣Η心 亥雜區、以及該汲極區,其中 上。S疋V纟母一該輕摻雜汲極區與該重摻雜區 H403pif.doc 1243423 將絕緣層彼此$偏移間隙壁具有—最小厚度至少能 化該間極電極與移=壁的步驟包括:再氧 構上達一转卞戶☆ V體基底,沈積一絕緣層於該結果結 在一度;以及非等向蝕刻該絕緣層。 括:植入低丨^成该源極區與該汲極區的步驟包 基底之該:=ίΓ=電極之該兩側上的該半導體 摻雜汲極間隙壁沿著輕摻雜沒極區;形成-輕 到該半導體基底的特極之側壁;植入高濃度摻質 ;輕摻雜汲極間隙壁會放置該===:㈣ 間,以林除該輕摻雜沒極間隙=肺與_極電極之 矽化物層包括/:入:與該汲極區以及形成該 半導體基底的該特定該•電極之該兩側的該 成-矽化物層於該輕摻雜汲極二成”汲極區;形 著該閘極電極之侧壁;以 古、,形成一絕緣間隙壁沿 底的該敎區域巾,㈣彡度摻質_半導體基 壁會放置在該重摻雜區與該雜區’所以該絕緣間隙 石夕化物層的步驟包括··沈積—较基底之間。其中形成該 與該汲極區形成於其中的該金屬層於具有該源極區 該閘極電極上;熱處理該轉—基底之該結果結構以及 以及移除該轉移金屬層的二P層以形成該矽化物層; 屬構成,係選自鈦、鈷、鎳、Ί ^。忒轉移金屬層用一金 中之-。在—實施例中,假如钟與這些金屬之一組合其 始的-金屬構成,該熱處理心移金屬層是用選自鈦與 少”匕括:在攝氏350至600 14403pif.do! 1243423 度之-溫度下首先熱處理該轉移金屬層; ;:00度之-溫度下再次熱處理首先熱處理過之=移: 在攝氏350至_度1’ f ^理步驟包括 動J方法進一步包括在形成該發:物層Si】二自 動對準間隙壁沿著該偏移間隙壁之側壁。 件的月:面’提供-種高積集半導體元 ί底上;形成-偏移間隙壁沿著該間極電極之側辟到, 度必須可將導電層彼此隔開 二之側壁到一厚 極區與該汲極區包括— 二;,物層於該間極電極、該源極區」成 -夕物層於該第一石夕化物層在 第 定區域上,;上 力作述,其中會用實施 好作清楚的_。 的尺寸會被放大以转看的清楚 集半導體7°件的製造方法之流程剖面圖/、3圖的尚積 14403pif.doc 1243423 請參照第3圖,在半導體基底100上形成一個閘極電 極110,此半導體基底100可以比如為矽基底或是矽-鍺基 底,閘極電極110包括一層閘極絕緣層105與一層多晶矽 層107,閘極電極11〇會陷入到半導體基底10〇中一特定 深度,也就是說在閘極電極110兩側的半導體基底1〇〇的 表面會高出一特定厚度,所以會與閘極電極11〇的侧壁有 重疊,半導體基底100部份重疊閘極電極11〇側壁的特定 區域可以是一層用矽或是矽-鍺構成的選擇性磊晶成長 (SEG)層120,此半導體基底1〇〇的特定區域,也就是SEG 層的厚度範圍由100至1000埃,較適當為250至350埃。 一層薄的偏移間隙壁115會沿著閘極電極n〇的侧壁形 成,此偏移間隙壁115會插入在閘極電極11〇與半導體基 底100的特定區域之間,以將閘極電極11〇與半導體基底 100的特定區域隔開,此偏移間隙壁115可以是一層氧化 矽(Si02)層、-層氮化石夕(SiN)層、一層氮氧化石夕(si〇N)層、 或是氧化韻、氮切層、氮氧切層的—倾合,較適 當的是此偏移_壁115有—個最小厚度必須可以將間極 電極110與半導體基底觸的特定區域隔帛,在一實施例 I間^150至250埃。使用氧化石夕層作為偏 曰,、土 時/、厚度為50至100埃,使用氮化石夕層作為 偏移間隙壁115時其厚度為1〇〇至15〇埃。 θ作為 在半導體基底100的特定上方區域並包括seg 勺源極區150a與—個汲極區15Gb,源極區'術 tr isn/多雜〉及極區13〇a與一個重掺雜區140a,而、及 集區⑽包括一個輕摻雜汲極區⑽與一個重播 14403pif.doc 1243423 140b源極區i5〇a與汲極區15〇b會形成在seg層i2〇中 以及在SEG層12G下方的半導體基底的上方區域 中,源極區150a與汲極區15〇b在半導體基底1〇〇的起初 表面1〇〇a之下會有一個淺的接合深度,但是透過SEG層 120可以有足夠的接合深度,源極㊣150a與沒極區15〇1 的接合深度約為800至looo埃。 -層具有特定厚度㈣化物層⑽會形成在雜電極 110源極區150a、以及沒極區15〇b上,較適當的是石夕化 物層160具f-個足夠的厚度可以作為一個歐姆接觸層, 而不會在後續與導電㈣線接翻間失效,舉例來說,足 夠的厚度範圍為1〇〇至1000埃。因為LDD區13此與i3〇b 不會被偏移間雜115蓋住,具有厚度適合於歐姆接觸功 能的石夕化物層16G也會均勻的形成在LDD區i3〇a鱼i3cb Ji 〇 ” -層内層絕緣層180會形成在树化物層_形成於 其上的半‘體基底1Q0之結果結構上,一個接觸窗開口 會形成在内層絕緣g 180巾以暴露出源極區15〇a以及/或 /及極區1通’導電内連線(未!歸)會形成在接觸窗開口⑽ 中。即使假如LDD區13〇a與13〇b會在形成接觸窗開口 185期間因為對不準而被暴露出來,因為具有低電阻的石夕 化物層160會形成在具有高電阻的LDD區13如與13肋 上,所以因為矽化物層160會形成在LDD區13此與i3〇b 上,接觸電阻不會大幅增加,因此接觸窗開口 185可以形 成在矽化物層160會形成在具有高電阻的LDDg 13如與 130b的整麵域上,藉明加接_的邊界。 14403pif.doc !243423 &心第4A二:積集半導體元件的製造方法。 味參4 Μ圖,準備半導體基底觸,此半 100可以比如為⑦基底或是摻有摻質的參鍺基底 ^ 緣層105與多晶石夕展〗〇7合/六广、A 一 1和、、、巴 ± , ^依序沈積在半導體基底100 t並被非專向钱刻以形成閑極電極110。為了 成閘極電極110的爛製程期間造成的損傷,半導體其底 二==110的表面會被再氧化,在再氧化“: 、-,在、、、σ果結構上沈積一層比一般的LDD間隙壁 ,要薄的絕緣層作為間隙壁,比如—層氧化梦層、—層氮 =層、或-層氮氧切層,較適當的絕緣層有—個最小 厚度,比如 10Θ 至 200 7 -r ^ 接荖非埃 貝導電層彼此隔離開。 面钱刻絕緣層’以沿著問極電極no的 側壁形成偏移_壁115,此偏移_壁115可以包括再 層與絕緣層,在_電極11G與半導體基底100上的 氧化層έ在形成偏移間隙壁115的餘刻製程期間被移 除0 接著在結果結構上進行選擇性磊晶成長到一特定厚 ,以形成SEG層12〇與125,因為SEG層12〇與125只會 ^有石夕的Μ冓層上成長,所以只會在半導體基底剛與 夕晶石夕層107上成長,此SEGg 120與125具有-厚度範 ,約為1〇至_埃,較適當的是為250至350埃。因為 古EG層1=幵^ ’因此半導體基底⑽的特地區域會被升 — 厚度d’閘極電極UG會陷人到半導體基底100 特定厚度’參考編號l〇〇a表示虛線表示的半導體基底 14403pif.doc 14 1243423 100之起始表面。 請參照第4B圖’將低濃度的摻質植入到SEG声12〇 以及,SEM 120下方的半導體基底1〇〇的特地區:中, ^與130b,此低濃度掺質最好被植入到 讓LDD區130a與130b比SEG層120還厚。 凊參照第4C圖,在結果結構上沈積一 後進行-道非等向全面雜沿著偏移間隙=5層形: LDD間隙壁135,此LDD間隙壁135可以是一化石夕 層或是-層氮切層。將高濃度的摻_子植^ L:區130a與除了 LDD間_ 135邊緣以外二 導體基底100特定區域中,以形成重摻雜區l4〇a血】働, 結果會形成源極區150a與汲極區150b,此源極區、i5〇a盥 汲極區隱在半導體基底_的起始表面⑽& 有 ,的接合深度㈣0至_埃,但是在突出半導體基底⑽ f面的舰層12G的表面τ具魏大的接合 至1〇〇〇埃。 q 請參照S 4D ®,用一種習知的方式移除咖間隙壁 135,以暴露出LDD區i30a與13〇b,接 形成-層難輯一y)轉移金屬層心 155可以疋一種金屬,選自欽⑼、钻(c〇)、錄⑽、翻、 以及鈦、I古、鎳、始的組合之中。此轉移金屬層i55具有 一厚度約為丨00-1000埃,較適當為100至200埃。 請參照第3圖,熱處理結果結構以在問極電極ιι〇、 源極區1術、與汲極區⑽形成一層厚度為1〇〇至ι〇〇〇 埃的石夕化物層160 ’較適當的是1〇〇至2〇〇埃,假如轉移 14403pif.doc 15 1243423 金屬層是鈦或鈷,半導體基底100的結果結構首先要在溫 度約為攝氏350至600度下進行熱處理,然後在攝氏500 至900度下進行第二次熱處理以形成穩定相的矽化物層。 另一方面’假如轉移金屬層是鎳,半導體基底1〇〇的結果 結構只要在攝氏350至650度下熱處理一次以形成具有穩 定相的石夕化物層。接著,用濕蝕刻步驟將轉移金屬層未反 應的部分’也就是轉移金屬層殘留在偏移間隙壁U5與一 層分離層(未顯示)上的部分移除,因此會在閘極電極11()、 源極區150a、與汲極區150b上形成矽化物層160。 石夕化物層160可以在形成LDD區130a與130b與形成 I^DD間隙壁135之間形成,也就是說如第4圖所示在lDD 1 130a與130b形成之後,在半導體基底100上沈積轉移 金屬層(未顯示),然後熱處理以在LDD區130a與130b以 及閘極電極110上形成石夕化物層,如第5A圖所示。 清參照第5B圖,用習知的方法沿著偏移間隙壁U5 的側邊形成LDD間隙壁135,接著在有矽化物層160形成 於其上的LDD區130a與130b中植入高濃度摻質,以形成 重摻雜區104a與140b,然後移除LDD間隙壁135。 w參照第3圖,在結果結構上沈積一層内層絕緣層 18〇’然後用習知的光學微影方法在内層絕緣層180上形成 一層光阻圖案(未顯示)並暴露出源極區15此與汲極區 UOb,接著以光阻圖案作為蝕刻罩幕,蝕刻内層絕緣層18〇 以形成接觸窗開口 185,接著移除光阻圖案,因為厚度大 到足以作為一層歐姆接觸層的矽化物層160也會形成在 LDD區13(^與13〇b上,接觸面積與接觸邊界會增加,即 14403pif.doc 16 Ϊ243423 使假如區咖與拠因為一些對不準而暴露出來接 觸電阻還是會降低。 根據此實施例,厚度大到足以作為一層歐姆接觸層的 石夕化物層160會形成在重摻雜區14(^與MQb以及:㈤區 130a與130b上,因此接觸面積會由重摻雜區14如與μ⑽ 延伸到LDD㊣13加與13〇b,藉以確保有足夠的接觸邊界。 此外、’厚度大到足以作為一層歐姆接觸層的矽化物層 160會形成在有較低摻雜濃度的LDD區13加與13肋上, 會降低LDD區13〇a與130b的片電阻,結果寄生電阻會降 低而半導體元件的效能會被提升。 再者,因為源極區150a與汲極區15〇b會形成在自半 導體基底100突出的SEG層120中,可以確保有足夠的接 合深度,因為在形成矽化物層期間提供了足夠的矽,可以 確保源極區150a與汲極區150b,接合漏電流的發生可以 降低。 、第6圖繪示係根據本發明第二實施例的一種高積集半 導體元件之剖面圖。 用與第一實施例相同的方式,在閘極電極n〇、源極 ,150a、與汲極區15〇b上形成一層矽化物層16〇,接著沿 著形成在閘極電極110的側壁上的偏移間隙壁丨15的侧壁 形成自動對準間隙壁165,此自動對準間隙壁165可以是 氮化矽層,會比偏移間隙壁115還厚。 因為透過自動對準間隙壁165可以在閘極電極11()側 邊上的源極區15〇a與汲極區i5〇b上形成自動對準接觸 (SAC)墊(未顯示),本發明第二實施例的高積集半導體元件 14403pif.doc 17 1243423 可以用來作為動態隨機存取記憶(DRA]V[)胞中的電晶體。 第7圖緣示係根據本發明第三實施例的一種高積集半 導體元件之剖面圖。 南積集半導體元件可以形成在一個絕緣體上有石夕(SQJ) 的基底上來取代矽的半導體基底100,請參照第7圖,準 備一個SOI基底200,此SOI基底200包括一個底基底 210、一層氧化矽薄膜埋入層220、以及一層矽層23〇,此 SOI基底200可以透過結合兩個晶圓或是利用離子佈植將 氧植入到晶圓中製成。 接著,用與本發明第一實施例相同的方法,依序在SQJ 基底200中形成閘極電極11〇與源極及汲極區15此與 150b,因為SOI基底200的矽層230跟本發明第一實施例 的半導體基底100有相同的性質,高積集半導體元件可以 用與在本發明第一實施例中提到的同樣方式來製作。 根據本發明的第三實施例,源極區15〇a與汲極區15〇b 底,表面會與氧化矽薄膜埋入層22〇相隔一段特定距離, ,疋源極區150a與汲極區i5〇b的底部表面也可以分別與 氧化矽薄膜埋入層220接觸。 此第三實施例的高積集半導體元件可以得到跟之前實 施例-樣的效果,且會進—步減少寄生電阻造成的閉鎖 (latch,up) 〇 第8圖緣不係根據本發明第四實施例的一種高積集半 導體元件之剖面圖。 、 為了減少源極區l50a與汲極區15%的電阻,會在源 極區15〇a與汲極區㈣的—鱗定區域上織—層第二 14403pif.doc 18 1243423 矽化物層170。 也就是,在自動姆準間隙壁165形成在使用與每 施例同樣的方式沿相極電極nG的側壁偏二 壁η糊壁上以後,在高積集半導體的結果 一層第二轉移金屬層(未顯示),此第二轉移金屬層可叹 =轉移金屬層-樣或是不—樣,舉例來說,第二轉移全又 屬層可以是鈦、钻、鎳、或銘。接著,在—特定溫 半導體基底1GG形成有第二轉移金制於其上的區域進行 熱處理以縣第二魏物層H在此熱處理步驟可以 轉移金屬層的金屬進行—次或兩次,與本發明的第一實施 例相同。 ' 此第二矽化物層170會形成在閘極電極ιι〇以及 動對準間隙壁165暴露出來的源極區15Qa與汲極區⑽ 的重摻雜區140a與1條上,因為第二梦化物層17〇 閘極電極11G上包括第—魏物層與第二魏物層 170的總石夕化物層丨75會比第一石夕化物層16〇厚,而在^ 極區150a與汲極區150b上的總矽化物層175會 因為第二#物層17G會進—步形成 110、源極區150a與汲極區150b上,閘極電極11〇、源極 區150a與汲極區i5〇b的電阻會被進一步降低。 如上所述,具有足夠厚度可以作為歐姆接觸層的石夕化 物層會均勻的形成在LDD區上,因此即使假如LDD區會 因為形成接職開π造成的對不準而暴露出來,也不會增 加接觸電阻。另外,因為LDD區可以用來作為一個 面積’可以確保高積料導體元件有足夠的接觸邊界。 14403pif.doc 19 1243423 此外,因為具有特定厚度的矽化物層會形成在具有較 低濃度的LDD區上,LDD區的電阻可以被降低,而寄^ 電阻的增加可以被避免。 τ 因為源極區與汲極區是形成在自基底往上的SEG屑 中,可以得到足夠的接合深度,結果在形成確定源極區^ 汲極區有特定深度的矽化物層期間可以提供足夠量 了 因此可以降低接合漏電流。 ’ 雖然本發明已以實施例揭露如上,然其並非用 本發明’任何熟習此技藝者,在不脫離本發明之精= 圍内’當可作些許之更軸卿,因此本發 3 當視後附之申請專利範圍所界定者為準。 饰邊乾圍 【圖式簡單說明】 圖。第1圖與第2圖是-種習知高積集半導體元件的剖面 導體本發”―實施例的一種高積集半 造方圖衫311㈣積料㈣元件的製 第5Α圖|4第5Β圖為第3圖的高積集半導體 改範例。 奴兀仵之修 第:= 會示係根據本發明第二實施例的 導體元件之剖面圖。 门檟木丰 第示係根據本發明第三實施例的-種高積隹丰 導體元件之剖面圖。 q槓木+ 第8圖繪示係根據本發明第四實施例的-種高積集半 l4403pif.doc 20 1243423 導體元件之剖面圖。 【主要元件符號說明】 10、100 半導體基底 15、105 閘極絕緣層 18、107 多晶矽層 20、110 閘極電極 115 偏移間隙壁 120、125 選擇性磊晶成長(SEG)層 25a、25b、130a、130b 輕摻雜汲極(LDD)區 140a、140b 重摻雜區 40a、150a 源極區 40b、150b 没極區 45、160、170 矽化物層 180 内層介電層 55、55a、185 接觸窗開口 135 LDD間隙壁 155 轉移金屬層 165自動對準間隙壁 175 總矽化物層 200 絕緣層上有矽(SOI)基底 210 底基底 220 氧化矽薄膜埋入層 230 矽層 21 14403pif.doc

Claims (1)

1243423 十、申請專利範圍: 1·一種高積集半導體元件,包括·· 一半導體基底; 一間極電極,放置於該半導體基底之-預定區域上; 一磊晶層,形成在該閘極電極兩側的該半 : 區域上,所以該閑極電極會陷入—預定深度二 源極區以及一汲極區,形成於該磊晶層上與該半 導 f基底在該磊晶層下方之預定的上方區域,—二;一該源 虽區與献極區包括-輕義祕區與—重摻雜區;〜 -偏移間雜’沿著該閘㈣極之側飾成 閘極電極與該源極區及該汲極區隔離開;以及& ^ 極區上秒化物層’形成在該閘極電極、該祕11、與該汲 該魏物層係形成在每—該源極區與該汲極區上 的该輕摻雜汲極區與該重摻雜區上。 圍第1項所述之高積集半導體元件, /、日日層至> 為一矽層與一矽鍺層其中之一。 ^如t料職圍第丨項所述之高積集轉體元件, ,、中該蟲晶層具有-厚度範圍約& 250至35〇埃。 ^如_請專·_3項所述之高鋪半導體元件, Γοοο:該源㈣與概極區具有—深度範圍由800至 5·如申請專利範圍第i 其中该偏移間隙壁具有一 項所述之南積集半導體元件, 最小厚度必須將導電層彼此隔 14403pif.doc 22 1243423 開。 其中申請專纖圍第5項所述之高積集半導體元件, μ偏移間隙壁具有一厚度範圍自150至250埃。 里中二如申請專利範圍第1項所述之高積集半導體元件, 一 為石夕化物層會比該磊晶層薄。 复中t如申請專利範圍第1項所収高積集半導體元件 及廷些金屬之一組合其中之一。 鎳、鉑以 9. 如申請專利範圍第丨項所述高 其中該半導縣底為-絕賴上㈣=集柯體凡件, 10. 如中請專利範圍第i項所述之高積集半導 —種高積集半導體元件,包括: 一半導體基底; 二,極電極,放置在該半導體基底上; 的特;ii::電,側上的該半導體基底 深度; ' 所以鋪極電極會陷人該蠢晶層中-特定 方的區所,在該5晶層與該&晶層下 括-輕摻雜汲極區與—重心:7該源極區與該汲極區包 隙壁’形成沿著該閘極電極之侧壁上,並將 _電極與該源極區與該汲極區隔開; ’巴緣間隙壁’㈣該偏移間隙壁的兩侧形成;以及 14403pif.doc 1243423 極區Γ夕化物層,形成於該間極電極、該源極區、與該汲 其卡該石夕化物層形成於該重穆雜區上的—區域 矽化物層形成於該輕摻雜汲極區上的一區域厚。θ 12.-種高積料導體元件_造方法,财法包括: 形成一閘極電極於一半導體基底上; 形成一偏移間隙壁沿著該閘極電極之側壁,· 沿著朗極電極的兩侧上成長該半導底之特定區 域到:特定厚度以形成一選擇磊晶成長層; 兮丰極區與—没極區在該問極電極之該兩側上的 定成長區域中’所以每一該源極區盘 ^及極區包括一輕摻雜汲極區與一重摻雜區;以及、 極區形成一石夕化物層於該閘極電極、該源極區、以及該没 摻雜區I夕化物層4成在每—該輕換雜汲極區與該重 13. 如申請專利範圍第12項所述之 的製造方法,其中該偏移間隙 一曰=丰>體兀件 絕緣層彼此隔開。 土” 則、厚度至少能將 14. 如申請專利範圍第13項所述積 的製造f法,其中形成該偏移間隙壁的步 再氧化该閘極電極與該半導體基底. 2-絕緣層於該結果結構上達二特 非等向似彳雜緣層。 〗从度’以及 丨5·如申請專利範圍第12項所述之高積集半導體元件 14403pif.doc 24 W423 植入低濃度該:及極區的步驟包括: 形成域中,以形成該輕摻雜沒極區; 植八高濃度摻 】ς /d者_極電極之側壁; 成該重摻雜區^二冷體基底的特定區域中,以护 與該,:之=摻雜汲極區會放置在該重摻雜區 移除該輕換雜沒極間隙壁。 的製_紅高積集半導體元件 化物層包括:以_極區與該祕區以及形成該二 植入低濃度摻質該閘極雷 該輕摟=1的該半導體基底 植ί高該閑極電極之側壁;以及 巧,辰度摻質到該丰邋雕 形成該重摻雜區,所以 表収土 &的該預定區域中,以 與該半導體基底之間。σΛ、、、、、、間隙壁會放置在該重摻雜區 17·如申請專利範圍第 的製造方法,其中形成=斤述之高積集半導體元件 沈積一棘於入Μ昆 化物層的步驟包括: 其中的該半導體i底區與該沒極區形成於 熱處理該轉移錢、「果結構以及該閘極電極上; 移除該轉移金屬石夕化物層;以及 18.如申請專利範圍第17 項所述之高積集半導體元件 14403pif.doc 1243423 的製造方法,其中該轉移金屬層用一金屬構成,係選自鈦、 鈷、鎳、鉑、與這些金屬之一組合其中之一。 $ 19.如申請專利範圍第17項所述之高積集半導體元件 的製造方法,其中假如該轉移金屬層是㈣自鈦與钻的一 金屬構成,該熱處理步驟包括: 在攝氏35〇至600度之一溫度下首先熱處理該轉移金 屬層;以及 在攝氏500至900度之一溫度下再次熱處理首先熱處 理過之該轉移金屬層。 、制20·如中請專利範圍帛17項所述之高積集半導體元件 造方法,其中假如該轉移金屬層是㈣構成,該熱處 包括在攝氏350至600度之—溫度下熱處理該轉移 金屬層。 的制2ii、、r請專利範圍第12項所述之高積集半導體元件 自G進^進—步包括在形成該魏物層以後,形成一 自動對糊隙壁沿著該偏移間隙壁之側壁。 2Λ了種高積料導體元件的製造方法,該方法包括: 形成閘極電極於一半導體基底上; 域到電=兩導體基底之特定區 以形成一遠擇磊晶成長層; y 源極區與一汲極區於該問 ^ 半導體基底之該特定以…士兩側的該 、、及朽卩勺ϋ 長區或中,所以每一該源極區I兮 及極&包括-輕摻雜沒極區與—重摻雜區;… 14403pif.doc 26 1243423 形成一第一石夕化物層於該閘極電極、該源極區、與該 >及極區上, 形成一絕緣層沿著該偏移間隙壁之該兩側;以及 形成一第二矽化物層於該第一矽化物層在該偏移間隙 壁的該兩侧上之該第一矽化物層的特定區域上,與該閘極 電極上。 14403pif.doc 27
TW093123176A 2003-08-22 2004-08-03 Highly integrated semiconductor device with silicide layer that secures contact margin and method of manufacturing the same TWI243423B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030058287A KR100546369B1 (ko) 2003-08-22 2003-08-22 콘택 마진을 확보할 수 있는 실리사이드막을 구비한고집적 반도체 소자 및 그 제조방법

Publications (2)

Publication Number Publication Date
TW200509259A TW200509259A (en) 2005-03-01
TWI243423B true TWI243423B (en) 2005-11-11

Family

ID=34192193

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093123176A TWI243423B (en) 2003-08-22 2004-08-03 Highly integrated semiconductor device with silicide layer that secures contact margin and method of manufacturing the same

Country Status (6)

Country Link
US (2) US7098514B2 (zh)
JP (1) JP2005072577A (zh)
KR (1) KR100546369B1 (zh)
CN (1) CN100431152C (zh)
DE (1) DE102004041066B4 (zh)
TW (1) TWI243423B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI696270B (zh) * 2019-04-15 2020-06-11 力晶積成電子製造股份有限公司 記憶體結構及其製造方法

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7855126B2 (en) * 2004-06-17 2010-12-21 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a cyclic selective epitaxial growth technique and semiconductor devices formed using the same
KR100593736B1 (ko) * 2004-06-17 2006-06-28 삼성전자주식회사 단결정 반도체 상에 선택적으로 에피택시얼 반도체층을형성하는 방법들 및 이를 사용하여 제조된 반도체 소자들
KR100683852B1 (ko) * 2004-07-02 2007-02-15 삼성전자주식회사 반도체 소자의 마스크롬 소자 및 그 형성 방법
US7129548B2 (en) * 2004-08-11 2006-10-31 International Business Machines Corporation MOSFET structure with multiple self-aligned silicide contacts
JP4134001B2 (ja) * 2004-10-29 2008-08-13 富士通株式会社 半導体装置の製造方法
US7217647B2 (en) * 2004-11-04 2007-05-15 International Business Machines Corporation Structure and method of making a semiconductor integrated circuit tolerant of mis-alignment of a metal contact pattern
DE102005020133B4 (de) * 2005-04-29 2012-03-29 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Transistorelements mit Technik zur Herstellung einer Kontaktisolationsschicht mit verbesserter Spannungsübertragungseffizienz
KR101229526B1 (ko) * 2005-04-29 2013-02-04 어드밴스드 마이크로 디바이시즈, 인코포레이티드 개선된 스트레스 전달 효율을 가지는 컨택 절연층 형성 기술
KR100720475B1 (ko) * 2005-07-26 2007-05-22 동부일렉트로닉스 주식회사 트랜지스터 및 그 형성방법
KR100771537B1 (ko) * 2005-11-21 2007-10-31 주식회사 하이닉스반도체 금속실리사이드막을 갖는 반도체소자의 제조방법
DE102006015075A1 (de) 2006-03-31 2007-10-11 Advanced Micro Devices, Inc., Sunnyvale Technik zur Bereitstellung von Verspannungsquellen in MOS-Transistoren in unmittelbarer Nähe zu einem Kanalgebiet
US7545006B2 (en) * 2006-08-01 2009-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with graded silicide regions
US8008157B2 (en) * 2006-10-27 2011-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device with raised source and drain regions
US20080124859A1 (en) * 2006-11-27 2008-05-29 Min Chul Sun Methods of Forming CMOS Integrated Circuits Using Gate Sidewall Spacer Reduction Techniques
US8569837B2 (en) * 2007-05-07 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices having elevated source/drain regions
US20090140351A1 (en) * 2007-11-30 2009-06-04 Hong-Nien Lin MOS Devices Having Elevated Source/Drain Regions
KR101376260B1 (ko) * 2008-04-14 2014-03-20 삼성전자 주식회사 반도체 소자 및 그 제조 방법
KR101120181B1 (ko) * 2008-04-21 2012-02-27 주식회사 하이닉스반도체 반도체 소자의 형성 방법
JP5381989B2 (ja) * 2008-08-26 2014-01-08 富士通セミコンダクター株式会社 半導体装置の製造方法
US8133664B2 (en) 2009-03-03 2012-03-13 Micron Technology, Inc. Methods of forming patterns
US8633070B2 (en) 2010-02-10 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd Lightly doped source/drain last method for dual-epi integration
KR101714003B1 (ko) 2010-03-19 2017-03-09 삼성전자 주식회사 패시티드 반도체패턴을 갖는 반도체소자 형성방법 및 관련된 소자
CN102487015A (zh) * 2010-12-03 2012-06-06 中国科学院微电子研究所 一种半导体结构及其制造方法
US20120235244A1 (en) * 2011-03-18 2012-09-20 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor Structure and Method for Manufacturing the Same
US8791732B2 (en) 2011-05-09 2014-07-29 Mediatek Inc. Phase locked loop
US20120286391A1 (en) * 2011-05-09 2012-11-15 Mediatek Inc. Semiconductor circuit
CN103137475B (zh) * 2011-11-23 2015-09-16 中国科学院微电子研究所 一种半导体结构及其制造方法
CN103165427B (zh) * 2011-12-13 2016-08-03 中芯国际集成电路制造(上海)有限公司 Mos器件及其形成方法
CN103871887B (zh) * 2012-12-18 2016-10-05 中芯国际集成电路制造(上海)有限公司 Pmos晶体管、nmos晶体管及其各自的制作方法
US20140183663A1 (en) * 2012-12-28 2014-07-03 Texas Instruments Incorporated Raised Source/Drain MOS Transistor and Method of Forming the Transistor with an Implant Spacer and an Epitaxial Spacer
KR102527218B1 (ko) 2016-01-08 2023-04-28 삼성디스플레이 주식회사 유기 발광 표시 장치 및 그 제조 방법
CN108231590B (zh) 2016-12-09 2023-03-14 Imec 非营利协会 水平纳米线半导体器件
CN109638010B (zh) * 2017-10-09 2021-09-14 联华电子股份有限公司 射频切换装置以及其制作方法
FR3113770A1 (fr) 2020-08-31 2022-03-04 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procédé de fabrication de composants micro-électroniques

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63150965A (ja) * 1986-12-15 1988-06-23 Toshiba Corp 半導体装置の製造方法
FR2652448B1 (fr) * 1989-09-28 1994-04-29 Commissariat Energie Atomique Procede de fabrication d'un circuit integre mis haute tension.
JPH04350942A (ja) * 1991-05-29 1992-12-04 Nec Corp 半導体装置の製造方法
JP2626532B2 (ja) * 1993-12-27 1997-07-02 日本電気株式会社 半導体装置およびその製造方法
JPH0832067A (ja) * 1994-07-21 1996-02-02 Toshiba Corp Mis型半導体装置
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
JP2956549B2 (ja) * 1995-09-14 1999-10-04 日本電気株式会社 半導体記憶装置及びその製造方法とデータ消去方法
JPH09121050A (ja) * 1995-10-25 1997-05-06 Ricoh Co Ltd Mos型半導体装置とその製造方法
JPH09129731A (ja) * 1995-11-02 1997-05-16 Nec Corp 半導体装置の製造方法
JPH10125913A (ja) * 1996-10-23 1998-05-15 Sony Corp 半導体装置および半導体装置の製造方法
KR100239707B1 (ko) * 1996-11-27 2000-01-15 김영환 반도체 소자의 제조방법
US6121100A (en) * 1997-12-31 2000-09-19 Intel Corporation Method of fabricating a MOS transistor with a raised source/drain extension
JPH11312804A (ja) * 1998-04-28 1999-11-09 Sony Corp 半導体装置およびその製造方法
JP2000269495A (ja) * 1999-03-18 2000-09-29 Toshiba Corp 半導体装置及びその製造方法
US6255703B1 (en) * 1999-06-02 2001-07-03 Advanced Micro Devices, Inc. Device with lower LDD resistance
KR100361533B1 (en) * 2001-03-29 2002-11-23 Hynix Semiconductor Inc Method for fabricating semiconductor device
US6465313B1 (en) * 2001-07-05 2002-10-15 Advanced Micro Devices, Inc. SOI MOSFET with graded source/drain silicide
US6902980B2 (en) * 2003-06-05 2005-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a high performance MOSFET device featuring formation of an elevated source/drain region

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI696270B (zh) * 2019-04-15 2020-06-11 力晶積成電子製造股份有限公司 記憶體結構及其製造方法

Also Published As

Publication number Publication date
US20050040472A1 (en) 2005-02-24
DE102004041066A1 (de) 2005-03-24
CN100431152C (zh) 2008-11-05
US7338874B2 (en) 2008-03-04
KR100546369B1 (ko) 2006-01-26
CN1585128A (zh) 2005-02-23
JP2005072577A (ja) 2005-03-17
US20060255413A1 (en) 2006-11-16
TW200509259A (en) 2005-03-01
DE102004041066B4 (de) 2016-03-03
KR20050020382A (ko) 2005-03-04
US7098514B2 (en) 2006-08-29

Similar Documents

Publication Publication Date Title
TWI243423B (en) Highly integrated semiconductor device with silicide layer that secures contact margin and method of manufacturing the same
US4948745A (en) Process for elevated source/drain field effect structure
JP3998893B2 (ja) T型素子分離膜の形成方法
JP3860672B2 (ja) トランジスタの製造方法およびその製造方法によって製造されたトランジスタ
US6828630B2 (en) CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture
US6656824B1 (en) Low resistance T-gate MOSFET device using a damascene gate process and an innovative oxide removal etch
US6806126B1 (en) Method of manufacturing a semiconductor component
JP4086099B2 (ja) 半導体素子の形成方法
JP3725465B2 (ja) 半導体装置及びその製造方法
JP2001320044A (ja) 半導体装置及びその製造方法
US6765269B2 (en) Conformal surface silicide strap on spacer and method of making same
US6395606B1 (en) MOSFET with metal in gate for reduced gate resistance
US6284610B1 (en) Method to reduce compressive stress in the silicon substrate during silicidation
JP2000049348A (ja) エレベ―テッドソ―ス・ドレイン構造を有する半導体装置及びその製造方法
JPH09107099A (ja) ゲート電極用使い捨てスペーサを用いた片側傾斜チャネル半導体素子の形成方法
US11545577B2 (en) Semiconductor structure with in-device high resistivity polycrystalline semiconductor element and method
JP3866874B2 (ja) シリサイド化素子を形成する方法
US6743666B1 (en) Selective thickening of the source-drain and gate areas of field effect transistors
US6841449B1 (en) Two-step process for nickel deposition
US6632740B1 (en) Two-step process for nickel deposition
JP3680417B2 (ja) 半導体装置
JPH10335660A (ja) 半導体装置およびその製造方法
JPH0730104A (ja) 半導体装置及びその製造方法
JP4434832B2 (ja) 半導体装置、及びその製造方法
US6689687B1 (en) Two-step process for nickel deposition