TW531796B - Apparatus and method for depositing thin film on wafer using atomic layer deposition - Google Patents

Apparatus and method for depositing thin film on wafer using atomic layer deposition Download PDF

Info

Publication number
TW531796B
TW531796B TW090111464A TW90111464A TW531796B TW 531796 B TW531796 B TW 531796B TW 090111464 A TW090111464 A TW 090111464A TW 90111464 A TW90111464 A TW 90111464A TW 531796 B TW531796 B TW 531796B
Authority
TW
Taiwan
Prior art keywords
gas
reaction gas
reaction
gas supply
reactor
Prior art date
Application number
TW090111464A
Other languages
English (en)
Inventor
Young-Hoon Park
Original Assignee
Ips Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ips Ltd filed Critical Ips Ltd
Application granted granted Critical
Publication of TW531796B publication Critical patent/TW531796B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4673Plural tanks or compartments with parallel flow

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

531796 A7 ___B7__ 五、發明說明(ί ) 發明背景 1. 發明之領域 本發明係關於一種用以在於像是半導體晶圓之半導 體上沈積出一薄膜的原子層沈積(ALD)薄膜沈積裝置及 方法。 2. 相關先前技藝之說明 薄膜沈積裝置可藉由提供反應氣體予一反應容器內 所承收之晶圓,而於該晶圓上構成一預定薄膜。有許多 種方法可於薄膜沈積裝置中構成一預定薄膜,包括化學 氣相沈積(CVD)和原子層磊晶(ALE)。薄膜沈積裝置已應 用在各種用以製造半導體裝置之領域範圍內。 爲了改善半導體晶片的生產力’沈積的薄膜應展現 較佳的純度和電氣特性’並且晶圓中的晶片數目也需要 增加。爲達此,應對晶圓採用微細製程。對於超級微細 製程而言,上述較佳的特性和晶片增加數目必須達到更 高的程度° 當注入反應容器之兩種或更多種反應氣體間在晶圓 上發生反應時,則構成了薄膜。在此過程中,由於部分 的反應氣體與反應容器的內側壁反應’所以會產生顆粒( 雜質)。這些顆粒由於降低薄膜的品質故爲微細製程的障 礙。同時,當氯(C1)包含於薄膜中時,薄膜的純度和電 氣特性都會惡化。顆粒或C1的存在降低了產率。 薄膜沈積裝置已連續地加以改善俾供製作一高度積 ___4___ 標準(CNS)A4 規格(210 X 297 公釐)^" (請先閱讀背面之注意事項再填寫本頁} ·----
=-OJ* H ϋ ϋ I I I -I I 0^^ I I 1 n LI — I I I I I I n n n n I n I I 531796 A7 __B7_ 五、發明說明(> ) 體化晶片,並提昇管理和生產效率。 本發明槪要 本發明之一目的在於提供一種ALD薄膜沈積裝置及 其方法,按此該薄膜可具有極佳的電氣特性、高純度, 其中已盡可能地移除掉雜質,而可構成極佳的梯級覆蓋 ,並且可改善生產和管理的效率。 本發明之另一目的在於提供一種ALD薄膜沈積裝置 ,其包括一排放線路以連續地於沈積一薄膜之前和之後 維持所欲製程壓力及將一反應器抽氣,和提供一沈積方 法。 爲達到上述目的,本發明提供一種原子層沈積 (ALD)薄膜沈積裝置,包括了:一晶圓安置其中以及將 一薄膜沈積於該晶圓上之反應器100, 一用以供應一第 一反應氣體給該反應器100的第一反應氣體供應部分 210,一用以供應一第二反應氣體給該反應器100的第 二反應氣體供應部分230, 一用以連接該第一反應氣體 供應部分210至該反應器100的第一反應氣體供應線路 220,一用以連接該第二反應氣體供應部分230至該反 應器1〇〇的第二反應氣體供應線路240,一用以從惰性 氣體供應來源250供應一惰性氣體給該第一反應氣體供 應線路220的第一惰性氣體供應線路260,一用以從惰 性氣體供應來源250供應一惰性氣體給該第二反應氣體 供應線路240的第二惰性氣體供應線路270,以及一用 _5_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) I I 1 n n ϋ n β ϋ I I I ·ϋ ϋ n n >1^ ϋ n«i— n ·ϋ —ϋ n n ϋ ϋ n n n 1^1 - 531796 A7 _B7_ 五、發明說明(j ) 以將該反應器1〇〇內氣體排放到外面的排放線路400。 爲達到上述目的,本發明提供一種利用薄膜沈積裝 置之ALD薄膜沈積方法,該裝置包括了一晶圓安置其中 以及將一薄膜沈積於該晶圓上之反應器100, 一用以供 應一第一反應氣體給該反應器100的第一反應氣體供應 部分210,一用以連接該第一反應氣體供應部分210至 該反應器1〇〇的第一反應氣體供應線路220,一用以供 應一第二反應氣體給該反應器100的第二反應氣體供應 部分230,一用以連接該第二反應氣體供應部分230至 該反應器1〇〇的第二反應氣體供應線路240,一用以供 應一惰性氣體(已控制其流量)給該第一反應氣體供應線 路220的第一惰性氣體供應線路260,一用以供應一惰 性氣體(已控制其流量)給該第二反應氣體供應線路240 的第二惰性氣體供應線路270,以及一用以將該反應器 1〇〇內氣體排放到外面的排放線路400。在本法中,一 第一反應氣體(已控制其流量)以及流量受控之惰性氣體 ,兩者經混合並供應到該反應器100內的晶圓上表面。 同時,一第二反應氣體(已控制其流量)以及流量受控之 惰性氣體,兩者則是經混合並供應到該反應器100內的 晶圓邊緣處。 圖式簡單說明 本發明前揭各項目的與優點可藉由說明彼等之較佳 實施例並參酌隨附圖式而得更爲顯見,其中: _6__ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
-I m ϋ I ί l n n 一δν I n ϋ I— n n I ϋ ϋ ϋ n ϋ n n I an ϋ n ·ϋ ϋ ϋ 1_1 n ϋ n n I I 531796 A7 ___B7 五、發明說明($ ) 圖1爲根據本發明第一實施例之原子層沈積(ALD) 薄膜沈積裝置之槪略圖; 圖2爲圖1之ALD薄膜沈積裝置的反應器之分解立 體圖; 圖3爲圖2之反應器內的蓮蓬頭平板與擴散平板之 分解立體圖; 圖4爲圖2之反應器的剖視圖; 圖5爲圖4之反應器的第一混合單元的放大剖視圖 圖6爲說明當沈積一薄膜時一區間(D)與一比電阻之 關係圖; 圖7爲經一桶閥而倂合有一傳送模組之反應器; 圖8爲根據本發明第二實施例之ALD薄膜沈積裝置 之剖視圖; 圖9爲根據本發明第三實施例之ALD薄膜沈積裝置 (請先閱讀背面之注意事項再填寫本頁) --------訂------- ·!線 ----1·——---------------- 之剖視圖。 圖式元件符號說明 100. 反應器 101. 桶閥 102. 傳送模組 110. 反應區塊 111. 第一連接管道 112. 第二連接管道 7 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 531796 A7 B7 五、發明說明(< ) 113. 113a. 114. 115. 116. 117. 118. 120. 121. 122. 128. 129. 130. 131. 132. 133. 134. 135. 135a. 135b. 140. 150. 150a. 150b. 連接部分 0型環 主ο型環 抽取集器 晶圓傳送孔洞 排放孔洞 排放孔洞 蓮蓬頭平板 第一連接線路 第二連接線路 絞鏈 絞鏈 擴散平板 噴灑孔洞 通道 噴嘴 第一混合部分 第二混合部分 第二混合部分的分隔 孔洞 晶圓區塊 抽取擋板 邊牆 底牆 (請先閱讀背面之注意事項再填寫本頁) --------訂--------- 線丨Φ------1—— 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 531796 A7 _B7_ 五、發明說明(u) 150c. 對稱孔洞 160. 壓力測量部分 210. 第一反應氣體供應部分 211. 起泡器
212. 第一反應氣體質流控制器MFC 220. 第一反應氣體供應線路 230. 第二反應氣體供應部分
232. 第二反應氣體質流控制器MFC 240. 第二反應氣體供應線路 250. 惰性氣體供應來源 260. 第一惰性氣體供應線路
262. 第一惰性氣體MFC 270. 第二惰性氣體供應線路
272. 第二惰性氣體MFC 280. 第一旁通線路 290. 第二旁通線路 310. 惰性氣體供應源 320 惰性氣體供應線路 330. 淸淨氣體供應部分 340. 淸淨氣體供應線路
342. 淸淨氣體MFC 400. 排放線路 410. 排放幫浦 510. 第一反應氣體供應部分 (請先閱讀背面之注意事項再填寫本頁)
· H ϋ I Hi I l in n n n ϋ n n I n ϋ n. ϋ n I ϋ ·ϋ i_l ϋ i_^i n l I 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 531796 A7 B7 五、發明說明(Γ| ) 512. 第一反應氣體MFC 610. 第四反應氣體供應部分 612. 第四反應氣體MFC 620. 第三反應氣體供應部分 621. 起泡器 622. 第三反應氣體MFC D 區間 Η 加熱器 TV 節流閥 VI - V35 閥 W 晶圓 較佳實施例之詳細說明 圖1顯示一原子層沈積(ALD)薄膜沈積裝置,其可 於一晶圓上沈積出一 TiN或TaN薄膜。現將以說明TiN 薄膜沈積做爲範例。爲構成一 TiN薄膜,可利用TiCl4 做爲第一反應氣體,NH3做爲第二反應氣體,而Ar做爲 惰性氣體。 現參考圖1,一 ALD薄膜沈積裝置包括一用以承載 一晶圓並於該晶圓上沈積出一薄膜的反應器100, 一氣 體簇叢(gas jungle,本詞係由本發明作者所創用,俾以 描述諸多複雜連接的氣體線路)以供應反應氣體給該反應 器100 ’以及一用以將該反應器1〇〇內氣體排放到外面 的排放線路400。 ___10_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) " "" ---------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 531796 A7 五、發明說明(各) 圖2爲圖1之ALD薄膜沈積裝置的反應器分解立體 圖。圖3則爲圖2之反應器的分解立體圖’其中一蓮蓬 頭平板係與一擴散平板隔開。圖4爲圖2之反應器的剖 視圖,而圖5爲圖4之反應器第一混合單元的放大剖視 圖。 現參考圖2、3、4和5,該反應器100包括一反應 區塊110,其上置放有一晶圓、一利用絞鏈128和129 而耦接於該反應區塊11〇之蓮蓬頭平板120、一安裝於 蓮蓬頭平板120上而用以噴灑一反應氣體及/或惰性氣體 的擴散平板130,以及一安裝於該反應區塊11〇內而其 上載有一晶圓的晶圓區塊140。 第一與第二連接線路121和122係安裝於該蓮蓬頭 平板12〇上,並連接到待於後文詳述之第一與第二連接 管道111和112。 該第一與第二連接管道U1和112係安裝於該反應 區塊110之上,並透過一連接部分113而分別連接到第 一與第二連接線路121和122。在該連接部分113上安 裝有一 〇型環113a,並將第一與第二連接管道ln和 112連接到第一與第二連接線路12ι和122,令彼等當 該蓮蓬頭平板120覆蓋住該反應區塊no時可確爲密封 者。轉5亥蓮蓬頭平板12Q並與該反應區塊11〇分離 時,該第一與第二連接管道111和112與該第一與第二 連接線路121和122分離。 至少會在該反應區塊110的底部按彼此對稱方式構 ___jll__ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297 ---- (請先閲讀背面之注意事項再填寫本頁)
I · I I I n n n 一-OJ· ϋ I n ϋ ϋ I ϋ fli n n n n n ϋ ϋ ϋ ϋ n n ϋ n .^1 n n n n ϋ I 531796 A7 ___B7__ 五、發明說明(y ) 成兩個排放孔洞117和118,以排放引入之惰性氣體及/ 或反應氣體。一主0型環114係安裝於該反應區塊11〇 的上表面上,使得當該蓮蓬頭平板120覆蓋住時,該反 應區塊110與該蓮蓬頭平板120可安全地密封。 該蓮蓬頭平板120可覆蓋住該反應區塊11〇,俾以 於該反應區塊110內穩定地維持一預定壓力。而且,該 蓮蓬頭平板、120可覆蓋住該反應區塊110,使得該擴散 平板130會置放在該反應區塊110內。 該擴散平板130於薄膜沈積製程的過程中噴灑氣體 ,其具有諸多連接於該第一連接線路121的噴灑孔洞 131,俾以噴灑第一反應氣體及/或惰性氣體於該晶圓W 上;以及連接到通道132(其通往該第二連接線路122)且 面向該反應區塊110內表面的諸多噴嘴133,以噴灑第 二反應氣體及/或惰性氣體於該晶圓W邊緣處。 如圖4與5所示,該擴散平板130內側的中央處構 成一第一混合部分134,俾以均勻地混合第一反應氣體 和惰性氣體,並將該混合氣體流送到該噴灑孔洞131。 流經該連接線路121的第一反應氣體和惰性氣體會被漩 流且混合,然後再透過所有的噴灑孔洞131而擴散且均 勻地噴灑到該晶圓上。 如圖3和5所示,諸噴灑孔洞131不會構成在低於 該擴散平板130內的第一混合部分134處。最好,具有 噴灑孔洞131之擴散平板130內整個區域會大於該晶圓 W的區域爲佳,藉以將氣體均勻地噴灑到該晶圓的整個 _12___ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公" (請先閱讀背面之注意事項再填寫本頁) -I I If n n n n^OJ0 ϋ I n ϋ I ϋ ϋ I n ΛΜ9 n n n n I n ϋ n ϋ n n n ϋ n -ϋ ϋ 1 531796 A7 __B7__ 五、發明說明) 表面上。 最好,諸噴灑孔洞131的直徑係於1mm到2.5 mm 之間爲佳。該直徑値係由各項實驗所得,可於該晶圓w 上產生極佳的薄膜。同時,依其直徑而定,該噴灑孔洞 131的數目可約爲100到1000。在本實施例中,構成有 超過160個噴灑孔洞。該噴灑孔洞131之間的擴散平板 130剖視圖具有上下顛倒的T型,如此將從晶圓區塊 140而來的熱能平穩地傳送到該蓮蓬頭平板120處,俾 以防止該擴散平板130出現過熱現象。 噴嘴133通往諸通道132,而後者係按放射離外於 第二混合部分135之方式所構成,噴嘴133並且係傾斜 朝向該反應區塊110內側表面,如圖4所示者。最好, 存有30 - 100個噴嘴133爲佳。在本實施例中,構成有 48個噴嘴。 用以將第二反應氣體及惰性氣體均勻混合的第二混 合部分135係構成於該第二連接線路122與該蓮蓬頭平 板120之間,如圖4所示。該第二混合部分135具有一 結構,其內可穿透於分隔135a而構成一孔洞135b。 該晶圓區塊140(其上座置有晶圓W)係安裝於該反 應區塊110內。加熱器Η係安裝於該晶圓區塊140中, 俾以於沈積過程裡加熱和維持該晶圓區塊140於一預定 溫度。 該擴散平板130和該晶圓區塊140間的區間(D)可爲 20mm到50mm的範圍內。現參考圖6,此爲在沈積出— _η____ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
I · n n n n n n ^-OJ· n I ϋ n n ϋ I I ϋ an ϋ n n ϋ ϋ I B.1 I n n ϋ ϋ n n ϋ ϋ II ϋ ϋ I 531796 A7 __B7____ 五、發明說明(八) 薄膜的過程裡,該區間(D)與比電阻之關係圖,可觀察到 當該擴散平板130和該晶圓區塊140間的區間(D)爲 30mm時,該比電阻係爲最低値。然而,在其他的條件 下’例如第一與第二反應氣體的種類和數量、該晶圓區 塊的溫度或類似者出現變化時,範圍約20mm到50mm 的區間D處之比電阻値會變低,並且可得到該區間d係 一項構成極佳薄膜中重要的結構性質之結論。 位於該範圍內的區間和傳統化學氣相沈積(CVD)反 應器加以比較,其中位在一反應氣體所噴灑的擴散平板 與晶圓座置於上的晶圓區塊兩者間之區間,會約爲50到 100mm。在本發明中,由於該區間D小於先前技藝者, 故會按來自於該等噴灑孔洞131之第一反應氣體及/或惰 性氣體的壓力,而於該晶圓W上構成出密集之第一反應 氣體層。該第一反應氣體層會與稍後流動之第二反應氣 體發生作用,以構成出具有較高純度和極佳電氣特性的 薄膜。 一抽取擋板150係安裝於該晶圓區塊140周圍。該 抽取擋板150是由裝置於該晶圓區塊140邊側之邊牆 150a以及底牆150b所組成,而對稱孔洞150c係穿透於 該底牆150b而構成的。一甜甜圈狀而連接於一排放線 路的抽取集器115構成於該抽取擋板150的底牆150b 之下,也就是該反應區塊110的底部上。 該抽取擋板150的邊牆150a以及底牆150b可提供 一個空間,供噴灑於該反應區塊110的內側表面上之第 ___ 14_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) - I n n I n mmmt emamm 一-OJI n n n l ϋ n .1 I ϋ 1 ϋ· ϋ n I ϋ ϋ« ϋ ϋ n n n n n n ϋ ϋ ϋ n ϋ n 一 531796 A7 ________B7__ rnmi I 丨 ii —"ι_·丨· 五、發明說明(iX) 二反應氣體及/或惰性氣體可更均勻地與該晶圓w上的 第一反應氣體層發生反應。在薄膜沈積作業過程中所產 生的製程產品和薄膜沈積作業過程中的未用氣體,自該 孔洞150c滑出。這些氣體會流經排放孔洞ln和U8, 並且透過該抽取集器115而得以排放。 當沈積出一薄膜時,反應器內的壓力必須要維持在 1到10 torr之間。爲觀察並控制這個壓力,必須於該反 應器內安裝一壓力測量部分160。 該反應器1〇〇具有構成於內側及外側的加熱器(H), 藉以當沈積薄膜時加熱該反應器。在本實施例裡,當沈 積TiN薄膜時,該反應區塊110的內側表面溫度必須要 保持在約120到200。(:間,而該擴散平板130的溫度則 必須要保持在約150到260〇c間。同時,該晶圓區塊 140的溫度必須要保持在約425到650°C間,而該抽取 擋板150的溫度必須要保持在約15〇到23〇。〇間。該反 應器100與傳送模組102(用以供應和傳送晶圓W)之間 的桶閥101之溫度必須要保持在約14〇到170。〇。 在該反應器100內,在傳送通過該晶圓傳送孔洞 Π6的晶圓W係座置於該晶圓區塊14〇上且被加熱到一 預定溫度的狀態下,一第一反應氣體及/或惰性氣體會透 過該擴散平板130的噴灑孔洞ι31,沿著從第一連接管 道111到第一連接線路121的路徑,而被噴灑於該晶圓 W上,而一第二反應氣體及/或惰性氣體會透過噴嘴U3 ,沿著從第二連接管道112到第二連接線路122和到通 ____15 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公爱) ---------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 531796 A7 —____B7__ 五、發明說明(〇 ) 道132的路徑,而被噴灑於該晶圓W的邊緣處。該些第 一與第二反應氣體係用以於該晶圓W上構成薄膜,而薄 膜沈積中的製程產品或未用氣體則會經排放孔洞117和 118與該抽取集器115而排放至外面。 如圖1所示,氣體簇叢包括了用以供應一反應氣體 給反應器100的第一反應氣體供應部分210,以及用以 供應一第二反應氣體給反應器100的第二反應氣體供應 部分230。 該第一反應氣體供應部分210係經由一第一反應氣 體供應線路220連接到該反應器1〇〇,而該第二反應氣 體供應部分230係經由一第二反應氣體供應線路240連 接到該反應器100。 一第一惰性氣體供應線路260(從惰性氣體供應來源 250所供應的惰性氣體可經此而流動)乃連接到該第一反 應氣體供應線路220, 一第二惰性氣體供應線路270(從 惰性氣體供應來源250所供應的惰性氣體可經此而流動) 乃連接到該第二反應氣體供應線路240。 該第一反應氣體供應部分210包括一用以氣化第一 反應材料的起泡器211、一用以控制從該起泡器211所 送出之第一反應氣體流量的第一反應氣體質流控制器 (MFC) 212,以及安裝於起泡器211與該第一反應氣體 MFC 212間之線路上而爲允許或阻斷該第一反應氣體流 動的第一與第二閥VI和V2。 在第一反應氣體供應線路220上安裝一用以允許或 16______ 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公f (請先閱讀背面之注意事項再填寫本頁)
- · I n l_i n n n n^OJt n ϋ ϋ n n ϋ I n ·1 n n· ϋ ϋ I ϋ ϋ ϋ n ϋ ϋ ·ϋ ϋ n ϋ ^1 ·1 I 531796 A7 __B7__— 五、發明說明(火) 阻斷由該第一反應氣體MFC 212所控制之第一反應氣體 流動的第三閥V3。 該第二反應氣體供應部分230包括用以允許或阻斷 該第二反應氣體流動的第四閥V4,以及用來控制流經該 第四閥V4之第二反應氣體流動的第二反應氣體MFC 232。在第二反應氣體供應線路240上安裝一用以允許 或阻斷由該第二反應氣體MFC 232所控制之第二反應氣 體流動的第五閥V5。 在第一惰性氣體供應線路260上安裝一用以允許或 阻斷所供之惰性氣體的第六閥V6、一用以控制流經該第 六閥V6之第一惰性氣體的MFC 262,和一用以允許或 阻斷由該第一惰性氣體的MFC 262所控制之惰性氣體流 動的第七閥V7。 在第二惰性氣體供應線路270上安裝一用以允許或 阻斷所供之惰性氣體的第八閥V8、一用以控制流經該第 八閥V8之第二惰性氣體的MFC 272,和一用以允許或 阻斷由該第二惰性氣體的MFC 272所控制之惰性氣體流 動的第九閥V9。 在此,該氣體簇叢包括一第一旁通線路280,以供 第一反應氣體及/或惰性氣體直接流到該排放線路400而 無需經過該反應器100,以及包括一第二旁通線路290 ,以供第二反應氣體及/或惰性氣體直接流到該排放線路 400而無需經過該反應器100。 該第一旁通線路280具有一連接到該第一反應氣體 ___ 17_____ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ' ' — ----------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 531796 A7 p-_____ —_R7 ___;___ 五、發明說明(\< ) MFC 212與第三閥V3之間之線路的第十閥V10,用以 允許或阻斷第一反應氣體流向該排放線路400,以及具 有一連接到該第一惰性氣體MFC 262與第七閥V7之間 之線路的第十一閥V11,用以允許或阻斷惰性氣體流向 該排放線路400。 該第二旁通線路290具有一連接到該第二反應氣體 MFC 232與第五閥V5之間之線路的第十二閥V12,用 以允許或阻斷第二反應氣體流向該排放線路400,以及 具有一連接到該第二惰性氣體MFC 272與第九閥V9之 間之線路的第十三閥V13,用以允許或阻斷惰性氣體流 向該排放線路400。 當交換第一或第二反應氣體或惰性氣體的同時而流 入之少量氣體必須要直接地流入該排放線路4〇〇而無需 經過該反應器100時、當於線路內出現一污染源時,或 者是當更換新的氣體簇叢時,該等第一與第二旁通線路 280和290係經調適以洩除氣體簇叢中的彼等線路。 即如則述,停留在諸線路內的第一和第二反應氣體 、空氣或污染源會直接地透過第—與第二旁通線路 和290,而藉由某惰性氣體被洩除到該排放線路4〇〇, 以防止污染到該反應器100。如此,該等第一與第二旁 通線路280和290不會應用於薄膜沈積的製程中,而僅 用於特定情況。 該氣體簇叢進一步包括一另外的惰性氣體供應線路 320,以從該惰性氣體供應源31〇供應惰性氣體,藉以 _____ 18 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) " ---- ---------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 531796 A7 B7 五、發明說明('丨L) 洩除停留在諸線路內的氣體及/或污染源。該惰性氣體供 應線路320組織性地連接到該第一與第二反應氣體供應 部分210和230、第一與第二惰性氣體供應線路260和 270、第一與第二旁通線路280和290以及排放線路400 。該惰性氣體供應線路320可透過一用以允許或阻斷流 向該第一反應氣體供應部分210之惰性氣體的第十四閥 V14、一用以允許或阻斷流向該第二反應氣體供應部分 230之惰性氣體的第十五閥V15、一用以允許或阻斷流 向該第一惰性氣體供應線路260之惰性氣體的第十六閥 V16、一用以允許或阻斷流向該第二惰性氣體供應線路 270之惰性氣體的第十七閥V17、一用以允許或阻斷流 向該第一旁通線路280之惰性氣體的第十八閥V18以及 一用以允許或阻斷流向該第二旁通線路290之惰性氣體 的第十九閥V19,而連接到一製程所基本要求的諸氣體 線路。 該氣體簇叢進一步包括一連接到第一與第二反應氣 體供應線路220和240中至少一者的淸淨氣體供應線路 340,藉以淸淨該反應器100。在本實施例裡,該淸淨氣 體供應線路340可供一來自於淸淨氣體供應部分330的 淸淨氣體,經過第一反應氣體供應線路220而流往該反 應器1〇〇。 該淸淨氣體供應線路340包括一用以允許或阻斷所 供之淸淨氣體流動的第廿一閥V21、一用以控制流經該 第廿一閥V21之淸淨氣體流動的淸淨氣體MFC 342,和 19 (請先閱讀背面之注意事項再填寫本頁)
-I · I n n n I νϋ 一 δ、V ϋ n ϋ ϋ _1 ·ϋ ϋ I H 11 n n 1^- ϋ n ϋ« n ϋ n n i n ϋ n ·ϋ n -ϋ ϋ -I- I 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 531796 A7 _B7_ 五、發明說明(Vq ) 一用以允許或阻斷由該淸淨氣體MFC 342所控制之淸淨 氣體流動的第廿二閥V22。 該反應器1〇〇、第一與第二旁通線路280和290以 及該淸淨氣體供應線路340皆連接到該排放線路400。 於該排放線路400上安裝一由該反應器100內部壓力所 控制的節流閥TV以控制排放氣體量,其中該壓力値係 由壓力測量部分160所測出。亦於該排放線路400上安 裝第廿三閥V23、第廿四閥V24和第廿五閥V25,以允 許或阻斷排放氣體流動。在此,該第一旁通線路280連 接到第廿三和第廿四閥V23和V24之間的線路,而該第 二旁通線路290則連接到第廿五閥V25和排放幫浦410 之間的線路。 在本氣體簇叢中,可能會因反應氣體流動而出現所 不希望的凝結現象致構成一冷點。由於冷點會負面地影 響到薄膜沈積製程,因此會在線路上安裝一加熱器(未以 圖示)以防止產生冷點。最好,諸加熱器可沿著線路上儘 量依區域而獨立地增裝,並沿著各個線路構成溫度梯度 。在本實施例裡,該溫度梯度係按朝向該反應器100而 建爲於40到200°C的範圍內。 在一具有該款結構之ALD薄膜沈積裝置的第一實施 例運作中,係採用TiCl4做爲第一反應氣體,NH3做爲 第二反應氣體,而Ar爲惰性氣體。如此,起泡器211 內會含有液態的TiCl4。 該反應器1〇〇合倂有一傳送模組102以透過一桶閥 __20_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
一^^ · ϋ ϋ n I n I ϋ I ϋ n n n n n I n ϋ ϋ I n n n ϋ n Bn n — ϋ n I 531796 A7 _B7_ 五、發明說明(丨么) (請先閱讀背面之注意事項再填寫本頁) 101供應並傳送該晶圓W,如圖7所示者。藉該傳送模 組102之機械臂(未以圖示)而將該晶圓W透過晶圓傳送 孔洞116傳送到該反應器100內,並將其座置於晶圓區 塊140上。 當該晶圓W座置於晶圓區塊140上時,該晶圓區塊 140的溫度增加到425到650°C的範圍內,使得該晶圓 W的溫度達到400到600°C的範圍。在溫度穩定後,即 開始執行將氣體引入該反應器100內的步驟。 該氣體引入步驟起始於開啓該第一閥VI、第六閥 V6、該第八閥V8與該第四閥V4並維持數秒。然後將 氣泡化之TiCl4氣體塡充到達該第二閥V2,並且在Ar 氣的量由該第一與第二惰性氣體MFC 262和272適當地 加以控制後,即將其塡充到達該第七與第九閥V7和V9 。而在NH3氣體的量由該第二反應氣體MFC 232適當地 加以控制後,即將其塡充到達該第五閥V5。 接下來,一惰性氣體會透過第七與第九閥V7和V9 而流入該反應器100內。在引入氣體之前,該反應器 1〇〇的內部壓力會保持在1〇_4〜5χ1(Γ3 Τοιτ之間。然而, 當引入惰性氣體後,該反應器100的內部壓力會保持在 1到10 Torr之間。讓安裝於該反應器100內的壓力測量 部分160適當地開啓該排放線路400的節流閥TV來獲 得這個壓力。在此,於開啓該第六與第八閥V6和V8之 後再開啓該第七與第九閥V7和V9的理由是,如突然開 啓該第七與第九閥V7和V9,則該反應器100內的氣體 _21_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 531796 A7 B7 —-- — 五、發明說明(<) 可能會經由該第七及第九閥V7和V9而回流。 該氣體引入步驟之後爲防止薄膜沈積過程中產生畢貝 粒的步驟。薄膜沈積過程中產生顆粒會劣化薄膜品質, 所以防止產生顆粒的步驟極爲重要。爲執行本步驟,在 TiCl4氣體流入該反應器1〇〇之前先開啓第五閥V5至少 數秒,而同時將Ar氣連續流入該反應器1〇〇內,然後 再將NH3引入該反應器100。 如果在引入NH3氣體前先將TiCU氣體引入該反應 器100,則部分的TiCl4氣體會發生反應於擴散平板13〇 的表面,而這會產生許多顆粒副產品。此時,按如上方 式執行顆粒防止步驟。這些顆粒可爲沈積在該擴散平板 130或是該擴散平板130之鋁質材料上的極細TiNxCly^ 顆粒。因此,爲防止在該擴散平板Π〇的表面上產生賴 粒,要在將TiCl4氣體引入前先引入NH3氣體數秒,使 得在該擴散平板13〇的表面上可產生一 NH3層。該擴散 平板130上的NH3層與真正的薄膜沈積過程間所引入的 TiCl4氣體反應,並且防止該TiCl4氣體在該擴散平板 130的表面上產生顆粒。 在此係藉由以下原理來防止產生細微顆粒:TiCl4^ 體與先前構成於該擴散平板130的表面上之NH3層發生 反應,並因而改變爲後述之HC1蒸氣,所以該TiCl4氣 體不會和該擴散平板130的表面反應或是同時地蝕刻該 表面。該蒸氣副產品可立即地從排放線路400排除到外 面。該反應器100內所發生的一系列反應可按如下的化 22___ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公Ϊ ) ----------------------訂---------線 f請先閱讀背面之>i意事項再填寫本頁) 531796 A7 _B7_ 五、發明說明(#) 學式表之: 2HN3+TiCl4 -> TiN(s)+4HCl(g)+H2(g)+0.5N2(g) 在顆粒產生防止步驟之後,即可藉控制TiCl4氣體 和NH3氣體流入該反應器100,而真實地在晶圓W上沈 積出一 TiN薄膜。 藉由將TiCl4氣體和NH3氣體交替引入該反應器 1〇〇來執行薄膜沈積。至於何者爲先則無關緊要。例如 ,如先引入TiCl4氣體時,會先在第一步驟中將該TiCl4 氣體與Ar氣體引入該反應器100內。經一預定時間後 ,將該TiCl4氣體排出。如此即在晶圓W上構成一 TiCl4 薄膜,並且會被連續引入的Ar氣所壓縮。 然後在第二步驟中,將NH3氣體和Ar氣體倂同引 入。NH3氣體的供應會被阻滯達一預定時間。該NH3氣 體會與先前在晶圓W上所形成的TiCl4層反應,藉此在 晶圓W上產生一 TiN薄膜。亦即,可按連續第一和第二 步驟而形成TiN + NH3層。 接著,再次執行該第一步驟以連續地於該TiN + NH3層上生長薄膜。然後,該TiN + NH3層會改變爲 TiN + TiN + TiCl4層。之後,再次執行該第二步驟以構 成一 TiN + TiN + TiN + NH3層。可重覆本項程序而獲得 所欲之TiN薄膜厚度。 藉由交替地開啓與關閉該第三與第五閥V3和V5並 維持該第一與第四閥VI和V4總是爲開啓狀態,而同時 藉由開啓第六、第七、第八與第九閥V6、V7、V8和V9 ____23_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ·1111111 ^ ·111111--_ 531796 A7 __B7____ 五、發明說明(yi) 以連續地將Ar氣體引入該反應器100內,來執行該TiN 薄膜沈積程序。 在此,於開啓該第三閥V3之前會先開啓該第二閥 V2,所以TiCl4氣體會流經該第一反應氣體MFC 212並 塡充至該第三閥V3。之後,當開啓該第三閥V3而將該 第一反應氣體送到該反應器100後,該第二閥V2即行 關閉。換言之,第一反應氣體係按閥單位而通過該第一 反應氣體供應線路220。在反應過程中所產生的副產品 ,會透過排放線路400的節流閥TV以及第廿三閥、第 廿四閥和第廿五閥V23、V24和V25而排放。 爲總結上述反應,TiCl4氣體在受第一與第二閥VI 和V2控制後,經由該第三閥V3而流至該第一反應氣體 供應線路220,並且Ar流量受控而通過該第七閥V7, 在該第一反應氣體供應線路220上與該TiCl4氣體相混 合,並流至該反應器100。 之後,Ar與TiCl4的混合物通過第一連接管道111 與第一連接線路121,並再次地於第一混合部分134內 被均勻地混合,而透過噴灑孔洞131均勻地噴灑到晶圓 W上。經由該第四閥V4而控制NH3反應氣體流動,並 接著透過該第五閥V5而流到第二反應氣體供應線路240 。控制Ar氣體流量,經該第九閥V9,在第二反應氣體 供應線路240上與NH3氣體混合,然後流至該反應器 100。接下來,NH3與Ar的混合物通過第二連接管道 112與第二連接線路122,並再次地於第二混合部分135 ___24____ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 531796 A7 _B7_ 五、發明說明( 內被均勻地混合,而透過噴嘴133均勻地噴灑朝向該反 應區塊110的內側邊牆。 在此,最好是該TiCl4氣體流量爲1 SCCM或更多 ,待與TiCl4氣體相混合的Ar氣體流量爲50 SCCM或 更多,該NH3氣體流量爲50 SCCM或更多,而待與 NH3氣體相混合的Ar氣體流量爲60 SCCM或更多可屬 較佳。這些數値係經數項實驗所獲得。當流率爲至少如 上所述者時,可獲得具有高純度、極佳電氣特性和良好 梯級覆蓋的薄膜。 在本實施例中,在排出該TiCl4氣體後引入該NH3 氣體至少一秒鐘。 並且,將該TiCl4氣體與惰性氣體引入該反應器100 內的時段,以及將該NH3氣體引入該反應器100前排除 該TiCl4氣體的時段,這兩者的時段比例爲1到1.2或更 高。 經第一惰性氣體供應線路260所引入的惰性氣體相 對於經第二惰性氣體供應線路270所引入的惰性氣體兩 者的流量比,乃設定爲1到1.2或更高,藉此防止極易 擴散之TiCl4氣體透過該第二反應氣體供應線路240而 回流。 藉由對該反應器1〇〇接續地噴灑氣體來完成這項薄 膜沈積作業,並透過壓力測量部分與諸閥(包括節流閥) 之間適當的信號交換和控制,將該反應器的處理壓力維 持爲固定。 __25_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂---------線· 531796 A7 _B7__ 五、發明說明(β ) 當一 TiN薄膜沈積於晶圓上時,該薄膜或將含有Cl 。由於Cl會劣化薄膜的純度和電氣特性,故Cl移除步 驟也很重要。執行該C1移除步驟爲關閉該第三閥V3以 防止引入該TiCl4氣體,然後開啓第六與第七閥V6和 V7、第八與第九閥V8和V9以及第四與第五閥V4和 V5。亦即,僅供應Ar氣體和NH3氣體到該反應器100 。然後,NH3氣體與構成於晶圓上之TiN薄膜內的C1反 應,藉此產生HC1。將該HC1排除到外部。但當薄膜內 的C1含量夠低時,則可省略該C1移除步驟。
即使是當利用含有Ta的化合氣體來做爲第一反應 氣體,而例如像是NH3氣體的含N氣體做爲第二反應氣 體時,也仍可藉由前述方法在晶圓上構成一 TaN薄膜。 現參酌於圖8以說明根據本發明第二實施例之ALD 薄膜沈積裝置。在此,如圖1相同之編號意指相同元件 〇 相對於第一實施例,其中TiN或TaN薄膜沈積在晶 圓上,於第二實施例則係可沈積出WN薄膜。爲完成本 第二實施例,該第一實施例內的第一反應氣體供應部分 210被更換成第一反應氣體供應部分510。該第一反應 氣體供應部分510包括一用以允許或阻斷該第一反應氣 體流動的第卅一閥V31,以及一用以控制流經該第卅一 閥V31之第一反應氣體流量的第一反應氣體MFC 512。. 該第一反應氣體供應部分510係連接到該第三閥V3。 WF6氣體用來做爲第一反應氣體材料,一種含有N的化 _26_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 531796 A7 __B7____ 五、發明說明〇4) 合物,如hn3氣體,做爲第二反應氣體材料,而A]r氣 體做爲惰性氣體。 藉由交替地將HN3氣體與^\^6氣體引入反應器100 來執行WN薄膜的沈積作業。例如,在第一步驟裡,當 WF6氣體先被引入時,可倂同引入Ar氣體,然後將WF6 氣體排除達某預疋時間。接者’於晶圓上形成一* WF6層 ,並由連續引入之Ar氣體予以壓縮。在第二步驟裡, 一起引入HN3氣體和Ar氣體,並且停止HN3氣體達某 預定時間。該HN3氣體會和晶圓上的WF6層作用,藉此 於晶圓上形成一 WN薄膜。即以接續的第一和第二步驟 構成一 WN+NH3層。 接著,再次進行第一步驟以連續地於該WN+NH3層 上成長出薄膜。然後,該WN+NH3層會變化爲 WN+WN+WF6層。之後,進行第二步驟以構成一 WN+WN+WN+NH3層。因此,可重覆本項程序而獲得所 欲之WN薄膜厚度。
現參酌於圖9以說明根據本發明第三實施例之ALD 薄膜沈積裝置。在此,如圖1相同之編號意指相同元件 〇 相對於第一實施例,其中TiN或TaN薄膜沈積在晶 圓上,於第三實施例則係可沈積出Ti或TiAIN薄膜以及 TiN或TaN薄膜。爲完成本第三實施例,本第三實施例 內更包括一用以供應第三反應氣體一三甲基鋁 TriMethylAluminum (TMA)至該第二反應氣體供應線路 27____ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------^------I--^ i^w. (請先閱讀背面之注意事項再填寫本頁) 531796 A7 _ B7_ 五、發明說明(/ ) 240的第三反應氣體供應部分620,以及一用以供應第 四反應氣體H2至該第二反應氣體供應線路240的第四反 應氣體供應部分610。 該第四反應氣體供應部分610包括一用以允許或阻 斷該H2供應流的第卅二閥V32、一用以控制流經該第册 二閥V32之H2流量的第四反應氣體MFC 612,以及一 用以允許或阻斷由該第四反應氣體MFC 612所控制之 比流動的第卅三閥V33。 該第三反應氣體供應部分620包括一用以氣化一第 三反應材料的起泡器621、一用以控制第三反應氣體流 量的第三反應氣體MFC 622、安裝於該起泡器621與該 第三反應氣體MFC 622間之線路上而允許或阻斷該第三 反應氣體流動的第卅四閥V34,和一用以允許或阻斷由 該第三反應氣體MFC 622所控制之第三反應氣體流至該 第二反應氣體供應線路240的第卅五閥V35。 在本結構裡,含有傳送金屬元素Ti或Ta的化合氣 體做爲第一反應氣體,Ar氣體做爲惰性氣體,TMA氣 體做爲第三反應氣體,而H2氣體則做爲第四反應氣體。 因本薄膜沈積裝置第三實施例之組態幾乎如第一實 施例者,故在此不予詳述。 在上述所有的實施例中,含有Ti、Ta或W之傳送 金屬元素的TiCl4氣體或是化合氣體乃用來做爲第一反 應氣體。然而,其他氣體也可用來做爲第一反應氣體。 其他的氣體包括像是He或N2,而非Ar氣體,可做爲惰 28 (請先閱讀背面之注意事項再填寫本頁)
I ϋ n n n n^OJ« n n ϋ n ϋ I n I in ϋ n n ·!· 1 ϋ ϋ n I n n l ϋ n ϋ ϋ n n I 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 531796 . A7 _B7_ 五、發明說明(yV) 性氣體。並且,除NH3以外,其他包括N的化合氣體可 用來做爲第二反應氣體。 (請先閱讀背面之注意事項再填寫本頁) 在根據本發明之ALD薄膜沈積裝置第一、第二和第 三實施例中,即如第一與第二反應氣體在薄膜沈積製程 裡扮演主要角色般,第一反應氣體和惰性氣體的混合物 會被噴灑到晶圓上,而HN3氣體和惰性氣體的混合物則 會朝向反應器區塊內部邊牆而噴灑。擴散平板與將晶圓 區塊間的間隔窄到約20到50 mm,所以許多反應氣體會 彼此反應,同時依序地被積壓於晶圓上。因此,即可獲 得具有高純度、極佳電氣特性和良好梯級覆蓋的Ti、 TiAIN、TiN、TaN 或 WN 薄膜。 並且,因在噴灑第一反應氣體之前,HN3氣體會先 被噴灑至反應器數秒,所以可防止產生顆粒。 此外,因在完成薄膜沈積後或於沈積過程中會將 HN3氣體噴灑至反應器100,所以可移除掉存在於薄膜 內的C1。如此,可改進薄膜的電氣特性。 29 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. A8 B8 C8 D8 申請專利範圍 1. 一種原子層沈積(ALD)薄膜沈積裝置,包括了: (請先閱讀背面之注意事項再填寫本頁) 一晶圓安置其中以及將一薄膜沈積於該晶圓上的反 應器; 一用以供應一第一反應氣體給該反應器的第一反應 氣體供應部分; 一用以供應一第二反應氣體給該反應器的第二反應 氣體供應部分; 一用以連接該第一反應氣體供應部分至該反應器的 第一反應氣體供應線路; 一用以連接該第二反應氣體供應部分至該反應器的 第二反應氣體供應線路; 一用以從惰性氣體供應來源供應一惰性氣體給該第 一反應氣體供應線路的第一惰性氣體供應線路; 一用以從惰性氣體供應來源供應一惰性氣體給該第 二反應氣體供應線路的第二惰性氣體供應線路;以及* 一用以將該反應器內氣體排放的排放線路。 2. 如申請專利範圍第1項之ALD薄膜沈積裝置,其 中該第一反應氣體供應部分包括: 一用以氣化一第一反應材料以構成第一反應氣體的 起泡器; 一用以控制從該起泡器所供應之第一反應氣體流量 的第一反應氣體質流控制器;以及 一安裝於該起泡器與該第一反應氣體質流控制器間 之線路上而允許或阻斷該第一反應氣體流動的第一閥。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 531796 0988 95 ABCD 六、申請專利範圍 (請先閲讀背面之注意事項再塡寫本頁) 3. 如申請專利範圍第2項之ALD薄膜沈積裝置,其 更包括一安裝在第一反應氣體供應線路上而允許或阻斷 由該第一反應氣體質流控制器所控制之第一反應氣體流 動的第三閥。 4. 如申請專利範圍第1項之ALD薄膜沈積裝置,其 中該第二反應氣體供應部分包括: 一用以允許或阻斷該第二反應氣體流動的第四閥, 以及 一用來控制流經該第四閥之第二反應氣體流動的第 二反應氣體質流控制器。 5. 如申請專利範圍第4項之ALD薄膜沈積裝置,其 更包括一安裝在第二反應氣體供應線路上而允許或阻斷 由該第二反應氣體質流控制器所控制之第二反應氣體流 動的第五閥。 6. 如申請專利範圍第1項之ALD薄膜沈積裝置,其 中該第一惰性氣體供應線路包括一用以允許或阻斷所供 應之惰性氣體流動的第六閥、一用以控制流經該第六閥 之惰性氣體流動的第一惰性氣體質流控制器,和一用以 允許或阻斷由該第一惰性氣體質流控制器所控制之惰性 氣體流動的第七閥。 7. 如申請專利範圍第1項之ALD薄膜沈積裝置,其 中該第二惰性氣體供應線路包括一用以允許或阻斷所供 應之惰性氣體流動的第八閥、一用以控制流經該第八閥 之惰性氣體流動的第二惰性氣體質流控制器,和一用以 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 531796 A8B8C8D8 六、申請專利範圍 允許或阻斷由該第二惰性氣體質流控制器所控制之惰性 氣體流動的第九閥。 8.如申請專利範圍第1項之ALD薄膜沈積裝置,其 更包括: 一第一旁通線路,以供第一反應氣體及/或惰性氣體 直接流到該排放線路而無需經過該反應器,該第一旁通 線路具有一用以允許或阻斷流向該排放線路之第一反應 氣體流動的第十閥,和一用以允許或阻斷流向該排放線 路之惰性氣體流動的第十一閥,以及 一第二旁通線路,以供第二反應氣體及/或惰性氣體 直接流到該排放線路而無需經過該反應器,該第二旁通 線路具有一用以允許或阻斷流向該排放線路之第二反應 氣體流動的第十二閥,和一用以允許或阻斷流向該排放 線路之惰性氣體流動的第十三閥。 9·如申請專利範圍第1項之ALD薄膜沈積裝置,其 更包括個別的惰性氣體供應線路,以洩除停留在諸線路 內的氣體及/或污染源,該惰性氣體供應線路組織性地連 接到該第一與第二反應氣體供應部分、第一與第二惰性 氣體供應線路、第一與第二旁通線路以及排放線路。 10·如申請專利範圍第1項之ALD薄膜沈積裝置, 其中該第一反應氣體爲TiCl4氣體或是含有Ta的化合氣 體,而第二反應氣體爲NH3。 11·如申請專利範圍第1項之ALD薄膜沈積裝置, 其中該第一反應氣體供應部分包括: _____ 3 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公复^ -------------,!..........#-.............-訂----------------線-·· (請先閲讀背面之注意事項再塡寫本頁) 531796 A8 B8 C8 D8 六、申請專利範圍 一用以允許或阻斷該第一反應氣體流動的第卅一閥 ,以及 (請先閲讀背面之注意事項再塡寫本頁) 一用來控制流經該第卅一閥之第一反應氣體流量的 第一反應氣體質流控制器。 12. 如申請專利範圍第11項之ALD薄膜沈積裝置, 其中該第一反應氣體爲WF6,而第二反應氣體爲NH3氣 體。 13. 如申請專利範圍第1項之ALD薄膜沈積裝置, 其更包括: 一用以供應第三反應氣體至該第二反應氣體供應線 路的第三反應氣體供應部分;以及 一用以供應第四反應氣體至該第二反應氣體供應線 路的第四反應氣體供應部分, 其中該第四反應氣體供應部分具有一用以允許或阻 斷該第四反應氣體流動的第卅二閥、一用以控制流經該 第卅二閥之第四氣體流量的第四反應氣體質流控制器, 以及一用以允許或阻斷由該第四反應氣體質流控制器所 控制之第四反應氣體流動的第卅三閥。 14. 如申請專利範圍第13項之ALD薄膜沈積裝置, 其中該第三反應氣體供應部分包括: 一用以氣化一第三反應材料的起泡器; 一用以控制由該起泡器供應之第三反應氣體流量的 第三反應氣體質流控制器; 安裝於該起泡器與該第三反應氣體質流控制器間之 _4_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 531796 A8 B8 C8 D8 六、申請專利範圍 線路上而允許或阻斷該第三反應氣體流動的第卅四閥; 以及 (請先閲讀背面之注意事項再填寫本頁) 一用以允許或阻斷由該第三反應氣體質流控制器所 控制之第三反應氣體流至該第二反應氣體供應線路的第 册五閥。 15. 如申請專利範圍第14項之ALD薄膜沈積裝置, 其中該第一反應氣體爲含有選自Ti、Ta和W之傳送金 屬元素的化合氣體,而第二反應氣體爲NH3。 16. 如申請專利範圍第14項之ALD薄膜沈積裝置, 其中該第三反應氣體爲三甲基鋁(TMA),而第四反應氣 體爲H2。 17. —種原子層沈積(ALD)薄膜沈積方法,其係利用 一薄膜沈積裝置,該裝置包含:一晶圓安置其中並將薄 膜沈積於該晶圓上之反應器,一用以供應一第一反應氣 體給該反應器的第一反應氣體供應部分,一用以連接該 第一反應氣體供應部分至該反應器的第一反應氣體供應 線路,一用以供應一第二反應氣體給該反應器的第二反 應氣體供應部分,一用以連接該第二反應氣體供應部分 至該反應器的第二反應氣體供應線路,一用以供應一惰 性氣體(已控制其流量)給該第一反應氣體供應線路的第 一惰性氣體供應線路,一用以供應一惰性氣體(已控制其 流量)給該第二反應氣體供應線路的第二惰性氣體供應線 路,以及一用以將該反應器內氣體排放到外面的排放線 路,該方法包括: _5_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 531796 L88895 ABCD 六、申請專利範圍 將第一反應氣體與惰性氣體混合而成第一混合氣體 j (請先閲讀背面之注意事項再填寫本頁) 將該第一混合氣體供應給承載於反應器內之晶圓的 上表面; 將第二反應氣體與惰性氣體混合而成第二混合氣體 ;以及 將該第二混合氣體供應給該晶圓的邊側。 18. 如申請專利範圍第17項之方法,更包含在以含 有C1之化合氣體當做爲第一反應氣體而NH3氣體爲第 二反應氣體時,將該第一混合氣體供應至該反應器之前 ,先將NH3氣體引入該反應器內數秒,俾以防止於薄膜 沈積過程中產生顆粒。 19. 如申請專利範圍第17項之方法,更包含在供應 該第一混合氣體之後供應無第一反應氣體之惰性氣體達 一個預定時間,以及在供應該第二混合氣體之後供應無 第二反應氣體之惰性氣體達一個預定時間。 20. 如申請專利範圍第19項之方法,其中該第一反 應氣體包含選自Ti、Ta和W之傳送金屬元素的化合氣 體,第二反應氣體爲NH3氣體,薄膜沈積過程中的晶圓 溫度維持在400到600°C,而連接該反應器之諸線路的 溫度則維持在40到200°C。 21. 如申請專利範圍第20項之方法,其中該第一反 應氣體流動率控制爲1 SCCM或更多,待與第一反應氣 體相混合的惰性氣體流動率控制爲50 SCCM或更多,該 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 531796 A8 B8 C8 D8 六、申請專利範圍 (請先閲讀背面之注意事項再塡寫本頁) NH3氣體流動率控制爲50 SCCM或更多,而待與NHjg 混合的惰性氣體流動率控制爲60 SCCM或更多。 22. 如申請專利範圍第17項之方法,更包含當利用 含有C1之化合氣體做爲第一反應氣體時,引入惰性氣體 和該NH3氣體於該反應器內,俾以從沈積於晶圓上的薄 膜中移除C1。 23. 如申請專利範圍第21項之方法,其中當該第一 與第二反應氣體及/或惰性氣體被引入反應器內時,該反 應器的內部壓力爲1到1〇 Τοιτ。 24. 如申請專利範圍第19項之方法,其中TiCl4氣體 做爲第一反應氣體,而NH3氣體爲第二反應氣體,在供 應無第一反應氣體之惰性氣體後而於供應該第二混合氣 體前,先供應該NH3氣體至少一秒鐘。 25. 如申請專利範圍第24項之方法,其中供應該第 一混合氣體的時間長度,和在供應NH3氣體之前供應無 該TiCl4氣體之惰性氣體的時間長度,兩者比例爲1到 1.2或更高。 26. 如申請專利範圍第25項之方法,其中混以第一 反應氣體之惰性氣體的流量,比上混以第二反應氣體之 惰性氣體的流量,兩者比例被設定爲1到1.2或更高。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
TW090111464A 2000-06-24 2001-05-14 Apparatus and method for depositing thin film on wafer using atomic layer deposition TW531796B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020000035100A KR100332313B1 (ko) 2000-06-24 2000-06-24 Ald 박막증착장치 및 증착방법

Publications (1)

Publication Number Publication Date
TW531796B true TW531796B (en) 2003-05-11

Family

ID=19673714

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090111464A TW531796B (en) 2000-06-24 2001-05-14 Apparatus and method for depositing thin film on wafer using atomic layer deposition

Country Status (8)

Country Link
US (2) US6579372B2 (zh)
EP (1) EP1167569B1 (zh)
JP (1) JP3631984B2 (zh)
KR (1) KR100332313B1 (zh)
AT (1) ATE367458T1 (zh)
DE (1) DE60129380T2 (zh)
SG (1) SG90250A1 (zh)
TW (1) TW531796B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103343329A (zh) * 2013-07-25 2013-10-09 中国科学院半导体研究所 一种碳化硅薄膜生长设备及其生长方法

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
US7829144B2 (en) * 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
JPH11195621A (ja) * 1997-11-05 1999-07-21 Tokyo Electron Ltd バリアメタル、その形成方法、ゲート電極及びその形成方法
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
KR100399067B1 (ko) * 2000-12-30 2003-09-26 주식회사 하이닉스반도체 원자층 증착 장치
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7378127B2 (en) 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US6697079B2 (en) * 2001-03-15 2004-02-24 Apple Computer, Inc. Color palette providing cross-platform consistency
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6821378B1 (en) * 2001-05-25 2004-11-23 Lam Research Corporation Pump baffle and screen to improve etch uniformity
US20060011135A1 (en) * 2001-07-06 2006-01-19 Dmitriev Vladimir A HVPE apparatus for simultaneously producing multiple wafers during a single epitaxial growth run
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
TW581822B (en) * 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
KR100434493B1 (ko) * 2001-10-05 2004-06-05 삼성전자주식회사 원자층 증착 장치 및 그 구동 방법
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) * 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
JP4071968B2 (ja) * 2002-01-17 2008-04-02 東芝三菱電機産業システム株式会社 ガス供給システム及びガス供給方法
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
DE10208450B4 (de) * 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7220312B2 (en) * 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
JP3828821B2 (ja) * 2002-03-13 2006-10-04 株式会社堀場エステック 液体材料気化供給装置
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
US20050121143A1 (en) * 2002-05-23 2005-06-09 Lam Research Corporation Pump baffle and screen to improve etch uniformity
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100474972B1 (ko) * 2002-06-07 2005-03-10 주식회사 아이피에스 알루미늄 화합물을 이용한 박막증착방법
TWI278532B (en) * 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6924235B2 (en) * 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP4204840B2 (ja) * 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
US6916374B2 (en) * 2002-10-08 2005-07-12 Micron Technology, Inc. Atomic layer deposition methods and atomic layer deposition tools
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
KR100496903B1 (ko) * 2002-10-12 2005-06-28 주식회사 아이피에스 Ald 박막증착장치 및 그를 이용한 박막증착방법
KR100496906B1 (ko) * 2002-10-21 2005-06-28 주식회사 아이피에스 Ald 박막증착장치
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US20040178175A1 (en) * 2003-03-12 2004-09-16 Pellin Michael J. Atomic layer deposition for high temperature superconductor material synthesis
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
JP4823690B2 (ja) * 2003-06-16 2011-11-24 東京エレクトロン株式会社 成膜方法および半導体装置の製造方法
JP2007523994A (ja) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7205247B2 (en) * 2003-09-30 2007-04-17 Aviza Technology, Inc. Atomic layer deposition of hafnium-based high-k dielectric
JP4235076B2 (ja) * 2003-10-08 2009-03-04 東京エレクトロン株式会社 半導体製造装置および半導体製造方法
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
JP2005322668A (ja) * 2004-05-06 2005-11-17 Renesas Technology Corp 成膜装置および成膜方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7740704B2 (en) * 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
US8202575B2 (en) * 2004-06-28 2012-06-19 Cambridge Nanotech, Inc. Vapor deposition systems and methods
JP2006022354A (ja) * 2004-07-06 2006-01-26 Tokyo Electron Ltd 成膜方法
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
CN101040371A (zh) * 2004-08-16 2007-09-19 阿维扎技术公司 用于形成多组分介电薄膜的直接液体注入系统和方法
KR100632037B1 (ko) * 2004-09-09 2006-10-04 동부일렉트로닉스 주식회사 화학기상증착장비의 가스분배방법
KR100555575B1 (ko) * 2004-09-22 2006-03-03 삼성전자주식회사 원자층 증착 장치 및 방법
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
EP1844178B1 (en) * 2004-12-30 2016-03-09 Msp Corporation High accuracy vapor generation and delivery for thin film deposition
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4911555B2 (ja) * 2005-04-07 2012-04-04 国立大学法人東北大学 成膜装置および成膜方法
WO2006110750A2 (en) * 2005-04-07 2006-10-19 Aviza Technology, Inc. Multilayer, multicomponent high-k films and methods for depositing the same
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
CN101448977B (zh) 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
US8603580B2 (en) * 2005-11-28 2013-12-10 Msp Corporation High stability and high capacity precursor vapor generation for thin film deposition
KR100721017B1 (ko) * 2005-12-28 2007-05-22 삼성전자주식회사 불휘발성 메모리 소자 및 그의 형성 방법
JP4782585B2 (ja) * 2006-02-28 2011-09-28 株式会社日立ハイテクノロジーズ プラズマエッチング装置及び方法
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8092695B2 (en) * 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
KR100872312B1 (ko) * 2007-05-04 2008-12-05 주식회사 디엠에스 에칭가스 제어시스템
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US20090004877A1 (en) * 2007-06-28 2009-01-01 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
JP2009076881A (ja) * 2007-08-30 2009-04-09 Tokyo Electron Ltd 処理ガス供給システム及び処理装置
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20110020187A1 (en) * 2008-03-06 2011-01-27 Toyo Tanso Co., Ltd. Surface treatment apparatus
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP4961381B2 (ja) * 2008-04-14 2012-06-27 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
KR101039382B1 (ko) * 2010-07-02 2011-06-08 (주)대성에너지오 흡입수단을 구비한 스캐너
KR101136728B1 (ko) * 2010-10-18 2012-04-20 주성엔지니어링(주) 기판처리장치와 그의 분해 및 조립방법
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
WO2013002885A1 (en) * 2011-06-30 2013-01-03 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching and programmable gas delivery
DE102011113406A1 (de) 2011-09-16 2013-03-21 Amf Gmbh Dampfquelle zur Abscheidung dünner Schichten
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
WO2014149883A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Chamber design for semiconductor processing
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
JP6017359B2 (ja) 2013-03-28 2016-10-26 東京エレクトロン株式会社 ガス供給装置の制御方法および基板処理システム
DE102013109696B3 (de) * 2013-09-05 2015-02-26 Von Ardenne Gmbh Beschichtungsverfahren und Beschichtungsvorrichtung
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
CN103882409B (zh) * 2014-03-13 2016-04-20 中国科学院半导体研究所 源输送混合比可调气路装置
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US9837254B2 (en) * 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
KR102297567B1 (ko) * 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
JP5968996B2 (ja) * 2014-12-18 2016-08-10 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
TWI548773B (zh) * 2015-10-14 2016-09-11 財團法人工業技術研究院 氣體分佈裝置
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
CN107419239A (zh) * 2017-07-28 2017-12-01 京东方科技集团股份有限公司 用于镀膜的喷头、设备和相应方法
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR20210123409A (ko) 2019-02-28 2021-10-13 램 리써치 코포레이션 측벽 세정을 사용한 이온 빔 에칭
KR20200141002A (ko) * 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
EP3786321A3 (de) * 2019-08-27 2021-03-17 Albert-Ludwigs-Universität Freiburg Verfahren und vorrichtung zur herstellung einer schicht und damit versehenes substrat
DE102020116271A1 (de) 2020-06-19 2021-12-23 Apeva Se Vorrichtung und Verfahren zum Verdampfen eines organischen Pulvers

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61163279A (ja) * 1985-01-09 1986-07-23 Nec Corp Cvd装置
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
JPS62136885A (ja) * 1985-12-11 1987-06-19 Canon Inc 光起電力素子、その製造方法及びその製造装置
US4994301A (en) * 1986-06-30 1991-02-19 Nihon Sinku Gijutsu Kabusiki Kaisha ACVD (chemical vapor deposition) method for selectively depositing metal on a substrate
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
JPH01306565A (ja) * 1988-06-02 1989-12-11 Canon Inc 堆積膜形成方法
JPH02230722A (ja) 1989-03-03 1990-09-13 Nec Corp 化合物半導体の気相成長方法
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
US5250323A (en) * 1989-10-30 1993-10-05 Kabushiki Kaisha Toshiba Chemical vapor growth apparatus having an exhaust device including trap
US5637153A (en) * 1993-04-30 1997-06-10 Tokyo Electron Limited Method of cleaning reaction tube and exhaustion piping system in heat processing apparatus
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US6004618A (en) 1994-04-26 1999-12-21 Nippondenso., Ltd. Method and apparatus for fabricating electroluminescent device
JP3667024B2 (ja) * 1996-03-08 2005-07-06 キヤノン株式会社 電子写真感光体
US5653807A (en) * 1996-03-28 1997-08-05 The United States Of America As Represented By The Secretary Of The Air Force Low temperature vapor phase epitaxial system for depositing thin layers of silicon-germanium alloy
US5993555A (en) * 1997-01-16 1999-11-30 Seh America, Inc. Apparatus and process for growing silicon epitaxial layer
US5849092A (en) * 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR19990074809A (ko) 1998-03-14 1999-10-05 윤종용 박막 제조 방법
TW589398B (en) * 1998-05-20 2004-06-01 Samsung Electronics Co Ltd Filtering technique for CVD chamber process gases and the same apparatus
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US6998152B2 (en) * 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6342445B1 (en) * 2000-05-15 2002-01-29 Micron Technology, Inc. Method for fabricating an SrRuO3 film
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103343329A (zh) * 2013-07-25 2013-10-09 中国科学院半导体研究所 一种碳化硅薄膜生长设备及其生长方法
CN103343329B (zh) * 2013-07-25 2016-03-02 中国科学院半导体研究所 一种碳化硅薄膜生长设备及其生长方法

Also Published As

Publication number Publication date
EP1167569A1 (en) 2002-01-02
ATE367458T1 (de) 2007-08-15
DE60129380D1 (de) 2007-08-30
US6579372B2 (en) 2003-06-17
US20020094689A1 (en) 2002-07-18
EP1167569B1 (en) 2007-07-18
SG90250A1 (en) 2002-07-23
JP2002069651A (ja) 2002-03-08
KR20020001073A (ko) 2002-01-09
JP3631984B2 (ja) 2005-03-23
US6573184B2 (en) 2003-06-03
US20020052097A1 (en) 2002-05-02
DE60129380T2 (de) 2008-04-10
KR100332313B1 (ko) 2002-04-12

Similar Documents

Publication Publication Date Title
TW531796B (en) Apparatus and method for depositing thin film on wafer using atomic layer deposition
TWI245310B (en) Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
Crowell Chemical methods of thin film deposition: Chemical vapor deposition, atomic layer deposition, and related technologies
JP4546060B2 (ja) 原子層堆積法および化学気相成長法の均一性および再現性を向上するパッシベーション方法
US7422635B2 (en) Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7427425B2 (en) Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7344755B2 (en) Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
KR101104058B1 (ko) 반도체 처리 장치를 위한 프리커서를 생성하는 방법 및장치
US7597758B2 (en) Chemical precursor ampoule for vapor deposition processes
JP2000212752A (ja) 反応チャンバガス流入方法及びそれに用いるシャワ―ヘッド
JP2003517731A (ja) 原子層堆積中の寄生化学気相成長を最小限に抑える装置と方法
KR20070028858A (ko) 인시튜 질화물(in-situ nitride) 박막증착방법
US6863021B2 (en) Method and apparatus for providing and integrating a general metal delivery source (GMDS) with atomic layer deposition (ALD)
CN109576674B (zh) 原子层沉积设备
US9005704B2 (en) Methods for depositing films comprising cobalt and cobalt nitrides
JPH03112893A (ja) ガス供給装置
JPH03112894A (ja) ガス供給装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent