TW447014B - High temperature chemical vapor deposition chamber - Google Patents
High temperature chemical vapor deposition chamber Download PDFInfo
- Publication number
- TW447014B TW447014B TW088120548A TW88120548A TW447014B TW 447014 B TW447014 B TW 447014B TW 088120548 A TW088120548 A TW 088120548A TW 88120548 A TW88120548 A TW 88120548A TW 447014 B TW447014 B TW 447014B
- Authority
- TW
- Taiwan
- Prior art keywords
- reaction chamber
- patent application
- temperature
- scope
- item
- Prior art date
Links
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4412—Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45514—Mixing in close vicinity to the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4584—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
Description
447014 Λ7 _B7 五、發明說明() 發明領域: 本發明係關於半導體晶片製程系統,更特定說來,本 發明係關於一具有加熱之内部薄層(liner)及一溫控外部反 應室體之高溫化學氣相沉積反應室。 發明背景: 氮化鈦膜在超大型積體電路上有很多的用途,它可以 當作一擴散阻障層、也可以當作鎢接觸的黏著層。過去在 氬環境下鈦的低溫反應濺鍍常被用以在晶圓上沉積氮化 鈦膜,但是在元件結構變得越來越小的同時,濺鍍氮化鈦 膜所形成的步階覆蓋就變得不適合了,於是化學氣相沉積 法就變成是超大型積體電路應用中的較佳方法。例如,四 氯化鈦化學氣相沉積法所形成的氮化鈦膜可用來當作具 高深寬比接觸的阻障層、一頂端有電容的電極或可用在 0.1 8微米以下之插塞的填充。 冷牆與熱牆低壓化學氣相沉積法都可利用四氯化鈦 及氨反應而形成氮化鈥膜。冷牆反應器包含一加熱至製程 氣體能夠反應溫度以上(如650DC)的晶圓,其加熱係由一 (請先閱讀背面之;i意事項再填寫本頁 X-衣--------訂i 1 - - t— m I - -1 I 1 經濟部智慧財產局員工消費合作社印製 應·® 牆 反熱室 於 hm 置β反 令 以 ; 所它 外,其 室 } 或 的 的 部 之 為 燈 素 合 化 鹽 氛 的冷 冷在 為成 牆形 室 會 應物 反 產 為副 因程 是製 但之 物 在 成 形 物 .產 JnJ 3田 UCu! 種 這 上 面 表 部 内 之 面 這程洗 為製清 因及室 ,染應 的"反 害成場 有造臨 是而在 上,法 面 面無 表表物 部的產 内圓 1δι 些 良過 晶應 經 於反要 蓋些 需 覆這 必 並於以 落由所 掉 , , 會降除 物下移 積的中 沉率程 本紙張尺度適用t园國家標準(CNS)Al規格(21ϋ X 297公坌) 447014 Λ7 Β7 五、發明說明() 常拆裝及經常清洗反應宣零组件,這使得反應室必須經常 曝露在空氣環境之下。 f請先閱讀背面之;'±.急事項再填寫本百、 另一方面’石英熱牆反應器也可用於形成高品質之氣 化鈦膜,這些反應器的熱牆能降低不需要沉積物在内部反 應室牆上的累積,如氨鹽化合物,但是熱牆反應室其溫度 極高(如1 50-200QC)而使得其操作極具危險性,甚且反應 室牆及其它内部表面的平均加溫也是難以做到的,而這平 均加溫卻是去除不需要;57積物所需的a 經濟部智«財產局員工消費合作社印製 反應室薄層(liner)是去除不需要沉積物的一可行方 法是的使用,序號為5,348,5 87的美國專利案"Apparatus tor Elimination oi Low Temperature Ammonia Salts in TiCl4 NHj CVD Reaction"是第 5,271,963 號美國專利案的 續案’兩者都併入本案以茲參考,其中前案揭露一内部薄 層’由反應室外部燈管對其部份加熱,並由一輔助電漿對 其部份加熱’而受熱的薄層本身則位於一冷反應室牆環境 之内,並靠住冷反應器牆的内部表面,然其本身只有部份 與該反應室牆絕緣,於是不僅這種接觸會給予反應器牆過 度的熱,而使得反應室變得格外地熱,甚且薄層還需要提 供額外的熱,以補償損耗至牆的熱。 所以習知技術的化學氣相沉積反應室極需有—大致 能形成一腔、並能與外部反應室互相熱隔絕的受熱薄層。 菸明目的及概述: 習知技術的缺點可經由使用一具有—反應室體包圍 第4肓 本紙張尺度適用中國國家標準(CNS)A4規格(2丨ϋ X四7 ϋ ) 447 4 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明說明() 一反應室薄層(liner)的晶圓製程設備而得到克服,其中薄 層與反應室體以一間隔隔開,使得薄層的溫度能維持大於 反應室體的溫度’所以薄層可以保持高溫而使沉積於其上 的沉積物量變少’且反應室此時仍能保持在安全的溫度範 圍 更特定說來’本發明與高溫沉積用之製程反應室系統 相關’例如利用四氣化欽及氨之間的化學反應以沉積氮化 钦膜。此系統包含一製程反應室及一柚氣用組件,其中製 程反應室具有一内部薄層,其溫度維持在約150至25〇〇c 之間,而此時反應室體的溫度則在60至650C之間或以 下。薄層可以直接由一内嵌於薄層中的熱阻器對其加熱, 或可由一受熱晶圓支撐座檯對其加熱.薄層本身大致是圓 柱型的,並由一具有一圓柱耱及一基底的桶狀反應室體包 圍起來’在薄層的底部及反應室基底的内表面之間有隔離 鞘,使得兩者能以空間相隔’亦即薄層只以隔離鞘與反應 室體相接觸,由於兩者之間的接觸區域相當小,使得兩者 之間的熱隔離因兩者之間的低熱傳而顯得格外好β 製程反應室更包含一受熱晶圓支撐座檯,以支撐並對 半導體晶圓加熱,另還包含一噴灑頭以分別導入四氣化鈦 及氨至反應室晶圓上之一區域上,其中晶圓的溫度維持在 約60(^-700^(:之間,使得四氣化鈦及氨之熱反應只發生在 晶囷之表面上’並在晶薗上沉積一層氮化鈦膜β 抽氣用组件與製程反應室相連接,以將製程反應室内 的氣體連續柚離至反應室之外。在一實施例中,大部份的 笫5頁 本紙張尺度適用令國國家標準(CNS)A4規格(210 X 297公釐) -n ^—«1« I -I d n 1_ t .^i n I It n ^1. n t I (請先閱讀背面之注Φ?事項再填寫本頁) Λ7 經濟部智慧財產局8工消費合作社印f ____L37五、發明說明() 抽氣用組件維持在约1 50QC至20(^(:之間,其熱之維持係 使用柚氣用組件外部牆之數個加熱器為之,這使得副產物 在柚氣用組件之内的累積得以減少。 圖式簡單說明: 在閱讀以下詳細說明及圖示之配合說明後,即能夠輕 易了解本發明之意旨,其中: 第1圖為本發明之高溫化學氣相沉積系統的剖面立體圖, 其中並顯示製程反應室及抽氣用組件; 第2 a圖為該製程反應室的剖面圖,其中顯示第1圖製程 反應室内的各不同组件; 第2 b圖為第2 a圖之内部薄層、絕緣針孔、及反應室體 區域的放大剖面圖1 第3 a圖為噴灑頭組件的剖面圖; 第3 b圖為第3 a圖之噴灑頭組件之雙氣流面板的剖面圖。 第4a圖冷卻板的上視圖; 第4b圖為第4a圖之冷卻板之通道的剖面圖: 第4c圖為第4a圖之冷卻板的側视圖: 第5 a圖為製程反應室的剖面圖,其中並顯示底部清洗設 置; 第5 b圖為邊緣環之另一實施例的立體圖: 第5c圖為座落於薄層上之邊緣環(第5b圖之線5c)的部份 剖面圖; 第5d圖為座落於薄層上之邊緣環(第5b圖之線5d)的部份 第61 本紙張尺度適用中囤國家標準(CrN'S)A1規格(210 X 公g ) (請先閱讀背面之1意事項再填寫本頁 f r J— .. » I n n n Γ— —J. 一^I ( 1 t— - i n I I. 447014 A7 B7 經濟部智慧財產局員工消費合作社印*1衣 五、發明說明() 剖面圖; 第6圖為抽氣用組件的剖面視圖; 第7a圖為應用於電漿之製程反應室的概要圖示;及 第7b圖為與第7a圖之反應室相接之射頻連接的剖面視 圖。 圖號 對照 .說 ;明 100 製 程 反 應室 110 反 應 室 支 撐板 160 座 檯 組 件 1 80 座 檯 185 上 表 面 186 邊緣 1 87 外 圍 200 薄 層 201 0 形 環 202 底 部 203 凸 出 部 份 204 圓 柱 牆 207 覆 蓋 板 212 熱 耦 合 器 214 傳 輸 部 份 215 熱 阻 器 216 箝 位 板 220 夾 缝 訂 鞘 221 中 央 部 份 222 端 點 223 端 點 224 接 收 孔 225 穿 孔 226 孔 250 反 應 室 體 251 反 應 室 基 底 252 底 部 253 内 部 表 面 254 圓 柱 側 邊 256 開 σ 258 開 口 260 狹 縫 閥 門 開口 280 反 應 室 腔 285 晶 圊 上 舉鞘 286 内 表 面 290 邊緣 環 292 凸 出 部 份 294 底 部 第7頁 --------'---------------I I , —------ I * (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中画國家標準(CNS)A4規格(210x297公釐) A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 295 頂部 297 内底部表面 298 外底部表面 300 喷灑頭組件 310 多樣組件 312 底部板 3 14 中間板 3 16 頂部板 320 通道 322 反應室 325 0形環細溝 326 〇形環 330 面极 332 面板底部 334 匹配表面 336 匹配表面 340 低處氣流分佈平板 350 高處氣流分佈平板 390 包圍空間 396 洞 400 冷卻板組件 402 凸出矩形區域 410 通道 412 端點 414 端點 415 底側 420 底部板 421 環狀部份 422 孔徑 424 孔徑 426 内部 428 外部 440 頂部板 445 密閉通道 501 第一位置 502 第二位置 505 薄層清洗入口 5 15 反應地帶 520 間隙 522 平表面 523 步階 526 鞘 530 間隙 53 1 間隙 550 邊緣環 551 τ員表面 552 底表面 554 鑰阻部份 555 開口 556 内表面 557 外表面 559 凸出内部 第8頁 (請先閱讀背面之;t意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐)
n n n - *1 n - 一«J n - I - in - { I 4470 1 4 t修正 月加..二 1 補充 4
經濟部智慧財產局員工消費合作社印製 560 圓柱桿狀部份 563 晶圊口袋 564 基材 565 狹槽 566 間隙 571 電極 572 電極 580 晶圓上舉環 585 圓錐頭 590 桿部份 595 洞 599 間隙 600 柚氣用組件 601 可調板 602 熱隔絕器 603 柚氣管 604 遮板 605 帶狀加熱器 606 貝氏壓力計 607 折合器 609 加熱器封套 613 遠端 617 開口 619a 加熱器封套 619b 加熱器封套 6I9c 加熱器封套 619d 加熱器封套 620 手動角閥門 625 加熱器 627 開口 629 加熱器封套 640 手動閥門 645 加熱器 650 冷陷阱 650T 冷陷阱頂部 650B 冷陷阱頂部 651 入口 655 加熱器 658 通道 656 冷卻線圏 659 障礙板 660 隔離閥門 665 加熱器 670 節流閥 675 加熱器 690 抽氣線 7 1 0 氣流盒 71 1 分離氣流入口 712 分離氣流入口 720 反應室蓋子 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格m〇 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) Λ I I I it ^1 n I n f 4 7 Ο ] ^ Α7 R7 .-一 一 _____ 適秦 750 射頻模組 752 射頻連接棒 754 射頻活塞連接器 756 射頻連接器 770 絕緣體 780 陶瓷絕緣器 790 梯度電壓組件 (請先閱讀背面之注意事項爯填寫本頁) 發明詳細說明: 第1圖為高溫化學氣相沉積系統(HT CVD)的剖面前 觀圖,其中包括製程反應室1〇〇及抽氣用組件600,一反 應室支撐板Π0用以將此製程反應室1〇〇支撐在晶圊轉移 反應室(未顯示)之上,其中晶圓轉移反應室位於製程反應 室1 00及整個晶圓製程系統(如一加州應用材料公司所生 產的Cetura 5200系統)(未顯示)的其它部份之間。第2-5 圖圖顯示製程反應室丨〇〇其它不同組件的剖面視圖,若欲 深入了解本發明之製程反應室100及其動作,應同時參考 這些圈示說明。 本發明之製程反應室1〇〇利用四氩化鈦及氨的化學反 應在半導體晶圓表面高溫沉積一氮化鈦膜,因為四氯化鈦 及氣必須在高溫下才能分解 > 所以晶圓最好能維持在 60 0-7〇0QC的高溫,以利晶圓上沉積物的沉積。 經濟部智慧財產局員工消費合作社印制衣 製程反應室100至少包含一反應室體250、一薄層 (liner) 200、一座檯組件160 '及一噴灑頭组件3 00,其中 座楂組件160包含一能將晶圓支撐於反應室100内的座檯 1 80,雙氣流噴灑頭組件300與座檯1 80平行相隔,以使 座檯1 80的晶圓支撐表面及喷灑頭組件3 00的一面板3 3 0 能構成一反應區域在沉積時必須要將晶圓以一加熱座檯 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐)
經濟郤智慧財產局員Μ消费合作社印製 ----¾-----五、發明說明() 180加熱之,同時必須將兩反應氣體(四氣化鈦及氨)導入 製程反應室100中’以使反應只發生在晶圓之上方,而在 晶圓表面沉積一層氮化钦膜。另為了使氮化鈦在反應室内 表面的沉積減至最少’所以反應室内側踏(即反應室薄層 200)的溫度必須維持在1 5 〇Qc到25 0QC »另也有一改進的 底部清洗設置,其用以降低會黏著在反應室冷區域上的反 應副產物,也有一加熱柚氣用組件60〇以避免粉末在抽氣 用組件6 0 0之内侧牆的沉積’這種粉末沉積為四氯化鈦及 氨在i50QC以下反應所產生的副產物。在溫度為1500C-60〇Qc之間時,沉積在内部反應室组件的氮化鈦量為最 少,此沉積在反應室組件上的氮化鈦膜可以由本發明反應 室100中所進行的臨場熱氣清洗過程將之除去" 反應官賭及卺鴒内部薄層 由第2a圖可知,反應室體250大致為桶狀物,並具 有一圓柱側邊2 5 4及一基底2 5 2 ^在該圓柱側邊2 5 4的一 部份上有一開口 256’其中SI柱側邊254與抽氣用組件600 相連接,以將製程氣體及副產物氣體移出。反應室基底252 具有一位於中心的開口 2 5 8 ’它能夠容納一座檯组件1 6 0 及一清洗氣流設置。内部薄層200本身大致呈圓柱狀,它 形成在反應室體250的内部,且與其以一空間相隔,即鍍 鎳鋁製成的反應室體250大致包圍該内部薄層20 0,鋁上 鍍鎳在這種應用裡是為了要防止銘组·件被氣氣體腐蝕,其 令氣氣體係為臨場反應室清洗過程所用的氣體,以下將有 第頁 1— II I _ 4 ^1. Is n I^i It n I— Jet I (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家櫟準(CNS)A4規格(210 X 297公;)
經濟部智慧財產局員工消費合作社印製 五、發明說明() 其介紹。當然,對於其它之清洗過程應用,也可以採用不 同的鍍膜金屬,只要它能與反應或清洗過程所用之化學物 質相符。 為了使反應室體之外部溫度不致灼傷操作者,所以必 須利用反應室體250和内部薄層200間的熱隔離將反應室 體250的溫度維持在約6〇0C-65 GC或甚至更小。内部薄層 200也是以鎳鍍鋁製成,同時内部内嵌一熱阻器,以將薄 層固定在一定之溫度,導熱膠I加至熱阻器215且封於一 覆蓋板207之内’覆蓋板207則焊至該薄層200,以確保 在加熱器215及該薄層200間能有好的熱傳導特性=一交 流電壓利用薄層200之傳輸部份214的交流連接器耦合至 該加熱器2 1 5,此加熱器2 1 5可以選擇性地用以動態控制 薄層200的溫度。例如,加熱器2丨5可將薄層的溫度維持 在約1 5 0至2 5 00 C,以避免氨鹽化合物或其它製程副產物 形成在薄層200内表面上》為了要進行薄層2〇〇的動態熱 控制。可將一 K型熱耦合器212沿著薄層2〇〇圓柱牆往内 播入至約一半距離的地方,那麼薄層溫度就可以在距薄層 底部202約一半的地方加以測量。熱耦合器212及熱阻器 215之間的連接器(未顯示)係位於薄層2〇〇之傳輸部份214 内。-符位板座落在-〇^袤2()1上、並靠住反應室 基底25 2及傳輸部份214,以將反應室1〇〇内部封住使與 外界空氣相隔離。 在實用上’薄層溫度不一定需要熱阻器215的獨立加 熱’因為受熱座楼本身就大致足以將薄展2〇〇的溫度維持 第12頁 本紙張尺度適用中國國家標牟(CNS)A4規格(210 χ 297公釐> ί請先閱蜻背面之注意事項再填寫本頁> 裝--------訂------- I--竣
經濟部智慧財產局費工消費合作社印契 五、發明說明() 在一定之溫度範園1 50-250GC内。當然也可以單獨使用加 熱器215或使之與加熱座檯180共用之,以應不同製程之 不同溫度所需》 内部薄層200及反應室體250之間利用三個失缝釘销 220隔離開來*其中之一的剖面圖如第2a圖所示,這些隔 離鞘220置於内部薄層200及反應室基底252的内表面 253之間,其中内部薄層200、夾缝釘鞘220及反應室基 底252之間的區域在第2b圖中以放大之剖面圖表出,其 中三支鞘220彼此等距、並以相隔1 200圍繞一圊形排列。 每一夹縫釘鞘220具有一中心部份221,中心部份具有一 直徑及兩個較小端點222及223,每一較小端點具有 一直徑d2,其中d2小於d!»在典型的真空應用中,一穿 孔225存在於鞘220的經線軸上,以讓所有陷阱中的氣體 抽出來,鞘220被壓至使其能在薄層200底部202接收其 對應的孔洞224,使得薄層200能夠靠住每一鞘220較大 的中心部份2 2 1的一側。在反應室基底處的内部表面2 5 3 也有三個相對應孔洞226,它們是用來接收這些鞘220用 的,這些洞之一是圓形的,其餘兩者(未顯示)則是缝槽形 的,以使能對其它组件提供容忍空間。 當薄層2 00被放置在反應室基底25 2内部的這些孔洞 中時,鞘220就用以將薄層200及反應室基底252之間隔 出一適當空間,這空間就與鞘2 20較大中央部份221的厚 度相對應,大約是0.135吋(3.4毫米)。四個1/4到20的 鎳螺絲(未顯示)也和夾缝釘鞘2 2 0 —樣位於相同直徑圓圏
第13T 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) n It n i ^1· n n 1 在桃十f.!44 A7 B7 經濟部智慧財產局員工消費合作钍印製 r〇五、發明說明() 之周圍’它們被拴入閂孔(未顯示)中用以將薄層200固定 於定位,其中閂孔係位於反應室基底252的内部表面253 上,其中鎳鞘220的小接觸區域及閂鎖能夠確保受熱薄層 200及反應室體250之間的良好熱隔絕,並能將反應室體 的溫度維持在65GC左右’但此時受熱薄層的溫度可以在 150-250QC 之間。 這些夾縫釘鞘2 20可以各種能承受高溫且具有極高之 熱阻的不同材料製成’就如不鏽鋼或鎳,實則依各種不同 的製程應用而定。例如,鎳因其對氣的化學阻抗及高熱阻 使其成為較佳的材料。在此當注意的是在此各種不同组件 的大小及其特定的配置僅限於說明用《例如,薄層200與 反應室體250的隔開可以形成在反應室體250底部252的 肋骨狀物隔開、可以形成在反應室體250底部252上的連 續環狀隆起物隔開 '也可以形成在反應室體250底部252 的間隔環隔開等等。總而言之,本發明涵蓋了許多的反應 室/薄層/間隔物體’這些物體組合都能夠提供反應室體250 及薄層200間的熱隔絕,這些熱隔絕也使得對個組件的各 別溫度控制變得可行。 蓋子/氣體盒及雙氣流面板 第3 a圖顯示的是雙氣流噴灑頭組件3 0 0的剖面視 圈,其中包含一鍍鎳鋁蓋子/氣流盒(多樣組件310)及一面 板3 00。喷灑頭組件300提供四氣化鈦及氨一雙氣流各別 入口,使它們可流入製程反應室100中但兩者不會預先混 第Η頁 {請先Μ讀背面之汶意事項再填寫本頁) 裝 ----訂----t -----咸 本紙張尺度適用_國國家標準(CNS)A4規格(210* 297公釐)
A7 B7 五、發明說明() 合。氣流多樣組件3 10也可以當作反應室1 〇〇的蓋子,面 板的一般敘述可以參見名稱為"Dual Gas Faceplate for a Showerhead in a Semiconductor Wafer Processing System" 的第09/098969號美國專利中請案。噴灑頭組件300能讓 兩氣流(如四氯化鈦及氨)分別進入反應區域或反應地帶 515中,反應地帶大致由面板330底部332與受熱座檯180 之間的區域構成,也就是座檯組件1 60的頂部區域處,以 下將有其介紹(參間第5a圖)。為了避免不需要的沉積物形 成與噴灑頭組件300内,這時可在喷灑頭組件300内經由 不同的通道32〇或反應室322來將氣流分開通行而達成 之,這些通道320及反應室322能防止兩氣流在抵達反應 地帶5 1 5之前互相混合》簡言之,氣流多樣性組件或蓋予 310包含三個板,分別是底部312、中間314、及頂部316 板,使其之間利用含矽鋁膜匹配表面的真空焊接334及 336而融合在一起,並將整個組件箝位及放置在約550qC 溫度之反應爐内,以形成單一的多樣组件3 1 0。所以在製 程氣流流經多漾組件3丨0時,不需要Ο形環以提供製程氣 流間的隔離。這些板312, 314及316包含通道320及反應 室322,使得在面板330检至多樣組件310時,各種通道 320及反應室322能提供適當的通道或流通路徑供兩氣流 自它們在反應室1 〇〇外部的提供處分別流進反應地帶5 1 5 内,而不會預先混合在一起。 面板330包含一低處氣流分佈平板340及一高處氣流 分佈平板350,可見於第3b圖所示。通道343及洞(351, 352, 笫15頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) (請先閱讀背面之注意事項再填寫本頁> 裝--------訂-----I---埃 锾濟部智慧財產局員工消费合作杜印製 Ά 1 ρν;屮t.日
A7 B7 五、發明說明() 341,342及344)的特定擺置為兩氣流構成特定的通道或流 通路徑,這可經由參考上述之第09/09 8 969號美國專利申 請案而獲致進一步的了解。可以確定的是當兩板340及 350使用前述氣流多樣板312,314及316之同樣技術而融 合在一起時,那麼單一面板33〇就能為兩道氣流提供分開 的通道或流動路徑’而在兩氣流不預先混合在一起的情況 下將氣流導至反應地帶515 ° 例如,在低處板340處有兩組洞341及342,其中每 一組洞流過一種氣流。如第3 b圖所示,一組洞3 4 1和另 一組洞35 1在高處板上對位,以為氣流(如四氯化鈦)形成 一流通路徑(流自3 5 1 ’且經由3 4 4至3 4 1)»另一組洞3 4 2 連接至低處板内的通道343,這些通道再連接至高處板350 及低處板340之間的包固空間390,包圍空間390接著更 進一步連接至高處板3 50供第二氣流流通的另一洞352, 如氨氣流β這種設計組態使得第一氣流流經面板3 3 0的第 一通道(如流自35 1,且經由344至34 1 ),而第二氣流流經 面板330的第二通道(如流自352,且經由390流至343及 3 42),在氣流離開面板3 3 0底部332的這些洞341及342 之後,氣流抵達反應地帶之前不會混合在一起。當注意的 是,每一氣流之洞尺寸及洞分佈是製程條件的函數。例 如’洞尺寸會依氣流率、氣壓、氣體種類、反應室壓力及 面板3 3 0而有同,所以流經洞的氣流率就和面板3 3 0之洞 的位置息息相關。 噴灑頭組件300由將面板330直接附著至氣流分佈多 第16頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐) <請先聞讀背面之ii意事項再填窝本頁) 裝------ -- 訂---11/-----成 經濟部智慧財產局員工消費合作社印製
A8B8C8D8 六、申請專利範圍 <锖先閱讀背面之注意事項再填窝本頁) 樣組件3 1 0底部而形成之,其附著係利用複數個閂經由面 板的支撐洞(第3 b圖的洞3 9 6)固定之。〇形環細溝3 2 5 (祥 見弟圖)在氣流多樣組件310中,用以容納〇形環326, 而該Ο形環326用以提供氣流多樣組件3丨〇及面板330之 間的封合β 冷卻板組件 經濟部智慧財產局貝工消费合作钍印製 一可拆卸冷卻板組件400用以維持蓋子/氣流盒310 的溫度,以避免其發生溫度飄移。第4a圖即為此冷卻板 組件400的俯瞰示意圖’其中冷卻板組件4〇〇大致為環 狀’但有一凸出矩形區域402’第4b囷則顯示其剖面覉, 其中包含一頂部板440及一底部板42 0,它們烊在一塊且 稍後鍍鎳於其上而形成組件=頂部板440具有一線圈狀通 道410通過其中以供冷卻劑流通用,在第4a圈中有其說 明。線图狀通道41〇有兩端點412及414,並位於凸出矩 形區域402處,用以提供冷卻劑的出入口。通道41〇具有 一矩形截面’且在其底側415通道410是張開的,在第朴 圖有其剖面圖,在第4c圖則有其側視圖說明。底部板42〇 的頂表面425在和頂部板440焊在一塊時,可構成一密閉 通道445,冷卻劑就流通於其中。底部板420具有兩個孔 徑422及424分別對頂部板通道4 10的兩端點4 12及4 1 4 對位,以提供冷卻劑的出入口。冷卻劑通常是水,它和反 應室100的冷卻劑串連在一起· 冷卻板組件4〇〇利用螺絲固定至蓋子/氣流盒(第3a _____ 笫彳7贯 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
經濟部智慧时產局員工消費合作钍印製 五、發明說明() 圖的3 1 0)的上表面之上’其中螺絲位於冷卻板組件400的 周圍。當注意的是底部板420的環狀部份421是凹入的, 使得底部板420只有其内部426及外部428能與反應室蓋 子310有實體上的接觸,其中冷卻板組件400、蓋子/氣流 盒310及面板330有時後被聯合稱為蓋子组件,當入口水 溫為約45-55QC時,蓋子/氣流盒3丨〇可以輕易地維持在 60-65QC的範圍内。 冷卻板組件因其本身為可拆卸式组件,所以它的設計 具有經濟效益’這使得維護上變得容易,且製程設計上具 有彈性。為了使晶圓處理的效益發探至最大,可以將最適 於某一特定製程的冷卻板組件400輕易地裝入反應室蓋子 上即可達成目的。 座掩組件 第5 a圖圖示說明一製程反應室内座檯組件丨6 〇的剖 面圖,座檯表面在其頂部至少包含一平坦圓形部份18〇, 有時後則被稱作晶座或座檯’另有一底部圊柱桿狀部份 560,它經由反應室體250底部252的中央開口 258往下 延伸。座檯180上表面185具有一晶園口袋563,用以支 撐晶圓564(如第5a圖虛線所示),另有四個間隙洞用以容 納晶圓上舉鞘2 8 5,這些晶圓上舉鞘2 8 5係以陶瓷製成, 用以處理晶圓及運輸晶圓,它們是以可滑動的形式支撐在 座檯1 8 0的孔洞中’且可利用連接至一晶圊上舉環5 8 〇而 將其在座楼上表面185上方舉起,以能相對於座檯18〇支 第18頁 本紙張尺度適用令國國家標準(CNS)A4規格(210x297公笼) ----I---I I l· I t--ϊ ----訂-丨 I I-----·"- {琦先閱讀背面之注意事項再填寫本頁)
五、發明說明() 標表面U5抬高或降低基材位置,這些上舉稍285可利用 位於它們上端點的圓錐頭585防止其從座楼脫開。目前的 座檯组件16〇及反應室的設計能夠容納直徑分別為125毫 米、150毫米及200毫米的晶圓,熟知此項技術者y η 適當的設計,而使其能容納更大直徑的晶圓(如3〇〇毫 米),以能適合各種不同的應用。 座棱1 80最好是以陶竞材料製成,如氮化銘,此外其 更具有兩内嵌式電極571及572 般說來,這些電極571 及572由各種不同的導體材料製成,且可以是各種厚度或 形狀。本發明中,其中一個電極為射頻格狀電極571,用 以進行電漿清洗及其它電漿處理過程,如晶圓的電漿回 火。格狀電極571以鉬製成,置於座檯頂表面185稍下部 的地方;在射頻電極稍下處的地方有一交流格狀電極,係 用以加熱用。目前所使用的座檯18〇由暗灰色、具高阻抗 性、9 9 · 9 %純度的氮化鋁製成’另當然也可以其它相似的 加熱器代替之,完全依特定的製程而定a此受熱座檯18〇 能讓晶圓溫度控制在約600-800〇C的範圍内,雖然氮化鈥 膜層的沉積可以發生在200-600QC之間,但所形成的沉積 膜具有不適當的氯含量,所以晶圓溫度以維持在約600_ 700^(:的範圍内較佳。在晶圓的處理過程中,座棱上也有 中央與邊緣之溫度差異,此差值約為l〇QC,其中邊緣186 的溫度較低’這是因為清洗氣流流經座檯邊緣的緣故a 座檯組件160在反應室腔的第一(頂部)位置5〇1及一 第二(底部)位置502之間由一抬舉機制(未顯示)將之垂向 第19頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
-n · Bn n · 5_ la I 經濟部智慧財產局員工消費合作社印製 0 0 ··"-卜 A7 B7 五、發明說明() 移動,其中座檯160的第二位置5 02為第5a圖的虚線所 指部份。在如將沉積膜形成在基材564的晶圓處理過程 中’座檯組件160位於第一位置501。反應室腔280内的 一反應地帶(或製程地帶)515大致為面板330底部332及 座檯頂表面185之間的區域,且由邊緣環290將之侷限於 側邊。晶圓基材564在其處理過程中位於晶圓口袋563 内’晶圓和面板330底部之間的距離大約是0.360叶(9.1 毫米)。當注意的是此距離僅限於說明用,在其它的製程 中此距離可能會不相等。座檯1 80外側邊緣1 86至薄層200 的内表面286之距離530約為0. 125吋(3.2毫米),此距離 530之選擇由兩因素或要件決定之,其一為薄層200的特 定溫度,另一則為避免氣流進入製程地帶5 1 5 ♦ 在對每一晶圓沉積膜層前後,座檯组件I 60就移至一 第二位置502,以供晶圓載入或下載用。在此第二位置502 時,座檯上表面185位於微低於一狹縫閥門開口 260處, 其中該狹縫閥門開口 260係位於反應室薄層200及反應室 體250的圓柱牆側。晶圓可以經由狹縫閥門開口 260在製 程反應室100中移進移出至一鄰近的轉移反應室及/或負 載反應室(未顯示),或轉移至另一串接工具(cluster tool) 的反應室中*其中該型串接工具與反應室製程反應室之間 的 Μ 合可參見名稱為"Staged-Vacuum Wafer Processing System and Method"的第5,186,718號美國專利案,在此將 之併入以供參考。 座檯I 80上的晶圓上舉鞘285及一機械轉移組件(未 第20頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公芨) <請先閱讀背面之注意事項再填寫本頁)
• tn ^1« «1— I 經濟邨智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 顯示)可用以處理及運送晶圓,在名稱為"Multi-chamber Integrated Process System”的第 4,951,601 號美國專利案中 有其詳細描述,在此將之併入以供參考。簡言之,晶圓載 入順序包括將座檯置於位置502,及一機械臂將晶圓經由 狹缝閥門開口 260送進製程反應室1〇〇 ^當晶園564懸在 座檯表面185上時,晶圊上舉環580就上升至與鞘底部接 觸,使得晶圓上舉鞘285能夠舉至座檯上表面1 85之上, 以將晶圓564舉離該機械臂。一旦機械臂自座檯表面185 轍離時,座檯組件160就升高並將晶圓接收至晶圓口袋 563,而上舉環此時降至原來之位置。接著座檯組件160 移進第一位置以進行晶圓處理。晶圓下載的過程則與上載 的情況適足相反,其中座檯組件160垂直移動的自我調整 機制可參見名稱為"Self-Aligning Lift Mechanism"之第 08/7 3 8,240號美國專利申請案中的說明,在此將之併入以 供參考》 改進之清洗設f 第5a圈同時也對邊緣環290及一背部清洗氣流設置 的細節加以說明,其中邊緣環290大致呈圓環狀,並在環 290底部294有一凸出部份292,它被置於座檯組件160 之座掻180外圍187上,凸出部份292本身將環290的底 部294分作内底部表面297及外底部表面298。當座棱組 件1 60位於第一位置時*邊緣環290就位於受熱座檯1 80 的頂部,並且在此位置時,邊緣環290的凸出部份292會 第21頁 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝 n —i I ^^eJ I ϋ 經濟部智慧財產局員工消費合作社印製
五、發明說明() 復蓋住座檯1 8 0的外侧邊緣I 8 6,於是邊緣環2 9 0的外側 底部298及受熱薄層200的凸出部份203就構成一空間 5 3 1 ’此一間隙5 3 1就阻塞底部或背部清洗氣流(以下將有 介紹)’並確保清洗氣流在座檯1 80邊緣的均勻分佈性。 當座檯組件160位於第二位置502時,邊緣環290就 位於受熱薄層200凸出部份203的頂部之上,此時邊緣環 不會干谩到機械轉移機制的動作,因為邊緣環此時係將晶 圓敌置在座檯180上及/或將晶圓自座檯上取出* 邊緣環2 9 0可以以各種不同的材料製成,如陶瓷、石 英、鋁及鋼等等,不過真正的選擇還是要依特定的製程應 用而定=例如,石英可以用在以四氣化鈥及氨環境中沉積 氮化鈥。 邊緣環290的提供有其雙重目的:其一為當作一阻 塞,以使製程中氣流及/或副產物的柚氣變得均勻,另一目 的則為避免製程氣體進入座檯組件I 60的後側,以避免在 反應室1 00的低處形成不需要之沉積。 在晶圓之處理中座檯組件1 60係位於第一(頂部)位 置1此時邊緣環290頂部295及面板330底部332的間隙 520為0.05吋(1.3毫米)。背部清洗可經由將氬經由一薄 層清洗入口 5 0 5流入 薄層200及反應室體250間的間隙 5 99而達成之,其中此間隙599之寬約為〇.〇6对(1.5毫 米)。此外,在底部開口 25 8的座檯桿560邊的晶圓上舉 環5 8 0桿部份5 9 0有一組洞5 9 5,以讓清洗氣流流動’座 檯背後不需要的沉積可藉由將清洗氣流流經這些润595、 第22頁 _ 11 本紙張尺度適用1ί1國國家標準(CNS)A4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝-------f 訂·---------" 經濟部智慧財產局員工消費合作社印*'1衣 A7 B7 f〇 3 447014 五、發明說明() 並進入反應室腔280而避免之,就如箭頭所指部餘。如前 面所述,噴灑頭3 30、座檯180、邊蟓環290及薄層200 構成如520, 530及531的間隙,值得了解的是此處所舉之 間陈大小與其它零件之尺寸一樣為僅供說明用° _般說 來,這些尺寸的選擇依製程反應室所進行的特定製程而 定。例如,間隙430及53 1的選擇是要確保座檯1 80邊緣 的清洗氣流分佈均勻為原則,而間咪520則是一可變參 數,它能影響膜層沉積步騍的均勻性或沉積率。熟知此項 技術者一定能調出最理想的間隙,以使得處理中的晶圓得 到最佳的沉積均勻度。 第5b圏所示為邊緣環550之另一實施例的前觀囷, 第5c及5d®則為其部份剖面圖,其中邊緣環550由薄層 200的水平表面522支撐。第5c圖中說明邊緣環550具有 一頂表面551、一底表面552、一内表面556、一外表面 557及一凸出内部559»在此實施例中,邊緣環55〇完全 由薄層200支撐,亦即邊緣環550並不與座檯180或晶圓 564相接觸。在薄層200的水平表面522上有一步階5 23, 其能固定邊緣環的位置* 一鑰阻部份554位於邊緣環550 的外表面557,並與薄層200的步階523相容,以方便邊 緣環支撐5 5 0的固定。此外,在邊緣環5 5 0的底表面5 5 2 上有三個凹槽或狹槽565(參間第5d圖),三狹槽並彼此等 距排列。三支鞘526分別壓進其相對的凹洞525之内,其 中凹洞係位於薄層2 0 0的水平表面5 5 2上。鞘5 2 6的部份 527並凸出薄層200水乎表面522之上,以連接至邊緣環 第23頁 本紙張尺度適用_國國家標準(CNS)A4規格(210 * 297公釐) ------K-----t--------訂------1—吟 (請先閱讀背面之;i意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 44701 4 五、發明說明() 5 5 0底部5 5 2的狹槽5 6 5 ’所以邊緣環5 5 0就不會相對於 薄層200發生旋轉。支撐螺絲可選擇性地用以將邊緣環 550固定至薄層200。同樣地,鞘及狹槽設置之尺寸僅供 說明用,並不用以限定本發明之運作。在該設置中,邊緣 環550由座檯180的熱輻射對其加熱,並且會持績膨脹至 薄層200達到最後相同的溫度,這使得邊緣環550相對於 它的中心線0-0'能均勻膨脹。 在晶圓製程中,當座檯18 0(如第5c圖的虛線所示)位 於第一位置501時,邊緣環550的凸出内部559置於座檯 1 80的外圍1 87上,以讓座檯1 80的外圍1 87及外側邊緣 186極靠近邊緣環550,且大致由邊緣環550圍繞之,如 此就可避免座檯1 80外圍1 87及外側邊I 86上不需要沉積 物之形成。但在本實施例中,座檯180和邊緣環550並沒 有直接的實體接觸,反而是在座檯180的頂部185及邊缘 環550凸出部份559的底部553間有一間隙’另外還有一 間隙566形成在座檯邊緣1 86及邊緣環550的内表面556 之間。 數個開口 5 5 5位於靠近底表面5 5 2的邊緣環5 5 0周 圍,在本特定實施例中該開口數為3 0個。這些開口自邊 緣環5 5 0的内表面5 5 6水平地延伸至外表面5 5 7,清洗氣 留可經由這些開口 555自間隙566中柚出’並將其抽至邊 緣環550外表面557及薄層200内表面524之間的間隙 5 6 7,而間隙5 6 8當作一阻塞,用以避免清洗氣流流向座 檯180的表面185,而不會稀釋製程氣體及影響沉積過
第24T 本紙張尺度適用國國家標準(CNS)A4規格(210x297公釐) {請先閲讀背面之>i意事項再填寫本I > 裝--------訂--------- 經濟部智慧財產局員工消費合作社印製 4¾
dU A7 B7 五 經濟部智慧財產局負工消費合作社印製 發明說明() 程。在第5a圖中’與另一邊緣環 z外相似的是’此邊緣 環5 50的頂表面551及噴灑頭33〇展 坻邵332構成一區域, 製程氣流及/或副產物就自反應地帶5 ▼ 3i5(參間弟Sa圖)經 由該區域抽出。這些間陈520, 566, 567及568與製裎相 關,通常都將其設計成能符合某特定製程用。例如,熟知 此項技術者可以藉由調整這些間陳而使如沉積均勻度的 製程結果符合所需。甚且,這種特定组態(薄層2〇〇支持 邊緣環550)能讓邊緣環550的溫度維持在約2〇〇-25〇Qc的 範圍内’且邊緣環之不需要的沉積物可以減至最小。值得 注意的是儘管邊緣環的使用使製程結果較佳,但在本發明 的做法中卻不一定需要邊緣環。 續請參考第5a圖’在晶圓的沉積過程中,氦攜帶氣 流中的四氣化鈥’氫則播帶氣流中的氣,兩者經由喷濃頭 組件300而導入反應地帶515,四氣化鈦及氨就在極靠近 晶圓564上方的地方發生化學反應,反應的結果是氮化鈦 沉積在晶圓564上方》幫浦系統連接至抽氣用組件6〇〇, 使得連續氣流得以維持,並使得如揮發性副產物之類的氣 體能自製程地帶515或反應室腔280的其它地帶中抽出 來’它會通過石英邊緣環290的頂部295及面板3 30的底 部332’並經由内部薄層2 00内的狹槽20 5(參見第2a圖) 進入抽氣反應室600中,其中柚氣反應室以各種不同的真 空可調器及連接器組件連接至反應室,當柚氣用組件600 將氣體移開時,噴灑頭3 3 0再度釋放製程氣體及清洗氣 體,使其流過座檯1 8 0的外側邊緣1 8 6,這使得反應室中 第2S頁 本紙張尺度適用_國國家標準(CNS)A4規格(210 X 297公釐) <請先閲讀背面之注意事項再填寫本頁> » i n n. cf i i It 訂---------%.
A 修 __ iJ·. A7 B7 五、發明說明() 的製程氣體及清洗氣體在晶圓處理過程中能夠有的供 應。 受熱柚氣用組件 第6圖為柚氣用組件6 〇 〇及將抽氣用組件連接至製程 反應室1〇〇之真空組件的一實施例之剖面圈。這些真空组 件包含:一可調板601,一熱隔絕器604、_ 20托爾貝氏 壓力計(Baratron)606及-折合器607。圓環狀可調板601 可與側邊開口 256周圍的反應室體250直接匹配,並可和 抽氣管603外圍相匹配,其中該抽氣管603部份延伸進入 製程反應室1 〇〇的側邊開口 256 ^熱隔絕器602的側邊(即 遠離製程反應室的一邊10 0)與鄰近的可調器601相符,它 可以提供受熱柚氣用組件600及反應室體250之間的隔 離,其中受熱柚氣用組件600的溫度為持在1 50eC,反應 室體250則為60-65aC。帶狀加熱器605位於柚氣管603 大部份外側牆的周圍,圓環狀遮板604與隔絕器602外側 的部份、帶狀加熱器605及剩下的柚氣管部份603在形禮 上相棄合。折合器607位於柚氣管(遠離製程反應室)的遠 端613,其一端的開口 617直徑較大,與抽氣管603在形 禮上相匹配,另一端開口 627直徑則較小,與柚氣用組件 600的額外零件在形體上相匹配a 20托爾員氏壓力測量計 606經由一側邊埠連接至折合器607。另有數個加熱 以將抽氣用组件600的溫度維持在1 50-2000C ’以避免不 想要的沉積物沉積在柚氣用組件6 0 0的内部牆及相關之真 1?26Τ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閲讀背®之注意事項再填寫本頁)
* n n n n d n 一·OJ+ n n n n I 經濟部智慧財產局8工消費合作社印製 4 修正 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 空組件上。例如,一系列可調整之加熱器62 5,64 5,6 5 5,66 5 及675安裝在抽氣用組件600外側牆、一 2吋大之手動角 閥門620 ' — 1吋大之手動開門640、冷陷阱650、隔離閥 門660及節流閥670的周圍上。當注意的是即使對隔離閥 門660及節流閥670來說加熱也不是必要的,其中節流閥 670位於超過冷陷阱650的地方,以避免不需要的沉積物 質形成在這些真空零組件内。此外,為使熱損耗減至最 小、並能提供一安全的操作環境,在折合器607、2吋手 動角閥門620及抽氣用組件600的不同組件周圍有加熱器 封套609,629,619a,619b,619c及619d存在以應所求。 冷陷阱650連接在手動閥門620及隔離閥鬥660之 間’在冷陷阱650的入口 651周圍有一加熱器655,其係 用以將溫度維持在1 50-20 0QC的範圍内,因此能夠避免不 需要的沉積物形成在冷陷阱650之入口 651内部上《—障 礙板659位於靠近冷陷阱650頂部650T的地方。一多迴 路冷卻線圈656焊至冷陷阱650的底部650B,20-250C的 冷卻水則在冷卻線圏6 5 6内部流通,當柚出氣流經由入口 651進入冷陷阱650時,柚氣氣流就會因為障礙板659而 改成輻射性往外流,這時一些如鹽酸及其它副產物的凝結 劑就會陷入障礙板6 5 9上,但大部份則陷入冷卻線圈6 5 6 上,因在其上有很大的陷阱表面區。剩餘的柚出氣流在被 抽進柚氣線690之前,經由軸向的通道658、隔離閥門660 及節流閥670離開冷陷阱650,其中該通道658與冷陷阱 650的出口 652相連。
第27T 本紙張尺度適用中國國家標準(CNS)A4規格(210x 297公芨) -----------I I 裳 ----訂·----1!·"· <請先閱蹟背面之注意事項再填寫本頁) 4 4H^
五、發明說明() 儘管氣清洗的反應室清洗過程(以下將有介紹)能對抽 氣用组件600的内部進行不錯的乾清洗,但在較長的晶圓 處理時間之後(如5000片晶團)還是會有粉末的沉積發 生,這些沉積可在定期維修時利用水或過氧化氫將之除 去。此外,受熱柚氣用組件600的使用也使設備的壽命增 長、便於反應室的維護及延長反應室清洗的週期a 反應室清洗過程 11 11 1 1 » 對於大部份的製程設備說來,反應室的定期清洗對製 程晶圓的清潔度而言是必須的,臨場氣熱清洗反應室就是 針對清潔而設計的一種反應室’當使用四氣化鈦及氣以形 成氮化鈦沉積膜時’氮化鈦就會沉積在反應室内部(與氨 鹽化合物粉末不同)’當然溫度必須是在丨5 〇 — 2 5 00 C之間, 此時該膜就可以利用臨場氣熱清洗過程加以清洗^這種熱 清洗可參見第09/164,71 1號美國專利申請案的詳細說 明。其中氣氣經由與四氣化鈦在噴灑頭組件3 0 0内相同的 路徑進入反應室100中,其中一般之製程條件包含氣流率 為50Osccm左右、壓力在1 0-20托爾左右、加熱器180溫 度在600-700QC左右及反應室内部牆溫度為150-2 5 0QC左 右,當然製程參數可以隨特定之製程進行調整,端視所使 用的清洗過程而定。除了熱清洗之外,反應室1 00也應該 可以進行其它清洗技術之應用,如電漿或遠端微波氯清洗 過程。舉例來說,使反應室能應用在電漿清洗過程就必須 對反應室蓋子3丨0進行修改’以能容納射頻偏壓及與地的 第28頁 本紙張又度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之泫意事項再填寫本頁) --------訂---1 — 111" 經濟部智慧財產局員工消費合作杜印製
經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 隔絕。電漿清洗的進行必須要將射頻電源加諸噴灑頭組件 300、反應室體250及薄層200(地)之間,所以反應室能應 用電漿清洗技術,其間的硬體必須進行修改,在以下所述 之電漿產生一節中將有其說明。 後沉積回火_ 在氮化鈦沉積之後可利用回火過程改善膜層性質’膜 層回火係對晶圓在相當高的溫度下進行熱回火,如 750QC,這可由現有的受熱座檯180達成之。回火可降低 氮化鈦沉積膜上的氮線跡,所以能將膜層的高阻抗降低。 回火的造行另一方面也可以電漿回火的方式進行,此時嘴 灑頭就是一頂部射頻電極,而同時座檯180就是一底部地 電極,其中為應電漿回火所進行的硬體修改在以下將有說 明。 電漿產生 儘管上述之現有反應室100只能用於非電漿之應用當 中,但反應室也可以輕易修改成適合電漿加強式之應用, 如沉積、回火及清洗。在熱沉積中,可將整個蓋子組件(如 冷卻板组件400、蓋子/氣流盒3 1 〇及喷灑頭3 3 0)耦合至接 地的反應室體250及薄層200,但是在電漿應用中,射頻 電源必須要加至喷灑頭3 3 0,所以在喷灑頭3 3 0及反應室 體250之間必須要有電性隔絕,第7a圖所示就是一因應 電漿應用所做组態修改之一實施例。舉例說來,現有化學 第291 本纸張尺度適用t固國家標準(CNS)A4規格(210 X 297公爱) ------------ ^--------訂------^----^ {請先閱讀背面之注t事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 五、發明說明() 氣相沉積反應室(如化學氣相沉積鈦、鎢、鋁及介電反應 室蓋子(組態修改成可適電漿之應用))所用的射頻蓋子就 適合在調整之後用在本發明之高溫化學氣相沉積反應室 當中。在第7a圖圖示當中,喷灑頭330及氣流盒710由 一陶瓷絕緣器780與反應室蓋子720電性隔絕,且反應室 蓋子720及反應室體250仍處於地端。第7b圖所示為一 射頻模組750,它能提供射頻電源至噴灑頭330,其中射 頻模組750可以在反應室安裝或遠端安裝,並經由一射頻 連接棒7 5 2提供射頻電源至喷灑頭3 3 0、射頻活塞連接器 754及一射頻陷阱連接器756,數個delrin絕緣體770並 將這些射頻連接器752, 75 4及756與反應室體250及反應 室蓋子720隔絕開來。在沉積過程中,製程氣流經由氣流 盒710的分離氣流入口 711及712進入反應室1〇〇中,其 中氣流盒7 1 0與雙氣流噴灑頭3 3 0相連接。梯度電壓組件 790的存在係為避免製程氣流在氣流傳輸線上就發生電分 解用,其中梯度電壓組件790就安裝在氣流入口 771及712 周圍的氣流盒7 1 0上’梯度電壓組件7 9 0的詳細說明可參 考名稱為”Plasma-Enhanced CVD Process Using TEOS for Depositing Silicon Oxide"的第 5,362,526 號美國專利案, 在此將之併入以供參考。 在此當強調的是這種製氮化鈦膜的高溫反應室並沒 有先天上的限制’一般也可利用其以進行其它的化學氣相 沉積膜的沉積,甚且還可擴充反應室的使用而進行其它的 製程應用,其中雙氣流喷灑頭設置在需要將製程氣流導入 第30頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) rlf先閲讀背面之;i意事項再填寫本頁)
n n 1 “ο. I n I
五、發明說明() 反應室、但卻不讓氣流之間發生混合的場合下最能發揮其 效益,另受熱薄層也可以應用在製程需要將製程副產物在 熱反應室表面降至最少的蝕刻或沉積當中。 本發明之較佳實施例已由以上的詳細說明指陳,熟知 此項技術者可輕易設計出其它經過變更之實施例1但仍不 脫離本發明的精神意旨之外。 (請先閱讀背面之泫意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 頁 3 第 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公芨)
Claims (1)
- 4^7c〇i14l A8 B8 C8 D8 六、申請專利範圍 1. 一種晶圓製程設備,該設備至少包含: 一反應室體;及 (請先閱讀背面之注急事項再填寫本頁) 一薄層,大致為該反應室體包圍,其中該薄層維持 在一第一溫度T1,且該反應室體維持在一第二溫度T2, 其中該第二溫度T2較該第一溫度T1為低。 2. 如申請專利範圍第1項所述之設備,其中上述之薄層及 反應室體之間存在間隔。 3 .如申請專利範圍第2項所述之設備,其中上述之薄層及 反應室體之間由一絕緣组件隔離。 4 .如申請專利範圍第3項所述之設備,其中上述之絕緣阻 件為複數支鞘。 5 如申請專利範圍第4項所述之設備,其中上述之輔由不 鎊鋼或鍊製成。 經濟部智慧財產局員工消費合作社印製 6. 如申請專利範圍第1項所述之設備,其中上述之晶圓製 程至少包含利用四氯化鈦及氨之間的反應以形成氮化 飲膜3 7. 如申請專利範圍第1項所述之設備,其中上述之第一溫 度T1大約是在1 50DC至250GC之間,且該第二溫度T2 第32頁 本紙張尺度適用中园國家標準(CNS)/\4規格(21〇χ297公釐) 經濟部智慧財產局員工消費合作社印製 ^ AS B3 C3 D8 六、申請專利範圍 大約是在60aC至65QC之間。 8. 如申請專利範圍第1項所述之設備’其中上述之薄層更 包含一喪於其中的加熱器。 9. 如申請專利範圍第1孓所述之設備,其中更包含: 一座檯,位於該薄層之中央,用以將一基材支樓於 該反應室中,其中該座檯維持在一第三溫度T3 ’約為 6000C 至 8000C 之間。 1 〇.如申請專利範圍第9項所述之設備,其中上述之座檯更 包含一置於該座檯内部的熱元件。 1 1.如申請專利範圍第9項所述之設備,其中上述之座檯由 一陶瓷材料製成。 1 2.如申請專利範圍第Π項所述之設備’其中上述之陶瓷 材料為氮化銘。 U ·如申請專利範圍第9項所述之設備,其中上述之座檯更 包含一電極,置於該座檯之内,且該電極能夠將射頻電 源分送給該座檯。 1 4.如申請專利範圍第1項所述之設備1其中更包含: 第331 本紙張尺度適用中國國家標準(CNS)A4蜆格(210 X 297公.¾ ) I--] n H —»1. tt n- n n I » n- i I n n n n i In I 1— t— (Jf- fk F (請先閱璜背面V注意事項再填寫本頁) 447014 A8 B8 CS 08 六、申請專利範圍 一抽氣用組件,與該反應室體相連接;及 至少一加熱元件,置於極靠近該抽氣用組件的地方,用 以將該抽氣用组件維持在一溫度T4。 1 5 .如申請專利範圍第1 4項所述之設備,其中上述之溫度 T4大约在1 50QC至200QC之間。 1 6 .如申請專利範圍第1 4項所述之設備,其中上述之至少 一加熱元件置於該柚氣用组件之外表面的周圍。 1 7.如申請專利範圍第1項所述之設備,其中更包含: 一座檯,具有一頂表面、一底表面、一外圍及一外 惻邊緣: 一大致呈圓環狀的邊緣環,具有一頂表面、一底表 面、一内面、一外表面及一凸出區域;及 該底表面、該内表面及該邊緣環的該凸出區域,置 於非常接近該座檯之外圍及外惻邊緣之處。 1 8. —種晶圓製程設備,該設備至少包含: 一大致呈桶狀的反應室體,具有一反應室側邊及一 大致呈圓環狀的反應室基底,該反應室基底具有一内惻 底表面,且在該反應S:體構成一底部開口,該反應室體 維持在一溫度T2 ; 一薄層,大致為該該反應室體包圍,其中該反應室 第34頁 本紙張尺度適用中國國家標準(CNS>A4蜆烙(210 X 297公S ) II - ίι - m ti --1 -ί n I— I n n 一^p ί n «t ΓΤ · tlr [ L. {請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 4470 1 4 A8 B8 C8 D8 、申請專利範圍 體具有一頂端與一底端,及一内表面與一外表面,其中 該薄層維持在一溫度τ 1,其中溫度τ 1大於溫度T2 ; 一絕緣組件,置於該薄層之該底端及該反應室基底 之該内表面之間,用以將該薄層及該反應室基底維持一 間隔距離; 一座檯組件,置於該反一室體之該底部開口中央, 且位於該薄層内:其中該座檯组件至少包含: 一座檯,具有一頂表面、一底表面及一周圍邊 緣,其中該周圍邊緣具有一加熱器及至少一内嵌於 其中的電極,其中該座檯維持在一溫度T3,其中 溫度T3大於溫度τι及溫度T2 : 一桿狀區域,與該座檯之該底表面相連; 一噴灑頭,置於該座檯之上,其中該座檯具有 一頂表面及一底表面; 一邊緣環,置於該座檯之該周圍邊緣;及 一抽氣用组件,與該反應室體相連,其中該反 應室體具有一内部表面及-外部表面,該抽氣用組 件並有至少一加熱元件置於該外部表面,以將該抽 氣用組件維持在一溫度Τ4。 1 9 .如申請專利範圍第1 8項所述之設備,其中該薄層具有 一嵌入其中的加熱器。 2 0 .如申請專利範圍第I b項所述之設備,其中上述之絕緣 第35頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公沒) --.---1 J1 Ί 1 J------I I I I I 訂.-------I (請先閱ΪΤ背面之注意事項再填寫未頁) 經濟部智慧財產局員工消費合作钍印製 447 0 1 A8 B8 C8 D8 六,申請專利範圍 組件為複數支鞘。 2 1 .如申請專利範圍第1 8項所述之設備,其中上述之溫度 Tt大約在丨50aC至250QC之間’該溫度T2大约在60aC 至65GC之間,該溫度T3大約在600QC至800QC之間, 且該溫度T4大約在150UC至200UC之間。 2 2 .如申請專利範圍第1 8項所述之設備,其中上述之噴灑 頭能耦合至一射頻源,以分配射頻至該噴灑頭。 2 3 . —種晶圓進行製程的方法,該方法至少包含下列步驟: a) 加熱一座檯至溫度T 3 ; b) 維持一薄層於溫度 T 1,且維持一反應室於溫度 Τ2 :及 c) 注入該反應室兩道氣流,以沉積膜層,其中 Τ3>Τ卜Τ2。 經濟部智慧財產局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 24.如申請專利範圍第23項所述之方法,其中上述之溫度 Τ1大约在150aC至250QC之間,Τ2大約在60QC至65()C 之間,而T3大約在6009C至800UC之間。 2 5 .如申請專利範圍第24項所述之方法,其中更包含導入 一第一清洗氣流,使流經該座檯之該底表面,及導入一 第二清洗氣流,使流經該反應室之一内表面及該薄層之 第36頁 本紙張尺度適用令國國家標芈(CNS)A-l規格(210 X 297公笼) 44701 d A8 B8 C8 D8 六、申請專利範圍 間的步驟。 2 6.如申請專利範圍第2 5項所述之方法,其中上述之清洗 氣流為氮及氬。 2 7.如申請專利範圍第2 3項所述之方法’其中更包含將該 晶圓在膜層沉積之後進行回火的步驟3 2 8.如申請專利範圍第2 7項所述之方法’其中上述之回火 步驟至少包含加熱該晶圓至一高於丁3的溫度a 2 9 .如申請專利範圍第2 7項所述之方法’其中上述之回火 步驟至少包含使該晶圓置於該反應室内部之電漿環境 下。 3 〇.如申請專利範園第2 3項所述之方法,其中上述之注入 氣流步驟至少包含導入至少兩道製程氣流’而在進入該 反應室之前,該製程氣流不會互相混合。 3 r如申請專利範圍第2 3項所述之方法,其中上述之薄層 由一嵌入該薄層的一加熱器加熱至該溫度。 3 2 .如申請專利範圍第2 3項所述之方法,其中更包含在該 膜層沉積完成後清洗該反應室的步驟。 第37頁 本紙張尺度適用中國國家標準(CNS)A.l規格(210 X 297公釐) I ί .---- ί I I J---- I ---- I I-------- J I I (琦先閒讀背面之$意事項再填寫本頁> 經濟部智慧財產局員工消費合作杜印製 4470 1 4 A8 B8 C8 Π8 六、申請專利範圍 3 3 .如申請專利範圍第3 2項所述之方法’其中上述之清洗 步郷至少包含下列步驟: 維持該座檯於約600QC至70(^(:的溫度; 維持該反應室於约1 50GC至250ύ(:的溫度;及 導入氣氣至該反應室。 、 3 4 .如申請專利範圍第3 3項所述之方法,其中上述之清洗 步驟至少包含建立含氯之電漿於該反應室内。 (請先閱讀背面之注意事項再填寫本頁> 經濟部智慧財產局員工消費合作社印製 第38頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/211,998 US6364954B2 (en) | 1998-12-14 | 1998-12-14 | High temperature chemical vapor deposition chamber |
Publications (1)
Publication Number | Publication Date |
---|---|
TW447014B true TW447014B (en) | 2001-07-21 |
Family
ID=22789133
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW088120548A TW447014B (en) | 1998-12-14 | 1999-11-24 | High temperature chemical vapor deposition chamber |
Country Status (5)
Country | Link |
---|---|
US (1) | US6364954B2 (zh) |
JP (1) | JP2003524703A (zh) |
KR (1) | KR20010080758A (zh) |
TW (1) | TW447014B (zh) |
WO (1) | WO2000036179A2 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI425882B (zh) * | 2004-12-22 | 2014-02-01 | Lam Res Corp | 減少副產物沉積在電漿處理系統之方法與配置 |
Families Citing this family (443)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5873781A (en) * | 1996-11-14 | 1999-02-23 | Bally Gaming International, Inc. | Gaming machine having truly random results |
US6530992B1 (en) * | 1999-07-09 | 2003-03-11 | Applied Materials, Inc. | Method of forming a film in a chamber and positioning a substitute in a chamber |
US6589352B1 (en) * | 1999-12-10 | 2003-07-08 | Applied Materials, Inc. | Self aligning non contact shadow ring process kit |
US6764958B1 (en) * | 2000-07-28 | 2004-07-20 | Applied Materials Inc. | Method of depositing dielectric films |
US6451692B1 (en) * | 2000-08-18 | 2002-09-17 | Micron Technology, Inc. | Preheating of chemical vapor deposition precursors |
US6537733B2 (en) * | 2001-02-23 | 2003-03-25 | Applied Materials, Inc. | Method of depositing low dielectric constant silicon carbide layers |
JP4219628B2 (ja) * | 2001-07-27 | 2009-02-04 | 東京エレクトロン株式会社 | プラズマ処理装置および基板載置台 |
US6656837B2 (en) * | 2001-10-11 | 2003-12-02 | Applied Materials, Inc. | Method of eliminating photoresist poisoning in damascene applications |
JP4121269B2 (ja) * | 2001-11-27 | 2008-07-23 | 日本エー・エス・エム株式会社 | セルフクリーニングを実行するプラズマcvd装置及び方法 |
US7431585B2 (en) * | 2002-01-24 | 2008-10-07 | Applied Materials, Inc. | Apparatus and method for heating substrates |
KR100808741B1 (ko) * | 2002-01-24 | 2008-02-29 | 스미토모 세이미츠 고교 가부시키가이샤 | 오존 처리 장치 |
US7150789B2 (en) * | 2002-07-29 | 2006-12-19 | Micron Technology, Inc. | Atomic layer deposition methods |
AU2003282533A1 (en) * | 2002-08-08 | 2004-02-25 | Trikon Technologies Limited | Improvements to showerheads |
US6890596B2 (en) * | 2002-08-15 | 2005-05-10 | Micron Technology, Inc. | Deposition methods |
US6753271B2 (en) | 2002-08-15 | 2004-06-22 | Micron Technology, Inc. | Atomic layer deposition methods |
US6673701B1 (en) * | 2002-08-27 | 2004-01-06 | Micron Technology, Inc. | Atomic layer deposition methods |
US7311942B2 (en) | 2002-08-29 | 2007-12-25 | Micron Technology, Inc. | Method for binding halide-based contaminants during formation of a titanium-based film |
US20040052969A1 (en) * | 2002-09-16 | 2004-03-18 | Applied Materials, Inc. | Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate |
US6946033B2 (en) * | 2002-09-16 | 2005-09-20 | Applied Materials Inc. | Heated gas distribution plate for a processing chamber |
US7270713B2 (en) * | 2003-01-07 | 2007-09-18 | Applied Materials, Inc. | Tunable gas distribution plate assembly |
JP4671262B2 (ja) * | 2003-01-21 | 2011-04-13 | 日本碍子株式会社 | 半導体加熱装置 |
US7078344B2 (en) * | 2003-03-14 | 2006-07-18 | Lam Research Corporation | Stress free etch processing in combination with a dynamic liquid meniscus |
US7232766B2 (en) * | 2003-03-14 | 2007-06-19 | Lam Research Corporation | System and method for surface reduction, passivation, corrosion prevention and activation of copper surface |
US7009281B2 (en) * | 2003-03-14 | 2006-03-07 | Lam Corporation | Small volume process chamber with hot inner surfaces |
US7217649B2 (en) * | 2003-03-14 | 2007-05-15 | Lam Research Corporation | System and method for stress free conductor removal |
KR100522727B1 (ko) * | 2003-03-31 | 2005-10-20 | 주식회사 아이피에스 | 박막증착용 반응용기 |
US6942753B2 (en) * | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
US6830624B2 (en) * | 2003-05-02 | 2004-12-14 | Applied Materials, Inc. | Blocker plate by-pass for remote plasma clean |
TW200501242A (en) * | 2003-05-23 | 2005-01-01 | Eagle Ind Co Ltd | Semiconductor manufacturing device and heating unit thereof |
KR20060011887A (ko) * | 2003-05-30 | 2006-02-03 | 에비자 테크놀로지, 인크. | 가스 분산 시스템 |
US7166528B2 (en) | 2003-10-10 | 2007-01-23 | Applied Materials, Inc. | Methods of selective deposition of heavily doped epitaxial SiGe |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7780793B2 (en) * | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
US20060051966A1 (en) * | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US7622005B2 (en) * | 2004-05-26 | 2009-11-24 | Applied Materials, Inc. | Uniformity control for low flow process and chamber to chamber matching |
US7572337B2 (en) * | 2004-05-26 | 2009-08-11 | Applied Materials, Inc. | Blocker plate bypass to distribute gases in a chemical vapor deposition system |
US20060021703A1 (en) * | 2004-07-29 | 2006-02-02 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
JP2006128485A (ja) * | 2004-10-29 | 2006-05-18 | Asm Japan Kk | 半導体処理装置 |
KR100636037B1 (ko) * | 2004-11-19 | 2006-10-18 | 삼성전자주식회사 | 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치 |
KR100636036B1 (ko) * | 2004-11-19 | 2006-10-18 | 삼성전자주식회사 | 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치 |
US20060130971A1 (en) * | 2004-12-21 | 2006-06-22 | Applied Materials, Inc. | Apparatus for generating plasma by RF power |
US7126092B2 (en) * | 2005-01-13 | 2006-10-24 | Watlow Electric Manufacturing Company | Heater for wafer processing and methods of operating and manufacturing the same |
US7235492B2 (en) * | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
US8282768B1 (en) | 2005-04-26 | 2012-10-09 | Novellus Systems, Inc. | Purging of porogen from UV cure chamber |
US7550381B2 (en) * | 2005-07-18 | 2009-06-23 | Applied Materials, Inc. | Contact clean by remote plasma and repair of silicide surface |
TW200745367A (en) * | 2005-07-29 | 2007-12-16 | Aviza Tech Inc | Gas manifold valve cluster |
US7642195B2 (en) * | 2005-09-26 | 2010-01-05 | Applied Materials, Inc. | Hydrogen treatment to improve photoresist adhesion and rework consistency |
US20070084408A1 (en) * | 2005-10-13 | 2007-04-19 | Applied Materials, Inc. | Batch processing chamber with diffuser plate and injector assembly |
KR100799703B1 (ko) * | 2005-10-31 | 2008-02-01 | 삼성전자주식회사 | 막 형성 방법 및 반응 부산물의 제거 방법 |
US20070116873A1 (en) * | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Apparatus for thermal and plasma enhanced vapor deposition and method of operating |
US20070116872A1 (en) * | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Apparatus for thermal and plasma enhanced vapor deposition and method of operating |
US8398816B1 (en) * | 2006-03-28 | 2013-03-19 | Novellus Systems, Inc. | Method and apparatuses for reducing porogen accumulation from a UV-cure chamber |
US9157151B2 (en) * | 2006-06-05 | 2015-10-13 | Applied Materials, Inc. | Elimination of first wafer effect for PECVD films |
KR100753158B1 (ko) * | 2006-06-19 | 2007-08-30 | 삼성전자주식회사 | 공정 챔버의 세정 방법 |
US7554103B2 (en) * | 2006-06-26 | 2009-06-30 | Applied Materials, Inc. | Increased tool utilization/reduction in MWBC for UV curing chamber |
JPWO2008007675A1 (ja) * | 2006-07-11 | 2009-12-10 | 東京エレクトロン株式会社 | 成膜方法、クリーニング方法、および成膜装置 |
US20080050932A1 (en) * | 2006-08-23 | 2008-02-28 | Applied Materials, Inc. | Overall defect reduction for PECVD films |
US7476291B2 (en) * | 2006-09-28 | 2009-01-13 | Lam Research Corporation | High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation |
KR100867191B1 (ko) * | 2006-11-02 | 2008-11-06 | 주식회사 유진테크 | 기판처리장치 및 기판처리방법 |
US7410916B2 (en) * | 2006-11-21 | 2008-08-12 | Applied Materials, Inc. | Method of improving initiation layer for low-k dielectric film by digital liquid flow meter |
CN101205605B (zh) * | 2006-12-18 | 2012-01-11 | 东京毅力科创株式会社 | 用于热增强和等离子体增强气相沉积的装置及操作方法 |
JP2008186865A (ja) * | 2007-01-26 | 2008-08-14 | Tokyo Electron Ltd | 基板処理装置 |
US7718559B2 (en) * | 2007-04-20 | 2010-05-18 | Applied Materials, Inc. | Erosion resistance enhanced quartz used in plasma etch chamber |
KR100842494B1 (ko) * | 2007-06-25 | 2008-07-01 | 주식회사 동부하이텍 | 반도체 소자의 정렬키 형성 방법 |
US7928019B2 (en) * | 2007-08-10 | 2011-04-19 | Micron Technology, Inc. | Semiconductor processing |
KR101046520B1 (ko) * | 2007-09-07 | 2011-07-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어 |
US20090095221A1 (en) * | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas concentric injection showerhead |
US20090188625A1 (en) * | 2008-01-28 | 2009-07-30 | Carducci James D | Etching chamber having flow equalizer and lower liner |
US7987814B2 (en) | 2008-04-07 | 2011-08-02 | Applied Materials, Inc. | Lower liner with integrated flow equalizer and improved conductance |
WO2010008827A2 (en) * | 2008-06-24 | 2010-01-21 | Applied Materials, Inc. | Pedestal heater for low temperature pecvd application |
WO2010045153A2 (en) * | 2008-10-14 | 2010-04-22 | Applied Materials, Inc. | Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (pecvd) |
US20100108263A1 (en) * | 2008-10-30 | 2010-05-06 | Applied Materials, Inc. | Extended chamber liner for improved mean time between cleanings of process chambers |
KR101589107B1 (ko) * | 2009-04-10 | 2016-01-27 | 주성엔지니어링(주) | 공정챔버의 세정방법 |
US8877001B2 (en) * | 2009-05-07 | 2014-11-04 | Applied Materials, Inc. | Shuttered gate valve |
US8409995B2 (en) * | 2009-08-07 | 2013-04-02 | Tokyo Electron Limited | Substrate processing apparatus, positioning method and focus ring installation method |
US9449859B2 (en) * | 2009-10-09 | 2016-09-20 | Applied Materials, Inc. | Multi-gas centrally cooled showerhead design |
KR101810532B1 (ko) * | 2010-03-12 | 2017-12-19 | 어플라이드 머티어리얼스, 인코포레이티드 | 다중 인젝트를 이용하는 원자 층 증착 챔버 |
US8597462B2 (en) * | 2010-05-21 | 2013-12-03 | Lam Research Corporation | Movable chamber liner plasma confinement screen combination for plasma processing apparatuses |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8951350B2 (en) * | 2011-05-03 | 2015-02-10 | United Technologies Corporation | Coating methods and apparatus |
US20120285621A1 (en) * | 2011-05-10 | 2012-11-15 | Applied Materials, Inc. | Semiconductor chamber apparatus for dielectric processing |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
KR101248881B1 (ko) * | 2011-09-26 | 2013-04-01 | 주식회사 유진테크 | 기판지지유닛 및 기판처리장치, 그리고 기판지지유닛을 제조하는 방법 |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US20130105085A1 (en) * | 2011-10-28 | 2013-05-02 | Applied Materials, Inc. | Plasma reactor with chamber wall temperature control |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
JP5921168B2 (ja) * | 2011-11-29 | 2016-05-24 | 株式会社日立国際電気 | 基板処理装置 |
US10504719B2 (en) * | 2012-04-25 | 2019-12-10 | Applied Materials, Inc. | Cooled reflective adapter plate for a deposition chamber |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9129899B2 (en) * | 2013-07-17 | 2015-09-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and system for thinning wafer thereof |
US9837250B2 (en) * | 2013-08-30 | 2017-12-05 | Applied Materials, Inc. | Hot wall reactor with cooled vacuum containment |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US10269573B2 (en) * | 2014-03-31 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company Ltd. | Device and method for manufacturing a semiconductor structure |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10388546B2 (en) | 2015-11-16 | 2019-08-20 | Lam Research Corporation | Apparatus for UV flowable dielectric |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
KR102206515B1 (ko) * | 2016-03-25 | 2021-01-22 | 어플라이드 머티어리얼스, 인코포레이티드 | 고온 프로세싱을 위한 챔버 라이너 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
KR102641441B1 (ko) * | 2016-09-28 | 2024-02-29 | 삼성전자주식회사 | 링 어셈블리 및 이를 포함하는 척 어셈블리 |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
JP6851173B2 (ja) * | 2016-10-21 | 2021-03-31 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
USD842259S1 (en) * | 2017-04-28 | 2019-03-05 | Applied Materials, Inc. | Plasma chamber liner |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11978646B2 (en) * | 2017-05-18 | 2024-05-07 | Applied Materials, Inc. | Thermal chamber with improved thermal uniformity |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) * | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
CN111316417B (zh) | 2017-11-27 | 2023-12-22 | 阿斯莫Ip控股公司 | 与批式炉偕同使用的用于储存晶圆匣的储存装置 |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
CN108118296A (zh) * | 2017-12-08 | 2018-06-05 | 北京创昱科技有限公司 | 一种冷却板 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
CN109962000B (zh) * | 2017-12-25 | 2022-09-30 | 中微半导体设备(上海)股份有限公司 | 一种可减少污染颗粒的等离子体处理装置及其方法 |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
CN116732497A (zh) | 2018-02-14 | 2023-09-12 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
TW202405221A (zh) | 2018-06-27 | 2024-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP7441939B2 (ja) | 2019-09-13 | 2024-03-01 | アプライド マテリアルズ インコーポレイテッド | 半導体処理チャンバ |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
US11881384B2 (en) | 2019-09-27 | 2024-01-23 | Applied Materials, Inc. | Monolithic modular microwave source with integrated process gas distribution |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210127620A (ko) | 2020-04-13 | 2021-10-22 | 에이에스엠 아이피 홀딩 비.브이. | 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
US12062536B2 (en) | 2020-09-08 | 2024-08-13 | Applied Materials, Inc. | Amorphous carbon for gap fill |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
US20220093361A1 (en) * | 2020-09-22 | 2022-03-24 | Applied Materials, Inc. | Showerhead assembly with recursive gas channels |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11499223B2 (en) | 2020-12-10 | 2022-11-15 | Applied Materials, Inc. | Continuous liner for use in a processing chamber |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
US11946140B2 (en) * | 2021-03-26 | 2024-04-02 | Applied Materials, Inc. | Hot showerhead |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
CN113337810B (zh) * | 2021-05-26 | 2022-04-22 | 北京北方华创微电子装备有限公司 | 内衬装置及半导体加工设备 |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
JP7536941B2 (ja) | 2022-08-30 | 2024-08-20 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
Family Cites Families (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH03211279A (ja) | 1990-01-11 | 1991-09-17 | Mitsubishi Electric Corp | 化学気相成長装置 |
JPH03236221A (ja) | 1990-02-14 | 1991-10-22 | Fujitsu Ltd | 気相成長装置 |
JPH04136175A (ja) * | 1990-09-26 | 1992-05-11 | Matsushita Electric Ind Co Ltd | 薄膜形成装置 |
JPH0529225A (ja) * | 1991-07-22 | 1993-02-05 | Toshiba Corp | 気相成長装置 |
US5308655A (en) | 1991-08-16 | 1994-05-03 | Materials Research Corporation | Processing for forming low resistivity titanium nitride films |
US5279857A (en) | 1991-08-16 | 1994-01-18 | Materials Research Corporation | Process for forming low resistivity titanium nitride films |
US5370739A (en) | 1992-06-15 | 1994-12-06 | Materials Research Corporation | Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD |
US5356476A (en) | 1992-06-15 | 1994-10-18 | Materials Research Corporation | Semiconductor wafer processing method and apparatus with heat and gas flow control |
US5271963A (en) | 1992-11-16 | 1993-12-21 | Materials Research Corporation | Elimination of low temperature ammonia salt in TiCl4 NH3 CVD reaction |
US5416045A (en) | 1993-02-18 | 1995-05-16 | Micron Technology, Inc. | Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films |
US5451258A (en) | 1994-05-11 | 1995-09-19 | Materials Research Corporation | Apparatus and method for improved delivery of vaporized reactant gases to a reaction chamber |
US5665640A (en) | 1994-06-03 | 1997-09-09 | Sony Corporation | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
WO1995034092A1 (en) | 1994-06-03 | 1995-12-14 | Materials Research Corporation | A method of nitridization of titanium thin films |
GB9411911D0 (en) | 1994-06-14 | 1994-08-03 | Swan Thomas & Co Ltd | Improvements in or relating to chemical vapour deposition |
US5558717A (en) | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
US5610106A (en) | 1995-03-10 | 1997-03-11 | Sony Corporation | Plasma enhanced chemical vapor deposition of titanium nitride using ammonia |
US5567483A (en) | 1995-06-05 | 1996-10-22 | Sony Corporation | Process for plasma enhanced anneal of titanium nitride |
US5788799A (en) | 1996-06-11 | 1998-08-04 | Applied Materials, Inc. | Apparatus and method for cleaning of semiconductor process chamber surfaces |
US5846332A (en) * | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US5812403A (en) | 1996-11-13 | 1998-09-22 | Applied Materials, Inc. | Methods and apparatus for cleaning surfaces in a substrate processing system |
JP3991375B2 (ja) * | 1996-11-13 | 2007-10-17 | 東京エレクトロン株式会社 | トラップ装置 |
US6055927A (en) * | 1997-01-14 | 2000-05-02 | Applied Komatsu Technology, Inc. | Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology |
US5983906A (en) | 1997-01-24 | 1999-11-16 | Applied Materials, Inc. | Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment |
US5855675A (en) * | 1997-03-03 | 1999-01-05 | Genus, Inc. | Multipurpose processing chamber for chemical vapor deposition processes |
US6258170B1 (en) * | 1997-09-11 | 2001-07-10 | Applied Materials, Inc. | Vaporization and deposition apparatus |
US6079356A (en) * | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
JP3567070B2 (ja) * | 1997-12-27 | 2004-09-15 | 東京エレクトロン株式会社 | 熱処理装置及び熱処理方法 |
US6117244A (en) * | 1998-03-24 | 2000-09-12 | Applied Materials, Inc. | Deposition resistant lining for CVD chamber |
US6129808A (en) * | 1998-03-31 | 2000-10-10 | Lam Research Corporation | Low contamination high density plasma etch chambers and methods for making the same |
US6206971B1 (en) * | 1999-03-29 | 2001-03-27 | Applied Materials, Inc. | Integrated temperature controlled exhaust and cold trap assembly |
-
1998
- 1998-12-14 US US09/211,998 patent/US6364954B2/en not_active Expired - Lifetime
-
1999
- 1999-11-24 TW TW088120548A patent/TW447014B/zh not_active IP Right Cessation
- 1999-12-07 KR KR1020017007351A patent/KR20010080758A/ko active IP Right Grant
- 1999-12-07 JP JP2000588423A patent/JP2003524703A/ja not_active Withdrawn
- 1999-12-07 WO PCT/US1999/029115 patent/WO2000036179A2/en active IP Right Grant
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI425882B (zh) * | 2004-12-22 | 2014-02-01 | Lam Res Corp | 減少副產物沉積在電漿處理系統之方法與配置 |
Also Published As
Publication number | Publication date |
---|---|
WO2000036179A3 (en) | 2002-10-17 |
US20010054381A1 (en) | 2001-12-27 |
WO2000036179A2 (en) | 2000-06-22 |
KR20010080758A (ko) | 2001-08-22 |
US6364954B2 (en) | 2002-04-02 |
JP2003524703A (ja) | 2003-08-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW447014B (en) | High temperature chemical vapor deposition chamber | |
JP3597871B2 (ja) | ガスおよびrf(無線周波数)出力を反応室に供給するための積重ねられたシャワヘッド組立体 | |
US9373499B2 (en) | Batch-type remote plasma processing apparatus | |
US6035101A (en) | High temperature multi-layered alloy heater assembly and related methods | |
US5983906A (en) | Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment | |
US5968379A (en) | High temperature ceramic heater assembly with RF capability and related methods | |
JP6143766B2 (ja) | チャンバ壁温度制御を備えたプラズマリアクタ | |
TWI801413B (zh) | 具有加熱的噴淋頭組件之基板處理腔室 | |
EP0855735A2 (en) | A high temperature, high flow rate chemical vapor deposition apparatus and related methods | |
US20030051665A1 (en) | High temperature ceramic heater assembly with rf capability | |
US11420217B2 (en) | Showerhead for ALD precursor delivery | |
US20080017628A1 (en) | Multizone heater for furnace | |
EP0855452A1 (en) | Process and apparatus for depositing titanium layers | |
US11236424B2 (en) | Process kit for improving edge film thickness uniformity on a substrate | |
WO2001099171A1 (fr) | Dispositif de fourniture de gaz et dispositif de traitement | |
US12016092B2 (en) | Gas distribution ceramic heater for deposition chamber | |
TW202302904A (zh) | 熱噴淋頭 | |
US20180347043A1 (en) | Blocker plate for use in a substrate process chamber | |
WO2023200465A1 (en) | Showerhead assembly with heated showerhead | |
US20230011261A1 (en) | Multi-zone heater with minimum rf loss |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent | ||
MM4A | Annulment or lapse of patent due to non-payment of fees |