JP2003524703A - 高温度化学気相成長チャンバー - Google Patents

高温度化学気相成長チャンバー

Info

Publication number
JP2003524703A
JP2003524703A JP2000588423A JP2000588423A JP2003524703A JP 2003524703 A JP2003524703 A JP 2003524703A JP 2000588423 A JP2000588423 A JP 2000588423A JP 2000588423 A JP2000588423 A JP 2000588423A JP 2003524703 A JP2003524703 A JP 2003524703A
Authority
JP
Japan
Prior art keywords
chamber
temperature
liner
pedestal
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000588423A
Other languages
English (en)
Inventor
サルヴァドール ピー ウモトイ
スティーヴ エイチ チャオ
アン エヌ グイェン
ベ ヴィー ヴォ
ジョエル ハストン
ジェイムズ ジェイ チェン
ローレンス チュン−ライ レイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2003524703A publication Critical patent/JP2003524703A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 チャンバー本体と、絶縁ピンによりお互いから断熱された加熱ライナーとを備えたウェーハ処理装置である。ウェーハ処理中、例えば、四塩化チタンとアンモニアの間の熱反応による窒化チタン膜の堆積中、ウェーハ基板は加熱された支持台により600〜700℃の範囲の反応温度まで加熱される。チャンバーライナー及びチャンバー内壁は150〜250℃の間の温度に維持され、チャンバー内での所望しない副産物の堆積を防止する。これはチャンバーの洗浄手順を容易にし、元の位置にある塩素ベースの処理を使用して行われる。加熱されたライナーとチャンバー本体の間の優れた断熱はチャンバーの外面を60〜65℃の安全な作動温度に維持させる。加熱された排気装置はまた処理チャンバーと関連させて使用され、排気ガス及び反応副産物を除去する。外部ヒータは約150〜200℃の温度に排気装置を維持するために使用され、排気装置の内面への所望しない堆積を最小にする。

Description

【発明の詳細な説明】
【0001】開示の背景 1.本発明の技術分野 本発明は半導体ウェーハ処理システムに関し、より詳細には、加熱された内側
ライナー、及び温度制御された外側チャンバー本体とを有する高温度化学気相成
長(CVD)チャンバーに関する。
【0002】 2.背景技術の説明 窒化チタン(TiN)膜は、拡散障壁及びタングステンの接着層として超大規
模集積回路(ULSI)における広い適用を見出している。窒素が存在するTi
の低温度反応スパッタリングは過去において使用され、半導体ウェーハにTiN
膜を堆積する。しかし、デバイスの外形がますます小さくなると、スパッタリン
グされたTiN膜から起こるステップ適用範囲はもはや充分ではない。そのため
、化学気相成長(CVD)技術がULSI適用において選択される方法となって
きている。例えば、四塩化チタンベースのCVD処理からのTiN膜は、高アス
ペクト比のコンタクト障壁、コンデンサーの頂部電極として、又は0.18μm
以下のプラグ充填処理で使用可能である。
【0003】 冷間壁及び熱間壁の両方の低圧力化学気相成長(LPCVD)処理は、四塩化
チタン(TiCl4)及びアンモニア(NH3)の間の反応を使用してTiN膜を
堆積するために使用される。冷間壁の反応炉は、チャンバーの外部に配置される
ハロゲンランプにより、処理ガスの反応温度以上の温度、例えば、650℃以上
まで加熱されるウェーハを含んでいる。しかし、チャンバー壁が冷たい(加熱さ
れていない)ので、付加生成物のアンモニア塩のような処理副産物は、冷たい壁
の内面又は他の冷たい面に有害に形成されるだろう。チャンバーの熱サイクルの
間、これらの堆積物ははげてウェーハ上に落ち、汚染を引き起こし、ウェーハの
歩留りを減少させる。これらの反応副産物は元の位置にあるチャンバー洗浄処理
により除去することができないので、頻繁にチャンバーを分解し洗浄する必要が
ある。これはチャンバーを大気に開放することを必要とし、チャンバーをかなり
停止させることになる。
【0004】 代わりに、石英製の熱間反応炉が高品質のTiN膜を形成するために使用され
る。これらの反応炉の加熱された壁は、付加生成物のアンモニア塩のような所望
しない堆積物の内側チャンバー壁への蓄積を減少させるのに役立つ。しかし、熱
間チャンバーは、壁の高温度、例えば、150〜200℃のため、動作させるの
が危険なことがある。その上さらに、所望しない堆積物が形成しないように、チ
ャンバー壁及び他の内面の均一な加熱を達成するのは困難である。
【0005】 1993年12月21日に発行された米国特許5,271,963の継続出願であり、
1994年9月20日にEichmanらに発行された、「TiCl4 NH3 CVD反
応における低温度アンモニア塩の除去装置」という表題の米国特許5,348,587に
開示されているように、1つの可能性ある解決法はチャンバーライナーの使用で
ある。両方の特許はここにインコーポレイテッドバイリファレンスされている。
Eichmanらは、チャンバー外部のランプにより部分的に加熱されると共に2次プ
ラズマにより部分的に加熱される内部ライナーを開示している。この加熱された
ライナーは冷間反応壁の囲い内に配置されている。加熱されたライナーは冷間反
応壁の内面に対して位置し、そのように、反応壁から部分的にのみ絶縁されてい
る。このコンタクトは反応壁に過度の熱伝導を促進させ、チャンバー壁を危険な
までに熱くする結果となるだけでなく、ライナーのさらなる加熱は壁への加熱損
失を補償することを必要とするであろう。
【0006】 そのため、当技術では、チャンバー空洞部を実質的に規定し、外部のチャンバ
ー本体から断熱される加熱されたライナーを有するCVDチャンバーのための必
要性がある。
【0007】本発明の概要 従来技術の不都合は、チャンバーライナーを囲むチャンバー本体を有するウェ
ーハを処理する装置により克服され、ライナーはチャンバー本体から間隔をあけ
て維持され、ライナーがチャンバー本体より高温度に維持されるようになってい
る。そのように、ライナーは、チャンバー本体のための安全な温度を維持しなが
ら、ライナーに堆積する量を減少させる温度に維持されることができる。
【0008】 より詳細には、本発明は、例えば、四塩化チタン(TiCl4)及びアンモニ
ア(NH3)の間の反応を使用して、窒化チタン(TiN)を堆積する、高温度
膜堆積のための処理チャンバーシステムに関する。システムは処理チャンバー及
び排気装置を備えている。チャンバー本体がほぼ60〜65℃又はそれ以下の温
度に維持されている間、処理チャンバーはほぼ150〜250℃の温度に維持さ
れる内部ライナーを有している。ライナーはライナーに埋め込まれた抵抗ヒータ
により直接、又は加熱されたウェーハ支持台により間接的のいずれかで加熱され
ることができる。ライナーは実質的に円筒形であるが、円筒形の壁とベースを有
するバケツ形状のチャンバー本体内に収容されている。絶縁ピンはライナーの下
面とチャンバーベースの内面の間に配置され、ライナーとチャンバー本体の間に
間隔が維持され、すなわち、ライナーは絶縁ピンを通ってチャンバー本体と接触
するだけである。絶縁ピンとライナーとの間の小さい接触領域に起因する低い熱
伝導率のため、ライナーとチャンバー本体の間に優れた断熱が達成される。
【0009】 処理チャンバーは、半導体ウェーハを支持すると共に加熱するため加熱された
ウェーハの支持台と、ウェーハ上方の間隔へ処理チャンバーにTiCl4及びN
3を別々に導入するためのシャワーヘッドとをさらに備えている。ウェーハは
ほぼ600〜700℃の温度に維持され、TiCl4とNH3の間のウェーハ表面
でCVD熱反応が起こり、ウェーハ上にTiN膜が形成されるようになっている
【0010】 排気装置は処理チャンバーに接続され、処理チャンバーからガスを連続して汲
み出すことを許容する。1つの実施例では、排気装置の実質的な部分は排気装置
の外壁に堆積された幾つかのヒータの使用により、ほぼ150℃〜200℃に維
持される。そのような加熱は排気装置内での反応副産物の蓄積を減少させる。
【0011】本発明の詳細な説明 本発明の教示は添付図面と関連させて以下の詳細な説明を考慮することにより
容易に理解できる。
【0012】 理解の容易のため、可能な場合、図面に共通の同一の要素を示すために同一の
参照数字が使用される。
【0013】 図1は、処理チャンバー100及び排気装置600を含む高温度化学気相成長
(HT CVD)システムの部分断面斜視図を示している。チャンバー取付板1
10はこの処理チャンバー100をウェーハ搬送チャンバー(図示せず)に取付
けるために供給され、カリフォルニア州、サンタクララ市のアプライドマテリア
ルズ社により製造された Centura 5200システム(図示せず)のような完全なウ
ェーハ処理システムの残りに処理チャンバー100をインターフェースする。処
理チャンバー100のいろいろな構成要素を示す断面図は図2〜5に示されてい
る。本発明の処理チャンバー100及びその動作を最もよく理解するため、読者
はすべてのこれらの図面を同時に参照すべきである。
【0014】 本発明の処理チャンバー100は、例えば、TiCl4+NH3の化学作用を使
用して、半導体ウェーハに窒化チタンの高温度堆積を行う。TiCl4とNH3
熱分解が高温度で起こるので、好ましくは、ウェーハは600〜700℃の温度
範囲に維持され、ウェーハへの堆積を容易にする。
【0015】 処理チャンバー100は、チャンバー本体250、ライナー200、台部品1
60及びシャワーヘッド部品300を備えている。台部品160はチャンバー1
00のウェーハを支持する台180を含んでいる。2つのガスのシャワーヘッド
部品300は台180に関して平行で間隔をあけた関係で配置され、台180の
ウェーハ支持面及びシャワーヘッド部品300の面板330が反応領域を規定す
るようになっている。堆積を成し遂げるため、ウェーハは加台180により加熱
され、シャワーヘッド部品300は2つの反応ガス(TiCl4とNH3)を処理
チャンバー100に導入し、ウェーハの上方でのみ反応が起こり、ウェーハへT
iNが堆積する。チャンバーの内面への所望しないTiNの堆積を最小にするた
め、チャンバーの内壁、すなわち、チャンバーライナー200は150℃から2
50℃の間の温度に維持される。改善された下面のパージ設備はまたチャンバー
の冷たい領域に形成する所望しない付加生成物の反応副産物を減少させるように
供給される。加熱された排気装置600はまた排気装置600の内壁に粉末が堆
積形成するのを防止する。この粉末の堆積は、150℃以下の温度で形成するT
iCl4とNH3の反応副産物である。150℃以上600℃以下の温度で、Ti
Nの最小量が内側のチャンバー構成要素に堆積される。偶然、チャンバー構成要
素に堆積されるTiN膜は、本発明のチャンバー100内で行われる元の位置に
ある熱塩素洗浄処理により容易に除去可能である。
【0016】チャンバー本体及び加熱された内側ライナー 図2aに示されているように、チャンバー本体250は実質的にバケツ形状で
あり、円筒状側部254及びベース252を有している。円筒状側部254の一
部分に開口部256があり、それは処理及び生成ガスの除去のための排気装置6
00に接続されている。チャンバーベース252は中央に位置する開口部258
を有し、それは、後述するガスパージ設備と同様に台部品を収容するように供給
されている。内側ライナー200は実質的に円筒状であるが、内側だがチャンバ
ー本体250から間隔をあけて配置されている。チャンバー本体250は実質的
にこの内側ライナー200を収容し、ニッケルめっきアルミニウム製である。こ
の特別の使用では、ニッケルめっきは塩素ガスによる腐食からアルミニウム成分
を保護するために必要とされ、それは後述する元の位置にあるチャンバー洗浄処
理で使用される。勿論、異なる洗浄処理の他の適用のため、反応または洗浄処理
で使用される化学種と融和性を有する限り、代わりの金属めっきが使用されても
よい。
【0017】 ユーザ/オペレータを害するチャンバー本体250の外側温度を避けるため、
チャンバ本体温度は内側の加熱されたライナー200からチャンバー本体250
を断熱することによりほぼ60℃〜65℃に維持される。内側ライナー200は
またニッケルめっきアルミニウム製であるが、一定のライナー温度を確立するた
め埋め込まれた抵抗ヒータ215を有している。熱伝導ペーストが抵抗ヒータ2
15に塗布され、ライナー200に溶接されるカバープレート207内に収容さ
れ、ヒータ215とライナー200間での良好な熱伝導率を保証する。AC電圧
はライナー200のフィードスルー部分214の内側のACコネクタを使用して
ヒータ215に結合される。このヒータ215はライナー200の温度を動的に
制御するために随意に使用可能である。例えば、ヒータ215はほぼ150から
250℃にライナー温度を維持するために使用されてもよく、付加生成物のアン
モニア塩又は他の処理副産物のライナー200の内面への形成を防止する。ライ
ナー200の動的熱制御を容易にするため、タイプKの熱電対212がその円筒
状壁204に沿った距離のほぼ半分ライナー200に取外し可能に挿入される。
したがって、ライナー温度はライナー200の下面202からほぼ半分の距離で
測定される。熱電対212及び抵抗ヒータ215のためのコネクタ(図示せず)
はライナー200のフィードスルー部分214の内側に配置されている。締付け
プレート216はチャンバーベース252及びフィードスルー部分214に対し
てOリング201を設置し、チャンバーの内部を外側雰囲気から密閉する。
【0018】 実際には、加熱された台180(図2a参照)の作用自体は、通常、150〜
250℃の所望の範囲内にライナー200の温度を維持するのに充分であるから
、抵抗ヒータ215による独立した加熱は適当なライナー温度を達成する必要は
必ずしもない。勿論、このヒータ215は、異なる温度の要求の他の処理のため
、それ自体で又は加熱された台180と関連して使用されてもよい。
【0019】 内側ライナー200及びチャンバー本体250は3つのドエルピン220によ
りお互いから実質的に絶縁され、その1つは図2aの断面図に示されている。こ
れらの絶縁ピン220は内側ライナー200の下面とチャンバーベース252の
内面253の間に配置されている。内側ライナー200、ドエルピン220及び
チャンバーベース252の回りの領域は図2bの拡大断面図に示されている。3
つのピン220は円の周囲でお互いから等距離に位置するように配列され、円の
中心で120度の角度の範囲を定めている。各ドエルピン220は、直径d1
2つの小さい端部222及び223を有する中央部分221を有し、それぞれは
直径d2を有し、d2はd1より小さい。シンクの使用では通常であるように、穿
設されたスルーホール225はピン220の縦軸に沿って供給され、閉じ込めら
れたガスを汲み出すことができる。ピン220はライナー200の下面202の
対応する受け穴224に圧入され、ライナー200は各ピン20の大きい中央部
分221の一方側に対して位置している。チャンバーベース252の内面253
に3つの対応する穴226がまた有り、これらのピン220を収容する。これら
の穴226の1つは丸く、他の2つは溝をつけられ(図示せず)、さらなる装置
の公差を供給する。
【0020】 適所にドエルピン220を有するライナー200はチャンバーベース252の
内側のこれらの穴226に位置するように設定され、ピン220はライナー20
0とチャンバーベース252の間に適切な間隔を維持するのに有用である。この
間隔はピン220の大きい中央部分221の厚さに対応し、それは約0.135
”(3.4mm)である。4個の1/4〜20のニッケルネジ(図示せず)は、
またドエルピン220と同一の直径の円の周囲にもあるが、使用され、チャンバ
ーベース252の内面253の対応するタップ穴(図示せず)にねじ込むことに
より適所にライナー200を固定する。ニッケルピン220及びネジの小さい接
触領域は加熱されたライナー200とチャンバー本体250の間に非常に良好な
断熱を保証し、加熱されたライナーを150〜250℃に維持している間、チャ
ンバー本体250を約65℃に維持させる。
【0021】 これらのドエルピン220は、ステンレス鋼又はニッケルのような、高温に耐
えることができ、かなり高い熱抵抗(低熱伝導率)を有するいろいろな材料製と
することができる。材料の選択は特定の処理の適用による。例えば、その塩素に
対する化学抵抗及び高温度抵抗のため、ニッケル(200又は201等級)が好
適な材料である。いろいろな構成要素のための寸法及び特定の配置は例示の目的
のためだけであることが分かる。例えば、ライナー200は、チャンバー本体2
50の下部252に形成され断続して間隔をあけたリブ、チャンバー本体250
の下部252に形成された連続する環状隆起、チャンバー本体250の下部に配
置されたスペーサリング等により本体250から間隔をあけることもできるだろ
う。そのように、本発明は、ライナー200とチャンバー本体250の間の断熱
を促進する多くの他のチャンバー/ライナー/スペーサの組合せを含む。そのよ
うな断熱はこれらの構成要素の個々の温度制御を可能にする。
【0022】蓋/ガス箱及び2つのガスの面板 図3aは2つのガスのシャワーヘッド部品300の断面図を示しており、ニッ
ケルめっきアルミニウムの蓋/ガス箱(マニホールド部品310)及び面板33
0を含んでいる。シャワーヘッド部品300は、ガスを予め混合することなく、
処理チャンバー100にTiCl4とNH3の2つのガスを入れる。面板330は
、1998年6月16日に出願され、ここにインコーポレイテッドバイリファレ
ンスされた「半導体ウェーハ処理システムのシャワーヘッドのための2つのガス
の面板」という表題の、Umotoy等により共同譲渡された特許出願で説明されてい
る。シャワーヘッド部品300は2つのガス、例えば、TiCl4とNH3を別々
に反応領域又は処理ゾーン515に導入する。処理ゾーン515は面板330の
下部332と加熱された台180との間の空間によりおおよそ定義され、それは
後述する台部品160の頂部である(図5a参照)。シャワーヘッド部品300
内部での所望しない堆積物の形成を防止するため、別々の流路又は通路が異なる
流路320又はチャンバー322を通ってシャワーヘッド部品300内部に確立
されている。これらの流路320及びチャンバー322は処理ゾーン515に届
く前に2つのガスが混合するのを防ぐ。簡単には、ガスマニホールド又は蓋31
0は、下部312、中部314及び上部316の3つのプレートを備え、それは
、シリコンに富んだアルミニウム膜を使用し、全体の部品を締付け、炉内の部品
をほぼ550℃の温度に置き、それらの一致面334及び336を真空蝋付けす
ることにより一緒に融合され、単一のマニホールド体310を形成する。そのよ
うに、処理ガスがマニホールド体310を流通する時にOリングは処理ガス間を
絶縁する必要はない。これらのプレート312,314及び316は流路320
及びチャンバー322の組合せを含み、面板330がマニホールド体310にボ
ルトで固定される時に多くの流路320及びチャンバー322が適当な通路又は
経路を供給し、ガスを予め混合することなく、チャンバー100の外側のそれら
の供給源(図示せず)から処理ゾーン515に2つのガスを別々に導入するよう
になっている。
【0023】 面板330は、図3bに示されているように、下部ガス分配プレート340と
上部ガス分配プレート350をさらに備えている。2つのガスのための別個の通
路又は流路を共に規定する流路343及び穴(351,352,341,342
及び344)の特定の配列は上で参照した特許出願 No.09/098969に詳細に説明
されている。ガスマニホールドプレート312,314及び316のために前述
したのと同じ技術を使用して2つのプレート340と350が一緒に融合した時
、結果としての単一の面板330は、ガスを予め混合することなく、2つのガス
を処理ゾーン515に均等に導入するため、別個の通路、又は流路を供給すると
言えば十分である。
【0024】 例えば、下部プレート340に2セットの穴341及び342があり、各ガス
のため1つがある。図3bに示されているように、1セットの穴341は上部プ
レートの穴351と整列し、1つのガス、例えば、TiCl4のための(351
から344を介して341への)1つの流路を形成する。他のセットの穴342
は下部プレート340内の水路343に接続されている。これらの水路343は
順番に周囲プレナム390に接続され、それらが共に融合される時に上部及び下
部プレート350と340の間に形成される。プレナム390は上部プレート3
50の別の穴352にさらに接続され、それは第2ガス、例えば、NH3のため
入口を供給する。この構成は第1ガスを(例えば、351から344を介して3
41への)第2通路を通過させ、面板330の下部332のこれらの穴341及
び342から出た後にガスが処理ゾーン515に入るまで混合することなく、面
板330内の(352から390を介して343及び342への)第2通路を第
2ガスに通過させる。各ガス及び穴の分配のための穴サイズの選択は処理条件の
関数であることは注目すべきである。例えば、穴サイズは、ガス流量、ガス圧力
、ガスタイプ、チャンバー圧力及び面板330により変化し、穴を通るガス流量
が面板330の穴の位置と相関するようになっている。
【0025】 シャワーヘッド部品300は、面板330の取付穴(穴396は図3bに示さ
れている)を通る複数のボルト(図示せず)を使用して、面板330をガス分配
マニホールド310の下部に直接添付することにより形成される。Oリングの溝
325(図3aを参照)はガスマニホールド310に供給され、ガスマニホール
ド310と面板330の間をシールするために使用されるOリング326を収容
する。材料が作用温度と融和性があり、処理に使用されるガスに対して化学的耐
性がある限り、Vitonのような異なるタイプのOリングが使用されてもよい。面
板330とガス分配マニホールド310の両方はニッケルめっきアルミニウム製
、又はニッケルのような幾つかの他の熱伝導及び塩素融和性材料製である。勿論
、特定の処理のために必要な熱伝導性及び又は化学融和性を供給する限り、他の
材料の選択が可能である。
【0026】 シャワーヘッド部品300は冷たいプレート体400又は全体の蓋/ガス箱を
均一で一定の温度に維持する他の冷却装置に結合可能である。ウェーハ処理の間
、シャワーヘッド部品300は反応ゾーン515の熱放射を介して加熱された台
180によって加熱される。そのため、TiN又はシャワーヘッドへの及び又は
その内部のTiN堆積処理の副産物の堆積を防止するため、150〜250℃の
範囲内に面板の温度を維持するために冷たい板体400が必要である。冷たい板
体400は、Oリングシール回りの領域を適当なシールのための仕様内に保持す
るのと同様に、シャワーヘッド部品300を温度ドリフトから防止する2つの目
的にかなう。
【0027】冷たい板体 分離可能な冷たい板体400は蓋/ガス箱310の温度を維持するように設計
され、それが温度ドリフトするのを防止する。図4aは冷たい板体400の平面
図の概略表示である。この冷たい板体40は、方形の突出部分402を除き、実
質的に環形状をしている。図4bは冷たい板体400の断面図を示し、天板44
0及び底板420を備え、一緒に蝋付けされ、その後、部品としてニッケルめっ
きされている。頂部板440は、図4aで示されているように、冷却剤を循環さ
せるための板440を通過するコイル形状の水路410を有している。コイル形
状の水路410の2つの端部412及び414は方形の突出部分402に配置さ
れ、冷却剤のための入口及び出口として役立つ。水路410は方形断面を有し、
その底側415で開放している。これは図4bに示された断面図及び図4cに示
された側面図に表わされている。天板440と共に蝋付けされた時、底板420
の上面425は冷却剤が循環可能な閉塞された水路445を規定する。底板42
0は方形の突出部分402の端部に近い2つの開口422及び424を有してい
る。これらの開口422及び424はそれぞれ天板の水路410の2つの端部4
12及び414と整列され、冷却剤の入口及び出口を供給する。冷却剤、通常は
水はチャンバー100のための冷却剤と連続して接続されている。
【0028】 冷却板体400は冷却板体400の周囲の回りの位置430でネジを使用して
蓋/ガス箱(図3aの310)の頂部に取付けられている。底板400の1つの
管状部分421は凹んでおり、底板420の内側426及び外側428部分だけ
が実際にチャンバーの蓋310と物理的に接触するようになっている。冷却板体
400、蓋/ガス箱310及び面板330は時々、集合的に蓋体と呼ばれる。約
45〜55℃の入口水温度で、蓋/ガス箱310は60〜65℃の温度範囲内に
容易に維持可能である。
【0029】 分離可能な構成要素として、この冷却板体400は、設計及び処理のフレキシ
ブル性と同様にメンテナンスの容易性を許容する費用効果のよい設計特徴である
。例えば、面板330のための異なる温度制御のシナリオは多少加熱又は冷却を
供給する異なる冷却(又は加熱)プレートとの適当な交換により達成されてもよ
い。1枚のウェーハ又は複数のウェーハを最も有効に処理するため、特定の処理
に最適の冷却板体400はチャンバーの蓋に容易に設置可能である。
【0030】台部品 図5aは処理チャンバー100内の断面図の台部品160を示している。台部
品160は、サセプタ又は台と呼ばれることがある頂部の平坦な円形部分180
と、チャンバー本体250の底部で中央に配置された開口部258を通って下方
に延びる底の円筒シャフト状部分560とを備えている。台180の上面は(図
5aに破線で示されている)ウェーハを支持するウェーハポケット563と、ウ
ェーハリフトピン285を収容する4つの隙間孔とを有している。台180の支
持面185に関してウェーハを昇降するため、それらは台180の穴内にスライ
ド可能に取付けられ、ウェーハリフトリング580と係止することにより台の上
面185の上方に持上げられることができる。これらのリフトピン285はそれ
らの上端部に配置された円錐形の頭部585によって台180から落ちるのを抑
える。現在の台部品160及びチャンバーの設計はそれぞれ、125mm、15
0mm及び200mmのウェーハ直径を収容可能である。当業者は適当な設計の
拡大を行い、300mmのような大きいウェーハ直径を達成することもまた可能
である。
【0031】 台180は、好ましくは窒化アルミニウム(AlN)のようなセラミック材料
製であり、2つの埋め込まれた電極571及び572をさらに備えている。一般
に、これらの電極571及び572は異なるタイプの伝導材料製であり、いろい
ろな厚さ及び形状が可能である。本発明では、これらの電極の1つは、プラズマ
洗浄及びウェーハのプラズマアニールのような他のプラズマ処理を実行するため
の無線周波数(RF)のグリッド571である。メッシュタイプのグリッド57
1はモリブデン製であり、台の上面185の僅かに下方に配置されている。RF
電極の僅か下方には加熱の目的のための交流(AC)グリッド572がある。現
在使用中の台180は、99.9%純度のダークグレイで高抵抗性のAlN製で
ある。勿論、特定の処理の要求により、他の同様のヒータもまた使用されてもよ
い。この加熱された台180はほぼ600〜800℃の範囲内にウェーハ温度を
制御させる。TiN膜の堆積はまた200〜600℃の間でも実行可能であるが
、結果として生じるTiN膜は所望しない高塩素を含有する傾向がある。そのた
め、好ましくは、ウェーハ温度は最適の堆積結果のため600〜700℃の範囲
内に維持される。ウェーハ処理の間、台の端部186の回りのパージガスの流れ
のため、端部186を冷却することで、台180の中央部と端部の温度差も約1
0℃となる。
【0032】 台部品160は第1(頂部)位置501と第2(底部)位置502との間のリ
フト機構(図示せず)によりチャンバー空洞部内を垂直に移動する。台部品16
0のこの第2位置502は図5aに破線で示されている。ウェーハ処理の間、例
えば、ウェーハ基板564への膜堆積のため、台部品160は第1位置501に
置かれている。チャンバー空洞部280内の反応ゾーン、又は処理ゾーン515
は面板330の底部332と台の上面185との間の空間としておおよそ定義可
能であり、エッジリング290により側部で制限されている。ウェーハ基板56
4はウェーハ処理中、ウェーハポケット563に配置され、ウェーハと面板33
0の底部との距離はほぼ0.360”(9.1mm)である。この距離は例示だ
けのためであり、他の処理の適用にとっては異なってもよい。台180の外端部
186からライナー200の内面286との間の空間530は約0.125”(
3.2mm)である。この空間530の選択は、ライナー200の特定温度とパ
ージガスが処理ゾーン515に入るのを防止する必要性の両方により決定される
【0033】 それぞれのウェーハのための膜堆積の前後、台部品160はウェーハの装填及
び引き出しのため第2位置502まで移動する。この第2位置502では、台の
上面185はスリットバルブの開口260の僅か下方にあり、それはチャンバー
ライナー200の側部、又は円筒状の壁及びチャンバー本体250の円筒状側部
254に置かれている。ウェーハは処理チャンバー100内、及び処理チャンバ
ー100からスリットバルブの開口部260を通って隣接の搬送チャンバー及び
又はロードロックチャンバー(図示せず)、又はクラスタツール内の別のチャン
バーへ搬送可能である。処理チャンバー100に結合可能なタイプのクラスタツ
ールは、1993年2月16日に発行された「段階的真空ウェーハ処理システム
及び方法」という表題で共同譲渡された米国特許5,186,718で説明され、それは
ここにインコーポレイテッドバイリファレンスされている。
【0034】 ウェーハの取扱い及び搬送はロボット搬送体(図示せず)と共に台180のウ
ェーハリフトピンにより達成され、そのロボット搬送体は1990年8月28日
に発行された「マルチチャンバー統合処理システム」という表題で共同譲渡され
た米国特許No.4,951,601で説明され、その完全な開示はここにインコーポレイテ
ッドバイリファレンスされている。簡単に、ウェーハ装填のための手順は、位置
502に置かれた台、及びスリットバルブの開口部260を通って処理チャンバ
ー100へウェーハを搬送するロボットアームとを含んでいる。台の表面185
上に保たれているウェーハ564で、ウェーハリフトリング580は上昇し、ピ
ンの底部に係止し、ウェーハリフトピン285が台の上面185の上方に上昇し
、ウェーハ564をロボットアームから持上げるようになっている。ロボットア
ームを台の表面185から引っ込めた後、台部品160は上昇し、ウェーハポケ
ット563のウェーハ564を受取り、リフトリング580はその元の位置まで
降下する。その後、台部品160はウェーハ処理のためその第1位置501に移
動される。ウェーハの引き出し手順は装填手順の逆である。台部品160の垂直
移動のための自己調整機構はまた、1996年10月25日に出願され、共同譲
渡された米国特許出願No.08/738,240に記載され、「自己整列リフト機構」とい
う表題であり、その開示はここにインコーポレイテッドバイリファレンスされて
いる。
【0035】改善されたパージ設備 図5aはエッジリング290と背面ガスパージ設備の詳細をも示している。エ
ッジリング290は台部品160の台180の外辺部187の回りに配置されて
いる。このエッジリング290は実質的に環状であり、リング290の底部29
4に突出部分292を有している。そのように、この突出部分292は本質的に
リング290の底部294を底部内面297及び底部外面298に分割する。台
の部品160がその第1位置501にある時、エッジリング290は加熱された
台180の頂部にあり、その底部内面297が台の上面185の一部を覆うよう
になっている。また、この位置において、エッジリング290の突出部分292
は台180の側部及び外端部186の一部を覆っている。その後、空間531は
エッジリング290の外側底部分298と加熱されたライナー200の突出部分
203との間に形成されている。この隙間531は底部、又は背面のためのチョ
ーク、(後述されるパージガスの流れ)を供給し、台180の端部の回りのパー
ジガスの均一な分配を保証する。
【0036】 台部品160がその第2位置にある時、エッジリング290は加熱されたライ
ナー200の突出部分203の頂部にある。そのように、エッジリングは、台1
80との間でウェーハを配置及び又は引き出す時、ロボット搬送機構のアクセス
と干渉しない。
【0037】 エッジリング290は、特に、セラミック、石英、アルミニウム及び鉄のよう
ないろいろな材料製とすることができる。材料の正確な選択は、勿論、特定の処
理の適用による。例えば、石英はTiCl4とNH3の化学的性質を使用してTi
N堆積のために使用可能である。
【0038】 このエッジリング290の目的は2つあり、1)処理の間、ガス及び又は副産
物のため一定のポンピングが確立可能なようにチョークとして作用することと、
2)台部品160の後ろに処理ガスが入り、チャンバー100の下部に所望しな
い堆積物が発生するのを防止することである。
【0039】 ウェーハ処理の間、台部品160は第1(頂部)位置501にあり、エッジリ
ング290の頂部295及び面板330の底部332の間の隙間520が約0.
05”(1.3mm)となるようになっている。背面パージは、ライナーパージ
入口505を通ってライナー200とチャンバー本体250の間の隙間599に
アルゴンを流すことにより確立され、チャンバー本体250の冷たい壁面への粉
の堆積を防止する。この隙間599は約0.06”(1.5mm)幅である。パ
ージガスの流れを促進するため、1セットの穴595がまた底部の開口部258
の台のシャフト560の回りに配置されたウェーハリフトリング580のシャフ
ト部分590を介して供給される。台180の後ろの所望しない堆積は、矢印で
示されているように、これらの穴595を通ってチャンバー空洞部280へのパ
ージガスの流れを確立することを防止する。前述したように、520,530、
及び531のようないろいろな空間がシャワーヘッド330、台180、エッジ
リング290、及びライナー200の異なる部分により規定される。他の構成要
素のために引用されたそれらのようにこれらの空間のために引用された寸法は例
示の目的だけのためであることを理解すべきである。一般に、これらの寸法の選
択はチャンバーで行われる特定の処理の所望の結果による。例えば、空間530
及び531は台180の端部回りのパージガスの分配の均一性を確実にするよう
に選択される。空間520は膜堆積ステップそれ自体において均一性又は堆積率
に影響を及ぼす可変のパラメータであってもよい。ウェーハ処理の当業者は堆積
の均一のような所望のウェーハ処理の結果を達成するこれらの寸法の最適な組合
せに到達することができることを言うにとどめておく。
【0040】 図5bはエッジリング550の代わりの実施例の斜視図を示しており、図5c
及び5dはライナー200の水平面522により支持されるエッジリング550
の部分断面図を示している。図5cは、上面551、下面552、内面556、
外面557、及び突出した内側部分559を有するエッジリング550を示して
いる。この例では、エッジリング550はライナー200により完全に支持され
、すなわち、エッジリング550は台180又はウェーハ564と接触しない。
ステップ523はライナ200の水平面522に供給され、エッジリング550
を適所に保持する。エッジリング550の外面557に配置されたキー止め部5
54はライナー200のステップ523に内嵌し、エッジリング550の確実な
取付けを容易にする。さらに、3つの溝又はスロット565はエッジリング55
0の下面552に供給され(図5d参照)、お互いから等距離に配置されている
。3つのピン526はライナー200の水平面522の対応する凹んだ穴525
に圧入されている。ピン526の一部分527はライナー200の水平面522
の上方に突出し、エッジリング550の下面552でスロット565に係止して
いる。そのように、エッジリング550はライナー200に対して回転するのを
防止される。随意に、取付けネジ(図示せず)はまたエッジリング550をライ
ナー200に固定するために使用可能でもある。再び、ピン及びスロット配列の
ために開示された寸法は例示の目的だけのためであり、この発明の実施に関する
制限を示すものではない。現在の配列において、エッジリング550は台180
からの放熱により加熱され、ライナー200が同一の最終温度に達する前に膨張
する。これはその中心線O−O’に対するエッジリング550の均一な膨張の結
果となる。
【0041】 ウェーハ処理の間、台(図5cに破線で示されている)台180が第1位置5
01にある時、エッジリング550の突出した内側部分559は台180の外辺
部187上に配置され、台180の外辺部187及び外端部186はエッジリン
グ550に接近し、実質的にそれにより囲まれている。したがって、台180の
外辺部187及び外端部186上の所望しない堆積は回避可能である。しかし、
この実施例では、台180とエッジリング550の間に直接の物理的接触はない
。代わりに、隙間又は空間568は台180の頂部185とエッジリング550
の突出部分559の底部553との間に維持されている。台の端部186とエッ
ジリング550の内面556の間には別の空間556が規定されている。
【0042】 複数、例えば、この特定の実施例では約30の開口部555は下面552に近
いエッジリング550の周囲の回りに供給されている。これらの開口部555は
内面556からエッジリング550の外面557へ水平に延びている。これらの
開口部を通って、パージガスは、空間566から、エッジリング550の外面5
57とライナー200の内面524の間の空間567へ汲み出される。隙間56
8はチョークとして作用し、パージガスが大80の頂部185の方へ流れるのを
防止し、そうでなければ、処理ガスを希釈し、堆積処理に影響を与えてもよい。
図5aに示された他のエッジリング290と同様に、このエッジリング550の
上面551及びシャワーヘッド330の下面332は空間520を規定し、処理
ガス及び又は副産物は反応ゾーン515から汲み出される(図5a参照)。これ
らの空間520、566、567及び568の寸法は処理に依存し、通常、特定
の処理の必要性に合うように設計される。例えば、当業者は、最適化又は堆積の
均一のように所望の結果を達成するようにこれらの空間を調整しようと努めるで
あろう。その上さらに、この特定の構成(エッジリング550を支持するライナ
ー200)はエッジリング550の温度をほぼ200〜250℃の範囲内に維持
させ、エッジリング550への所望しない堆積はさらに最小になる。エッジリン
グの使用は、非常に好ましいが、この発明の実施にとって絶対的に必要とは限ら
ない。
【0043】 図5aに戻ると、ウェーハ堆積の間、He搬送ガス中のTiCl4及びH2搬送
ガス中のNH3はシャワーヘッド部品300を介して処理ゾーン515に導入さ
れ、TiCl4とNH3の間の化学反応は加熱された台180により支持されるウ
ェーハ564の上方に近接して起こる。反応はウェーハ564にTiN膜を堆積
させる結果となる。連続するガスの流れは排気装置600に付属するポンピング
システムにより維持され、揮発性反応副産物を含むガスが、処理ゾーン515及
びチャンバー空洞部280の他の領域から、石英製のエッジリング290の頂部
295と面板330の底部332の間の空間520を過ぎ、内側ライナー200
のスロット205(図2a参照)を通り、いろいろは真空アダプタ及びコネクタ
構成部品によって処理チャンバー100に接続される排気チャンバー600に汲
み出されるようになっている。排気装置600がガスを除去している間、シャワ
ーヘッド330は処理ガスを置換し、パージガスが大80の外端部186を通り
過ぎて連続的に流される。これはウェーハ処理中のチャンバー100内での処理
及びパージガスの安定した新鮮な供給を保証する。
【0044】加熱された排気装置 図6は排気装置600及びそれを処理チャンバー100に接続する真空構成部
品の1実施例の断面図を示している。これらの真空構成部品は、アダプタプレー
ト601、断熱材602、排気管603、バンドヒータ605、カバー604、
20トルのバラトロン(Baratron)、レジューザ607を備えている。環状のア
ダプタプレート601は側部の開口部256の回りのチャンバー本体250に直
接一致し、処理チャンバー100の側部開口部256に部分的に延びる排気管6
03の外側の回りに適合している。断熱材602は処理チャンバー100から側
部のアダプタ601の近くに適合される。この断熱材602は加熱された排気装
置600の間に断熱を供給し、それは約150℃の温度に維持され、チャンバー
本体250は約60〜65℃の温度に維持される。バンドヒータ605は排気管
603の外壁の実質的部分の回りに配置される。環状のカバー604は断熱材6
02、バンドヒータ605及びの残りの排気管部分603の外側上に適合する。
(処理チャンバー100から離れた)排気管の遠位端部613にはレジューザ6
07があり、それは、排気管603と一致する1端部の大きい直径の開口部61
7と、排気装置600のさらなる構成部品に一致する他端部の小さい直径の開口
部627とを有している。20トルのバラトロンの圧力ゲージ606は側部入口
を介してレジューザ607に接続されている。非常に多くのヒータが設置され、
ほぼ150〜200℃の温度に排気装置600を維持し、所望しない堆積物が排
気装置600及び結合する真空構成部品の内壁を覆うのを減少させる。例えば、
一連のフレキシブルヒータ625,645,655,665及び675は排気装
置600、2”の手動アングル弁620、1”の手動弁640、冷却トラップ6
50、絶縁バルブ660、及びスロットルバルブ670の外壁の回りに設置され
ている。加熱は、これらの真空構成部品内部の所望しない堆積物の形成を防ぐた
め、冷却トラップ650を超えて配置される絶縁バルブ660及びスロットルバ
ルブ670のためでさえ必要であることに注目しなさい。さらに、熱損失を最小
にすると共に安全な動作環境を供給するため、ヒータジャケット609,629
,619a,619b,619c及び619dはレジューサ607、2”の手動
アングル弁620及び排気装置600のいろいろな部品の回りに供給されている
【0045】 冷却トラップ650は手動バルブ620と絶縁バルブ660の間に接続されて
いる。ヒータ655は冷却トラップ650の入口651の回りに供給され、温度
を150〜200℃の範囲に保つ。これは冷却トラップ650の入口651の内
部に所望しない堆積物が形成するのを防止する。バッフル板659は冷却トラッ
プ650の頂部650Tの近くに供給されている。多数のループ状の冷却コイル
656は冷却トラップ650の底部650Bに蝋付けされ、約20〜25℃の温
度の冷却水は冷却コイル内を循環する。排気ガスが入口651を通って冷却トラ
ップ650に入ると、それらはバッフル板659によりそらされ、外側放射状に
流れる。HCl及びTiCl4/NH3の反応からの他の副産物のような幾つかの
凝縮物はバッフル板659に閉じ込められ、ほとんどは冷却コイル656に閉じ
込められ、それは比較的大きい閉じ込め表面領域を提供する。残りの排気ガスは
冷却トラップ650の出口652に接続された軸方向に配置された水路658を
通って冷却トラップ650を出て、排気ライン690に排気される前に絶縁バル
ブ660及びスロットルバルブ670を通過する。
【0046】 (後述される)塩素ベースのチャンバー洗浄処理は排気装置600の内部の効
率的な乾燥洗浄を供給するが、粉末の堆積物はウェーハ処理の長期間、例えば、
5000ウェーハの後、蓄積される傾向がある。これらの堆積物は周期的メンテ
ナンスの間、水又は過酸化水素での洗浄により容易に除去可能である。加熱され
た排気装置600の使用は、チャンバーのメンテナンスを促進すると共にチャン
バー洗浄の間の時間を延長することにより機器の使用可能時間に寄与する。
【0047】チャンバー洗浄処理 ほとんどの処理機器では、周期的なチャンバー洗浄は処理されたウェーハの清
潔さを保証するために必要である。元の位置にある塩素ベースの熱洗浄処理はこ
の目的のために開発されている。TiN堆積がTiCl4とNH3を使用して行わ
れる時、壁の温度が約150〜250℃に維持される限り、TiN膜の堆積は(
付加生成物のアンモニア塩の粉末堆積とは対照的に)チャンバー内部に形成され
る。この膜は元の位置にある熱塩素処理の使用により有効に除去(エッチング)
可能である。この熱洗浄処理はVasudevらにより1998年9月30日に出願さ
れ、共同譲渡された米国特許出願番号09/163,711に記載されており、ここにイン
コーポレーテッドバイリファレンスされている。塩素ガスはシャワーヘッド部品
300の内部のTiCl4に関しては同一流路を介してチャンバー100に導入
される。通常の処理条件は500sccmの範囲の塩素流量割合、10〜20ト
ルの範囲の圧力、及びヒータ180のため600〜700℃の温度範囲、チャン
バー100の内壁のため150〜250℃を含んでいる。勿論、処理パラメータ
は、使用される実際の洗浄処理条件により、特定の要求に合うように調整されて
もよい。熱洗浄に加えて、チャンバー100はプラズマベース又は遠隔マイクロ
波の塩素処理のような他の洗浄技術を使用するようにも適合可能であると予想さ
れる。例えば、プラズマベースの洗浄処理のための適合は、地面から電気的に絶
縁可能とするのと同様にRFバイアスを収容するためチャンバーの蓋310の変
更を要求するであろう。洗浄プラズマを発火させるため、RF電力がシャワーヘ
ッド部品300とチャンバー本体250とライナー200(地面)との間にかけ
られるだろう。プラズマ洗浄を実行するために必要なハードウェアの変更はプラ
ズマ発生の部分で後述される。
【0048】後の堆積アニール TiN膜堆積の後、アニールステップは膜特性を改善するために使用可能であ
る。膜アニールは比較的高温度、例えば、750℃でウェーハを熱アニールする
ことにより実行され、それは存在する加熱された台180を使用して容易に達成
可能である。アニールはTiN膜の塩素のトレースを減少させ、そうでなければ
、所望しない高い膜抵抗を生じる結果となる。代わりに、プラズマアニールも使
用されてもよく、その場合には、シャワーヘッド330は、台180が下部の設
置電極として役立つ間、上部のRF電極として作用する。プラズマアニールのた
めに必要なハードウェアの変更は後述される。
【0049】プラズマの発生 上述した存在するチャンバー100はプラズマを使用しない場合のみ適してい
るが、チャンバーは堆積、洗浄又はアニールのようなプラズマ強化の適用のため
に容易に変更可能である。熱堆積処理のため、全体の蓋体(すなわち、冷却板体
400、蓋/ガス箱310及びシャワーヘッド330)は接地されたチャンバー
本体250及びライナー200に結合されている。しかし、プラズマの適用では
、RF電力がシャワーヘッド330にかけられる。そのため、電気的絶縁がシャ
ワーヘッド330とチャンバー本体250の間に要求される。図7aはプラズマ
適用のため変更された構成の1実施例を示している。例えば、CVD Ti、W
、Alのような存在するCVDチャンバーのために使用されるRFの蓋及び(プ
ラズマの適用のために構成される)誘電性チャンバーの蓋は本発明の高温度CV
Dチャンバーへの適用に適している。図7aに示されているように、シャワーヘ
ッド330及びガス箱710はセラミック絶縁体によりチャンバーの蓋720か
ら電気的に絶縁されている。チャンバーの蓋720及びチャンバー本体250は
接地のままである。図7bはRFモジュール750を示し、それはシャワーヘッ
ド330にRF電力を供給する。RFモジュール750は、チャンバー設置又は
遠隔設置のいずれかとすることができ、RF接続ロッド752、RFプランジャ
コネクタ754及びRFストラップコネクタ756を介してシャワーヘッド33
0にRF電力を供給する。幾つかのデルリン絶縁体770はこれらのRFコネク
タ752,754及び756をチャンバー本体250及びチャンバー蓋720か
ら絶縁する。堆積の間、処理ガスはガス箱710の別々のガス入口711及び7
12を介して処理チャンバー100に入り、それは2つのガスのシャワーヘッド
330に接続されている。ガス搬送ライン内部の処理ガスの電気破壊を防止する
ため、電圧勾配体790はガス入口711及び712の回りのガス箱710上に
設置されている。この電圧勾配体790の詳細な説明は、1991年1月23日
に出願され、「酸化シリコンを堆積するためTEOSを使用するプラズマ強化C
VD処理」という表題で、共同譲渡された米国特許5,362,526に供給されている
。この特許はここにインコーポレイテッドバイリファレンスされている。
【0050】 TiN膜の堆積に対するこの高温度チャンバーの使用を制限する固有の制限が
ないことを強調すべきである。一般に、他のCVD膜堆積もまたこの高温度チャ
ンバーを使用して実行可能である。その上さらに、他の処理の適用を促進するた
めにこのチャンバーの使用を広げることは可能である。2つのガスのシャワーヘ
ッド配列は如何なる適用にも有用であり、予め混合することなく処理ガスを別々
に導入する必要があり、加熱されたライナーもまたいろいろなエッチング及び堆
積処理での適用を見出し、処理の副産物の堆積はチャンバー表面を加熱すること
により減少されるだろう。
【0051】 本発明の教示を組込んだ好適な実施例が示され、詳細に説明されているが、当
業者であれば、これらの教示をさらに組込んだ他の多くの変更実施例を容易に発
明可能である。
【図面の簡単な説明】
【図1】 本発明の高温度CVDチャンバーシステムの部分断面斜視図であ
り、処理チャンバーと排気装置を示している。
【図2a】 処理チャンバーの断面図であり、図1の処理チャンバー内のい
ろいろな構成部品の詳細を示している。
【図2b】 図2aの内部ライナー、絶縁ピン及びチャンバー本体の領域回
りの拡大断面図を示している。
【図3a】 シャワーヘッド部品の断面図を示している。
【図3b】 図3aのシャワーヘッド部品の2つのガスの面板の断面図を示
している。
【図4a】 冷却プレートの平面図である。
【図4b】 図4aの冷却プレート内の水路を示す断面図である。
【図4c】 図4aの冷却プレートの側面図である。
【図5a】 下面のパージ設備を示す処理チャンバーの断面図である。
【図5b】 エッジリングの別の実施例の斜視図である。
【図5c】 ライナーの頂上にあるエッジリングの部分断面図(図5bの線
5c)である。
【図5d】 ライナーの頂上にあるエッジリングの部分断面図(図5bの線
5d)である。
【図6】 排気装置の部分断面図を示している。
【図7a】 プラズマ適用のために変更したプロセスチャンバーの概念設計
図を示している。
【図7b】 図7aのチャンバーへのRF接続を示す断面図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 チャオ スティーヴ エイチ アメリカ合衆国 カリフォルニア州 94555 フリーモント フォルスタッフ アヴェニュー 4750 (72)発明者 グイェン アン エヌ アメリカ合衆国 カリフォルニア州 95035 ミルピタス コロンブス ドライ ヴ 1075 (72)発明者 ヴォ ベ ヴィー アメリカ合衆国 カリフォルニア州 94086 サニーヴェイル マンザニタ ア ヴェニュー 598 (72)発明者 ハストン ジョエル アメリカ合衆国 カリフォルニア州 95125 サン ホセ バード アヴェニュ ー #208 1090 (72)発明者 チェン ジェイムズ ジェイ アメリカ合衆国 カリフォルニア州 95132 サン ホセ マドリッド ドライ ヴ 3560 (72)発明者 レイ ローレンス チュン−ライ アメリカ合衆国 カリフォルニア州 95035 ミルピタス カントリー クラブ ドライヴ 1594 Fターム(参考) 4K030 BA18 BA38 CA04 CA12 JA10 KA46 LA15

Claims (34)

    【特許請求の範囲】
  1. 【請求項1】 チャンバー本体と、 該チャンバー本体に実質的に囲まれたライナーと、 を備え、該ライナーは第1温度T1に維持され、前記チャンバー本体は第2温度
    T2に維持され、前記第2温度T2は前記第1温度T1より低いことを特徴とす
    るウェーハ処理装置。
  2. 【請求項2】 前記ライナー及び前記チャンバー本体はお互いから間隔をあ
    けている請求項1に記載の装置。
  3. 【請求項3】 前記ライナー及び前記チャンバー本体は絶縁部材によりお互
    いから分離されている請求項2に記載の装置。
  4. 【請求項4】 前記絶縁部材は複数のピンである請求項3に記載の装置。
  5. 【請求項5】 前記ピンはステンレス鋼又はニッケル製である請求項4に記
    載の装置。
  6. 【請求項6】 前記ウェーハ処理は、四塩化チタンとアンモニアの反応によ
    り窒化チタンを堆積することを含む請求項1に記載の装置。
  7. 【請求項7】 前記第1温度T1はほぼ150℃と250℃の間であり、前
    記第2温度T2はほぼ60℃と65℃の間である請求項1に記載の装置。
  8. 【請求項8】 前記ライナーはそこに埋め込まれたヒータをさらに備えてい
    る請求項1に記載の装置。
  9. 【請求項9】 前記ライナー内の中央に配置され、前記チャンバー内の基板
    を支持する台をさらに備え、前記台はほぼ600℃と800℃の間の第3温度T
    3に維持されている請求項1に記載の装置。
  10. 【請求項10】 前記台は前記台の内部に配置された加熱エレメントをさら
    に備えている請求項9に記載の装置。
  11. 【請求項11】 前記台はセラミック材料製である請求項9に記載の装置。
  12. 【請求項12】 前記セラミック材料は窒化アルミニウムである請求項11
    に記載の装置。
  13. 【請求項13】 前記台は前記台の内部に配置された電極をさらに備え、該
    電極は無線周波数パワーを前記台に近い容量まで与えることができる請求項9に
    記載の装置。
  14. 【請求項14】 前記チャンバー本体に接続された排気装置と、該排気装置
    の近くに配置され、前記排気装置を温度T4に維持する少なくとも1つのヒータ
    エレメントと、 をさらに備えた請求項1に記載の装置。
  15. 【請求項15】 前記温度T4はほぼ150℃と200℃の間である請求項
    14に記載の装置。
  16. 【請求項16】 前記少なくとも1つのヒータエレメントは前記排気装置の
    外面の回りに配置されている請求項14に記載の装置。
  17. 【請求項17】 上面、下面、外辺部及び外端部を有する台と、 上面、下面、内面、外面、及び突出部分を有する実質的に環状のエッジリング
    と、 をさらに備え、前記エッジリングの前記下面、前記内面及び前記突出部分は、前
    記台の前記外辺部及び外端部に隣接して極めて近接して配置されている請求項1
    に記載の装置。
  18. 【請求項18】 チャンバー側部と、内側下面を有すると共に前記チャンバ
    ー本体に下面開口部を規定する実質的に環状形状のチャンバーベースとを有し、
    温度T2に維持可能な実質的にバケツ形状のチャンバー本体と、 上端部及び下端部、内面及び外面を有する前記チャンバー本体により実質的に
    囲まれ、前記チャンバー本体の前記温度T2より高い温度T1に維持されるライ
    ナーと、 前記ライナの前記下端部と前記チャンバーベースの前記内面の間に配置され、
    前記ライナーと前記チャンバーベースを間隔をあけた関係に維持する絶縁部材と
    、 前記チャンバー本体の前記下面開口部内で前記ライナー内の中央に配置された
    台部品と、 を備え、前記台部品は、 上面、下面、及びヒータ及びそこに埋め込まれた少なくとも1つの電極を有す
    る周辺端部を有し、温度T1及びT2より高い温度T3に維持可能な台と、 該台の前記下面に接続されたシャフト状部分と、 上面及び下面を有する前記台の上方に配置されたシャワーヘッドと、 前記台の前記周辺端部に配置されたエッジリングと、 内面及び外面を有する前記チャンバ本体に接続され、前記外面の回りに配置さ
    れ、前記排気装置を温度T4に維持する少なくとも1つのヒータエレメントを有
    する排気装置と、 を備えていることを特徴とするウェーハ処理装置。
  19. 【請求項19】 前記ライナーはそこに埋め込まれたヒータを有する請求項
    18に記載の装置。
  20. 【請求項20】 前記絶縁部材は複数のピンである請求項18に記載の装置
  21. 【請求項21】 前記温度T1はほぼ150℃と250℃の間であり、前記
    温度T2はほぼ60℃と65℃の間であり、前記温度T3はほぼ600℃と80
    0℃の間であり、前記温度T4はほぼ150℃と200℃の間である請求項18
    に記載の装置。
  22. 【請求項22】 前記シャワーヘッドは該シャワーヘッドに無線周波数を与
    えるため無線周波数源に結合可能である請求項18に記載の装置。
  23. 【請求項23】 a)台を温度T3まで加熱し、 b)ライナーを温度T1に、チャンバーを温度T2に維持し、 c)膜堆積のため前記チャンバーに処理ガスを注入する、 ステップを備え、T3>T1>T2であることを特徴とするウェーハ処理方法。
  24. 【請求項24】 前記温度T1はほぼ150℃と250℃の間であり、前記
    温度T2はほぼ60℃と65℃の間であり、前記温度T3はほぼ600℃と80
    0℃の間である請求項23に記載の方法。
  25. 【請求項25】 前記台の前記下面を流れ過ぎるように第1パージガスを導
    き、前記ライナーと前記チャンバーの内面の間に流れるように第2パージガスを
    導くステップをさらに備えている請求項24に記載の方法。
  26. 【請求項26】 前記パージガスは窒素又はアルゴンである請求項25に記
    載の方法。
  27. 【請求項27】 膜堆積の後、前記ウェーハをアニールするステップをさら
    に備えている請求項23に記載の方法。
  28. 【請求項28】 前記アニールするステップはT3より高い温度まで前記ウ
    ェーハを加熱することを含んでいる請求項27に記載の方法。
  29. 【請求項29】 前記アニールするステップは前記ウェーハを前記チャンバ
    ー内のプラズマにさらすことを含んでいる請求項27に記載の方法。
  30. 【請求項30】 前記注入ステップは、前記チャンバーに入る前に処理ガス
    を予め混合することなく少なくとも2つの処理ガスを導入することを備えている
    請求項23に記載の方法。
  31. 【請求項31】 前記ライナーは該ライナーに埋め込まれたヒータにより前
    記温度T1まで加熱される請求項23に記載の方法。
  32. 【請求項32】 前記膜堆積後に前記チャンバーを洗浄するステップをさら
    に備えている請求項23に記載の方法。
  33. 【請求項33】 前記洗浄するステップは、 前記台を600℃〜700℃の間の温度に維持し、 前記チャンバーを150℃〜250℃の間の温度に維持し、 前記チャンバーに塩素ガスを導入する、 ステップを備えている請求項32に記載の方法。
  34. 【請求項34】 前記洗浄するステップは、前記チャンバー内に塩素種を含
    むプラズマを作ることを含んでいる請求項33に記載の方法。
JP2000588423A 1998-12-14 1999-12-07 高温度化学気相成長チャンバー Withdrawn JP2003524703A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/211,998 1998-12-14
US09/211,998 US6364954B2 (en) 1998-12-14 1998-12-14 High temperature chemical vapor deposition chamber
PCT/US1999/029115 WO2000036179A2 (en) 1998-12-14 1999-12-07 High temperature chemical vapor deposition chamber

Publications (1)

Publication Number Publication Date
JP2003524703A true JP2003524703A (ja) 2003-08-19

Family

ID=22789133

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000588423A Withdrawn JP2003524703A (ja) 1998-12-14 1999-12-07 高温度化学気相成長チャンバー

Country Status (5)

Country Link
US (1) US6364954B2 (ja)
JP (1) JP2003524703A (ja)
KR (1) KR20010080758A (ja)
TW (1) TW447014B (ja)
WO (1) WO2000036179A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007177323A (ja) * 2005-11-18 2007-07-12 Tokyo Electron Ltd 熱およびプラズマ増強蒸着のための装置および操作方法
JP2010524822A (ja) * 2007-04-20 2010-07-22 アプライド マテリアルズ インコーポレイテッド プラズマエッチチャンバで使用される耐食性を強化した石英
JP2019104984A (ja) * 2017-12-08 2019-06-27 北京創▲いく▼科技有限公司 冷却板
JP2022547573A (ja) * 2019-09-13 2022-11-14 アプライド マテリアルズ インコーポレイテッド 半導体処理チャンバ

Families Citing this family (409)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6530992B1 (en) * 1999-07-09 2003-03-11 Applied Materials, Inc. Method of forming a film in a chamber and positioning a substitute in a chamber
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6451692B1 (en) * 2000-08-18 2002-09-17 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
JP4219628B2 (ja) * 2001-07-27 2009-02-04 東京エレクトロン株式会社 プラズマ処理装置および基板載置台
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US7431585B2 (en) * 2002-01-24 2008-10-07 Applied Materials, Inc. Apparatus and method for heating substrates
JP4190422B2 (ja) * 2002-01-24 2008-12-03 住友精密工業株式会社 オゾン処理装置
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
WO2004015165A1 (en) * 2002-08-08 2004-02-19 Trikon Technologies Limited Improvements to showerheads
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US7311942B2 (en) 2002-08-29 2007-12-25 Micron Technology, Inc. Method for binding halide-based contaminants during formation of a titanium-based film
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4671262B2 (ja) * 2003-01-21 2011-04-13 日本碍子株式会社 半導体加熱装置
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
KR100522727B1 (ko) * 2003-03-31 2005-10-20 주식회사 아이피에스 박막증착용 반응용기
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
TW200501242A (en) * 2003-05-23 2005-01-01 Eagle Ind Co Ltd Semiconductor manufacturing device and heating unit thereof
EP1629522A4 (en) * 2003-05-30 2008-07-23 Aviza Tech Inc GAS DISTRIBUTION SYSTEM
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
KR100636037B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
KR100636036B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7959984B2 (en) * 2004-12-22 2011-06-14 Lam Research Corporation Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
US7126092B2 (en) * 2005-01-13 2006-10-24 Watlow Electric Manufacturing Company Heater for wafer processing and methods of operating and manufacturing the same
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
JP2009503875A (ja) * 2005-07-29 2009-01-29 アヴィザ テクノロジー インコーポレイテッド ガスマニホルドバルブクラスタ
US7642195B2 (en) * 2005-09-26 2010-01-05 Applied Materials, Inc. Hydrogen treatment to improve photoresist adhesion and rework consistency
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
KR100799703B1 (ko) * 2005-10-31 2008-02-01 삼성전자주식회사 막 형성 방법 및 반응 부산물의 제거 방법
US20070116872A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US8398816B1 (en) * 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US9157151B2 (en) * 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
KR100753158B1 (ko) * 2006-06-19 2007-08-30 삼성전자주식회사 공정 챔버의 세정 방법
US7554103B2 (en) * 2006-06-26 2009-06-30 Applied Materials, Inc. Increased tool utilization/reduction in MWBC for UV curing chamber
KR20090026186A (ko) * 2006-07-11 2009-03-11 도쿄엘렉트론가부시키가이샤 성막 방법, 클리닝 방법 및 성막 장치
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
KR100867191B1 (ko) * 2006-11-02 2008-11-06 주식회사 유진테크 기판처리장치 및 기판처리방법
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
CN101205605B (zh) * 2006-12-18 2012-01-11 东京毅力科创株式会社 用于热增强和等离子体增强气相沉积的装置及操作方法
JP2008186865A (ja) * 2007-01-26 2008-08-14 Tokyo Electron Ltd 基板処理装置
KR100842494B1 (ko) * 2007-06-25 2008-07-01 주식회사 동부하이텍 반도체 소자의 정렬키 형성 방법
US7928019B2 (en) * 2007-08-10 2011-04-19 Micron Technology, Inc. Semiconductor processing
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
US7987814B2 (en) 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US20090314208A1 (en) * 2008-06-24 2009-12-24 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
US8105465B2 (en) * 2008-10-14 2012-01-31 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
US20100108263A1 (en) * 2008-10-30 2010-05-06 Applied Materials, Inc. Extended chamber liner for improved mean time between cleanings of process chambers
KR101589107B1 (ko) * 2009-04-10 2016-01-27 주성엔지니어링(주) 공정챔버의 세정방법
US8877001B2 (en) * 2009-05-07 2014-11-04 Applied Materials, Inc. Shuttered gate valve
US8409995B2 (en) * 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR101810532B1 (ko) * 2010-03-12 2017-12-19 어플라이드 머티어리얼스, 인코포레이티드 다중 인젝트를 이용하는 원자 층 증착 챔버
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8951350B2 (en) * 2011-05-03 2015-02-10 United Technologies Corporation Coating methods and apparatus
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
KR101248881B1 (ko) * 2011-09-26 2013-04-01 주식회사 유진테크 기판지지유닛 및 기판처리장치, 그리고 기판지지유닛을 제조하는 방법
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20130105085A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5921168B2 (ja) * 2011-11-29 2016-05-24 株式会社日立国際電気 基板処理装置
US10504719B2 (en) * 2012-04-25 2019-12-10 Applied Materials, Inc. Cooled reflective adapter plate for a deposition chamber
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9129899B2 (en) * 2013-07-17 2015-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thinning wafer thereof
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US10269573B2 (en) * 2014-03-31 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Device and method for manufacturing a semiconductor structure
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017165016A1 (en) * 2016-03-25 2017-09-28 Applied Materials, Inc. Chamber liner for high temperature processing
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
KR102641441B1 (ko) * 2016-09-28 2024-02-29 삼성전자주식회사 링 어셈블리 및 이를 포함하는 척 어셈블리
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6851173B2 (ja) * 2016-10-21 2021-03-31 東京エレクトロン株式会社 成膜装置および成膜方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
USD842259S1 (en) * 2017-04-28 2019-03-05 Applied Materials, Inc. Plasma chamber liner
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11978646B2 (en) * 2017-05-18 2024-05-07 Applied Materials, Inc. Thermal chamber with improved thermal uniformity
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) * 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109962000B (zh) * 2017-12-25 2022-09-30 中微半导体设备(上海)股份有限公司 一种可减少污染颗粒的等离子体处理装置及其方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11881384B2 (en) 2019-09-27 2024-01-23 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220093361A1 (en) * 2020-09-22 2022-03-24 Applied Materials, Inc. Showerhead assembly with recursive gas channels
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11946140B2 (en) * 2021-03-26 2024-04-02 Applied Materials, Inc. Hot showerhead
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113337810B (zh) * 2021-05-26 2022-04-22 北京北方华创微电子装备有限公司 内衬装置及半导体加工设备
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03211279A (ja) 1990-01-11 1991-09-17 Mitsubishi Electric Corp 化学気相成長装置
JPH03236221A (ja) 1990-02-14 1991-10-22 Fujitsu Ltd 気相成長装置
JPH04136175A (ja) * 1990-09-26 1992-05-11 Matsushita Electric Ind Co Ltd 薄膜形成装置
JPH0529225A (ja) * 1991-07-22 1993-02-05 Toshiba Corp 気相成長装置
US5279857A (en) 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5356476A (en) 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5271963A (en) 1992-11-16 1993-12-21 Materials Research Corporation Elimination of low temperature ammonia salt in TiCl4 NH3 CVD reaction
US5416045A (en) 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
US5451258A (en) 1994-05-11 1995-09-19 Materials Research Corporation Apparatus and method for improved delivery of vaporized reactant gases to a reaction chamber
WO1995034092A1 (en) 1994-06-03 1995-12-14 Materials Research Corporation A method of nitridization of titanium thin films
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5610106A (en) 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
US5567483A (en) 1995-06-05 1996-10-22 Sony Corporation Process for plasma enhanced anneal of titanium nitride
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JP3991375B2 (ja) * 1996-11-13 2007-10-17 東京エレクトロン株式会社 トラップ装置
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
JP3567070B2 (ja) * 1997-12-27 2004-09-15 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US6117244A (en) * 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6206971B1 (en) * 1999-03-29 2001-03-27 Applied Materials, Inc. Integrated temperature controlled exhaust and cold trap assembly

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007177323A (ja) * 2005-11-18 2007-07-12 Tokyo Electron Ltd 熱およびプラズマ増強蒸着のための装置および操作方法
JP2010524822A (ja) * 2007-04-20 2010-07-22 アプライド マテリアルズ インコーポレイテッド プラズマエッチチャンバで使用される耐食性を強化した石英
JP2019104984A (ja) * 2017-12-08 2019-06-27 北京創▲いく▼科技有限公司 冷却板
JP2022547573A (ja) * 2019-09-13 2022-11-14 アプライド マテリアルズ インコーポレイテッド 半導体処理チャンバ
US11715625B2 (en) 2019-09-13 2023-08-01 Applied Materials, Inc. Semiconductor processing chamber
JP7441939B2 (ja) 2019-09-13 2024-03-01 アプライド マテリアルズ インコーポレイテッド 半導体処理チャンバ

Also Published As

Publication number Publication date
US20010054381A1 (en) 2001-12-27
WO2000036179A2 (en) 2000-06-22
KR20010080758A (ko) 2001-08-22
WO2000036179A3 (en) 2002-10-17
TW447014B (en) 2001-07-21
US6364954B2 (en) 2002-04-02

Similar Documents

Publication Publication Date Title
JP2003524703A (ja) 高温度化学気相成長チャンバー
US6051286A (en) High temperature, high deposition rate process and apparatus for depositing titanium layers
US5983906A (en) Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5968379A (en) High temperature ceramic heater assembly with RF capability and related methods
US6616767B2 (en) High temperature ceramic heater assembly with RF capability
US5994678A (en) Apparatus for ceramic pedestal and metal shaft assembly
US6189482B1 (en) High temperature, high flow rate chemical vapor deposition apparatus and related methods
KR100896362B1 (ko) 기판 가열기 조립체
KR101192099B1 (ko) Feol 제조를 위한 인시튜 세정 챔버
JP4511722B2 (ja) 化学気相堆積用リアクタ
EP0855735A2 (en) A high temperature, high flow rate chemical vapor deposition apparatus and related methods
US6129044A (en) Apparatus for substrate processing with improved throughput and yield
JP4808330B2 (ja) プロセスガス配給装置及び処理チャンバ
JP5698719B2 (ja) 化学蒸着エッチングチャンバから副生成物の堆積物を除去するインサイチュチャンバ洗浄プロセス
KR980011769A (ko) 유도 결합된 hdp-cvd 반응기
EP0855452B1 (en) Process and apparatus for depositing titanium layers
KR101248182B1 (ko) Feol 제조를 위한 인시튜 세정 챔버
KR19980071012A (ko) 고온 및 고 증착율의 티타늄 막을 증착하기 위한 방법 및 장치
WO2023200465A1 (en) Showerhead assembly with heated showerhead
WO2024049620A1 (en) Showerhead assembly with heated showerhead

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20070306