TW201925524A - 用於半導體製程模組的原位設備 - Google Patents

用於半導體製程模組的原位設備 Download PDF

Info

Publication number
TW201925524A
TW201925524A TW107132720A TW107132720A TW201925524A TW 201925524 A TW201925524 A TW 201925524A TW 107132720 A TW107132720 A TW 107132720A TW 107132720 A TW107132720 A TW 107132720A TW 201925524 A TW201925524 A TW 201925524A
Authority
TW
Taiwan
Prior art keywords
carrier
edge ring
base
mechanical blade
support structure
Prior art date
Application number
TW107132720A
Other languages
English (en)
Other versions
TWI778133B (zh
Inventor
尤甘南達 薩羅德比許瓦那
史蒂芬E 巴巴揚
史蒂芬唐納德 普勞蒂
安德列亞斯 史密德
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201925524A publication Critical patent/TW201925524A/zh
Application granted granted Critical
Publication of TWI778133B publication Critical patent/TWI778133B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Abstract

本揭示內容的各個態樣總體上涉及用於在處理腔室中進行邊緣環替換的設備和方法。在一個態樣中,揭示一種用於支撐邊緣環的載體。在其他態樣中,揭示了用於支撐載體的機械葉片。在另一態樣中,揭示一種用於在脫氣腔室中支撐載體的支撐結構。在另一態樣中,揭示一種在載體上傳送邊緣環的方法。

Description

用於半導體製程模組的原位設備
本揭示內容的各個態樣總體上涉及用於在處理腔室中進行邊緣環替換的設備和方法,諸如在半導體處理中使用的那些設備和方法。
在諸如蝕刻腔室之類的處理腔室中,基板在被靜電夾持到位的同時被蝕刻。典型地,被稱為邊緣環的圓形部分直接位於基板的外徑的外側以保護靜電吸盤的上表面不被蝕刻劑化學物質蝕刻。邊緣環由幾種不同的材料製成,並且可以具有不同的形狀,這兩者都影響邊緣環附近的製程均勻性。在處理期間,邊緣環隨時間被蝕刻,從而導致形狀變化以及處理均勻性的變化。
為了解決由於邊緣環劣化導致的處理均勻性的變化,根據進度表來更換邊緣環。常規地,為了替換邊緣環,打開處理腔室以允許操作者進入邊緣環內部。然而,這個過程是耗時的,並且由於處理腔室的通風,可能需要長達24小時才能使處理恢復線上。
因此,需要用於替換邊緣環的新方法和設備。
本揭示內容的態樣總體上涉及用於在處理腔室中進行邊緣環替換的設備和方法。
在一個態樣中,一種用於支撐邊緣環的載體包括板材,所述板材具有周邊,所述周邊包括兩個相對的彎曲邊緣。所述載體還包括:第一多個插口,設置在所述板材中,其中每個插口被配置成在所述插口中接收升舉銷;和第二多個插口,設置在所述板材中,其中每個插口被配置為與支撐結構接合。第一弓形支撐結構耦接到所述兩個相對的彎曲邊緣中的一個,所述第一弓形支撐結構在所述板材的上表面的平面上方延伸。第二弓形支撐結構耦接到所述兩個相對的彎曲邊緣中的另一個,所述第二弓形支撐結構在所述板材的上表面的平面上方延伸。
在另一態樣中,一種機械葉片包括基部和兩個從基部延伸的指狀物。基部載體接合特徵耦接到所述基部的上表面,並且指狀物載體接合特徵各自耦接到所述兩個指狀物中的相應一個的上表面。
在另一態樣中,一種傳送邊緣環的方法包括:通過狹縫閥門將機械葉片插入腔室中,所述機械葉片具有載體和在所述載體上的邊緣環;將所述載體和在所述載體上的邊緣環定位在基板支撐件上;致動基板升舉銷以從所述機械葉片提升所述載體;和使所述機械葉片從所述腔室縮回。
在另一態樣中,一種用於支撐邊緣環的載體包括半圓形板材。所述半圓形板材具有由兩個平行邊緣以及耦接所述兩個平行邊緣的兩個相對的彎曲邊緣限定的周邊。第一多個插口設置在所述半圓形板材中,每個插口被配置成在所述插口中接收升舉銷。第二多個插口設置在所述半圓形板材中,每個插口被配置成接合支撐結構。第一弓形支撐結構耦接到所述兩個相對的彎曲邊緣中的一個。所述第一弓形支撐結構在所述半圓形板材的上表面的平面上方延伸。第二弓形支撐結構耦接到所述兩個相對的彎曲邊緣中的一個,所述第二弓形支撐結構在所述半圓形板材的上表面的平面上方延伸。
在另一態樣中,一種機械葉片包括基部和兩個從基部延伸的指狀物。所述機械葉片還包括兩個端墊,其中所述兩個端墊中的一個設置在所述兩個指狀物中的一個的每個遠端處。所述機械葉片還包括:基墊,耦接到所述基部;和輥,被配置成從所述基部朝向所述兩個指狀物致動。
在另一態樣中,一種機械葉片包括:基部,具有在所述基部的上表面上形成的升高脊部;和兩個指狀物,從所述基部延伸。每個指狀物具有在所述指狀物相應遠端處形成在所述指狀物的上表面上的升高脊部,其中形成在所述兩個指狀物的上表面上的升高脊部和形成在所述基部的上表面上的升高脊部是共圓的弧。所述機械葉片還包括多個接合支柱,其中所述多個接合支柱中的一個形成在所述基部的上表面上,並且所述多個接合支柱中的一個形成在所述兩個指狀物中的每一個的上表面上。
在另一態樣中,一種傳送邊緣環的方法包括:通過狹縫閥門將機械葉片插入腔室中,所述機械葉片具有載體和在所述載體上的邊緣環。將所述載體和在所述載體上的邊緣環定位在基板支撐件上,並且致動基板升舉銷以使所述載體從所述機械葉片提升。使所述機械葉片從腔室縮回,並且致動所述基板升舉銷以使所述載體朝向所述基板支撐件下降。致動第二組升舉銷以將所述邊緣環從所述載體提升,並且將所述機械葉片插入所述腔室並接合所述載體。從所述腔室移除所述載體和所述機械葉片,並且將所述邊緣環下降到與所述基板支撐件接觸。
在另一態樣中,一種用於支撐基板載體的支撐結構包括:基部,具有第一端和第二端;和橫向構件,設置在所述基部的第一端處。所述橫向構件設置在與所述基部相同的平面中,並且具有比所述基部大的寬度。所述橫向構件包括從中穿過形成的開口,以及從所述橫向構件的表面延伸的至少兩個支撐支柱,所述至少兩個支撐支柱定位在所述開口的相對側上。所述支撐結構還包括垂直構件,所述垂直構件從所述基部的第二端延伸。所述垂直構件在與所述橫向構件的至少兩個支撐支柱相反的方向上延伸。所述垂直構件包括在垂直構件一端的球狀軸承或接觸墊。
本揭示內容的各個態樣總體上涉及用於在處理腔室中進行邊緣環替換的設備和方法。在一個態樣中,揭示了一種用於支撐邊緣環的載體。在另一態樣中,揭示了用於支撐載體的一個或多個機械葉片。在另一態樣中,揭示了一種用於在脫氣腔室中支撐載體的支撐結構。在還有一個態樣中,揭示了一種在載體上傳送邊緣環的方法。
圖1示出了根據本揭示內容的一個態樣的處理系統100。處理系統100包括工廠介面101,多個基板匣102可以耦接到工廠介面101,以用於將基板傳送到處理系統100中。處理系統100還包括將工廠介面101耦接到各個脫氣腔室104a、104b的第一真空埠103a、103b。第二真空埠105a、105b耦接到各個脫氣腔室104a、104b,並設置在脫氣腔室104a、104b與傳送腔室106之間,以促進將基板傳送到傳送腔室106中。傳送腔室106包括在傳送腔室周圍設置並耦接到傳送腔室的多個處理腔室107。處理腔室107通過各個埠108(諸如狹縫閥等)耦接到傳送腔室106。控制器109控制處理系統100的各個態樣。
處理腔室107可以包括蝕刻腔室、沉積腔室(包括原子層沉積、化學氣相沉積、物理氣相沉積或其電漿增強型式)、退火腔室等中的一個或多個。處理腔室107(諸如蝕刻腔室)中的一些可在其中包括邊緣環,邊緣環偶爾需要替換。雖然常規的系統需要操作者拆卸處理腔室以替換邊緣環,但是處理系統100被配置為促進邊緣環的替換而不需要操作者拆卸處理腔室107。
圖1示意性地示出了將邊緣環110傳送到處理腔室107中。根據本揭示內容的一個態樣,邊緣環110經由位於工廠介面101中的工廠介面機器人111從匣102移除,或替代地,直接地裝載到工廠介面101中。工廠介面機器人111將邊緣環110傳送通過第一真空埠103a、103b中的一個並進入各自的脫氣腔室104a、104b中。位於傳送腔室106中的傳送腔室機器人112通過第二真空埠105a或105b從脫氣腔室104a、104b中的一個中移除邊緣環110。傳送腔室機器人112將邊緣環110移動到傳送腔室106中,其中邊緣環110可以通過相應的埠108被傳送到期望的處理腔室107。雖然為了清楚起見未在圖1中示出,但是邊緣環110的傳送在邊緣環110位於載體上的同時發生。
圖1示出了邊緣環傳送的一個示例,然而,也可預期其他示例。例如,可以預期,邊緣環可以手動地裝載到傳送腔室106中。從傳送腔室106,邊緣環110可由傳送腔室機器人112被裝載到處理腔室107中。附加地或替代地,邊緣環可以裝載在基板支撐底座(SSP)中。附加的SSP可定位成與工廠介面101連通,工廠介面101與所示的SSP相對。預期的是,處理的邊緣環110可以與本文所述的任何方式相反地從處理系統100移除。當利用兩個SSP或多個匣102時,預期的是,一個SSP或匣102可用於未處理的邊緣環110,而另一個SSP或匣102可用於接收處理的邊緣環110。
圖2A是根據本揭示內容的一個態樣的載體213的示意性俯視平面圖。圖2B是圖2A的載體213的示意性仰視平面圖。圖2C-2F是圖2A的載體213的示意性剖視圖。載體213是半圓形板材216,半圓形板材216具有由兩個平行邊緣214a、214b和耦接所述兩個平行邊緣214a、214b的兩個相對的彎曲邊緣215a、215b限定的周邊。彎曲邊緣215a、215b有助於橫向支撐定位在它們之上的邊緣環,而兩個平行邊緣214a、214b則允許載體213被容納在最初未設計成在其中容納載體213的處理腔室中。例如,在載體213位於處理腔室內的同時,兩個平行邊緣214a、214b利於在處理腔室內致動升舉銷而不干擾載體213。
半圓形板材216包括中心開口217和一個或多個半圓形開口(示出三個)218a,所述一個或多個半圓形開口圍繞中心開口217同心地定位。附加的半圓形開口218b圍繞所述一個或多個半圓形開口218a同心地定位。半圓形開口218a、218b促進減小載體213的重量,從而允許載體213在現有的傳送設備上被使用,現有的傳送設備最初沒有設計成能處理超過半導體晶圓重量的重量。在一個示例中,半圓形板材216由一種或多種材料形成,包括碳纖維、石墨、碳化矽、石墨塗覆的碳化矽、氮化矽、氧化矽、氧化鋁等。還設想了其他材料。
半圓形板材216還包括設置在半圓形板材中的第一多個插口219。插口219是設置在穿過半圓形板材216形成的孔中的金屬帽。插口219被設定尺寸並被配置以在插口中接收升舉銷從而利於在處理腔室內的載體213的致動。插口219各自位於距半圓形板材216的中心徑向距離相同的位置處。在一個示例中,插口219定位在大於半圓形開口218a的半徑、但小於半圓形開口218b的半徑的半徑處。
圖2C和圖2D是插口219的示意性剖視圖。插口219包括具有圓柱形形狀的主體220,以及在主體一端處的喇叭形基部221。主體220穿過半圓形板材216設置,而喇叭形基部221則部分地定位在半圓形板材216的下表面上形成的沉孔中並與所述沉孔接觸。插口219包括延伸到主體220中的第一凹陷222以及形成在喇叭形基部221中的沉孔223。凹陷222和沉孔223通過錐形側壁224耦接以促進特徵接合。在一個示例中,凹陷222具有橢圓形或拋物線形狀以適應直徑對準特徵。在這樣的示例中,凹陷222可以在平行於兩個平行邊緣214a、214b的方向上具有更大的寬度,所述方向與垂直於兩個平行邊緣214a、214b的方向相反。凹陷222的拋物線形狀或橢圓形形狀有助於將升舉銷容納在凹陷222內。
半圓形板材216還包括設置在半圓形板材中的第二多個插口225(示出三個)。插口225各自被配置為接合支撐結構,諸如機械葉片。通過支撐結構接合插口225,這減少或防止在載體213的傳送期間載體213與支撐結構之間的相對移動。例如,支撐結構可以包括對應的要被接收在插口225內的凸插座。
插口225包括設置在半圓形板材216中形成的開口中的主體226。插口225還包括設置在主體226的一端的喇叭形部分227。喇叭形部分227具有大於主體226的直徑,並且部分地設置在形成於半圓形板材216的底側上的沉孔中。孔228穿過主體226和喇叭形部分227形成,並且在孔228相對端處包括沉孔229。在孔的下端上的沉孔229促進將凸插座引導到插口225中。
插口219、225中的每一個可以由金屬、碳化矽、石墨、氧化鋁、氮化矽、氧化矽、聚對苯二甲酸乙二醇酯或陶瓷材料中的一種或多種形成。還設想了其他材料。在一個示例中,插口219、225由柔軟的聚合物材料形成,諸如Vespel®、Ultem®、縮醛、PTFE或陶瓷材料(諸如碳化矽),以減少顆粒產生。
載體213還包括在彎曲邊緣215a處耦接到半圓形板材216的第一弓形支撐結構230a,以及在彎曲邊緣215b處耦接到半圓形板材216的第二弓形支撐結構230b。第一弓形支撐結構230a和第二弓形支撐結構230b中的每一個設置在半圓形板材216的上表面上。第一弓形支撐結構230a和第二弓形支撐結構230b在半圓形板材216的上表面的平面上方延伸。在一個示例中,第一弓形支撐結構230a和第二弓形支撐結構230b中的每一個具有小於對應彎曲邊緣215a、215b的長度的長度。第一弓形支撐結構230a和第二弓形支撐結構230b中的每一個可以由諸如碳纖維、聚對苯二甲酸乙二醇酯或石墨之類的材料形成。
第一弓形支撐結構230a和第二弓形支撐結構230b中的每一個包括凸延伸部231,凸延伸部231接合穿過半圓形板材216形成的開口232。圖2F示出凸延伸部231和開口232的剖視圖。延伸部231接合開口232並經由干涉配合保持在開口232中。在一個示例中,開口232以及第一弓形支撐結構230a和第二弓形支撐結構230b定位在插口225的徑向外側。第一弓形支撐結構230a和第二弓形支撐結構230b中的每一個具有約等於彎曲邊緣215a、215b的曲率半徑的曲率半徑。
返回參考圖2F,第一弓形支撐結構230a和第二弓形支撐結構230b中的每一個包括在它們徑向向外邊緣上的階梯狀表面。每個階梯狀表面包括近似平行於半圓形板材216的上表面定位的支撐表面233,以及定位在支撐表面233的徑向內側並幾乎垂直於半圓形板材216的上表面定向的垂直壁234。邊緣環(如圖3A所示)在被支撐在載體213上的同時在垂直壁234與支撐表面233接合。在一個示例中,相對的第一弓形支撐結構230a和第二弓形支撐結構230b的垂直壁234之間的距離約等於邊緣環的內徑,從而減少或緩和邊緣環在傳送過程期間相對於載體213的移動。在一個示例中,垂直壁234定位在凸延伸部231的徑向外側。
雖然圖2A-2F示出了載體213的一個示例,但是也可預期其他示例。例如,載體213可以具有完全為圓形的主體,而不是半圓形的。附加地或替代地,載體可包括圓形開口而不是半圓形開口218a、218b。在另一個示例中,可以排除半圓形開口218a、218b。在這樣的示例中,載體213可至少部分地由碳纖維、輕質複合材料或另一高強度、低重量的真空相容材料形成。在一個示例中,載體213關於一個或多個軸線對稱以緩和在載體213的移動和放置期間的不平衡。在另一個示例中,第一弓形支撐結構230a和第二弓形支撐結構230b包括從它們下部部分向內漸縮到上部部分的外邊緣,以促進與邊緣環110的接合和邊緣環110的對準。
圖3A是在其上支撐邊緣環110的載體213的示意性俯視平面圖。圖3B是圖3A的示意性剖視圖。如圖3A和圖3B所示,邊緣環110設置在第一弓形支撐結構230a和第二弓形支撐結構230b上並由第一弓形支撐結構230a和第二弓形支撐結構230b支撐。邊緣環110的下表面接觸支撐表面233,而邊緣環110的徑向向內邊緣接觸第一弓形支撐結構230a和第二弓形支撐結構230b的垂直壁234。在所示的示例中,邊緣環110具有小於第一弓形支撐結構230a和第二弓形支撐結構230b的外徑的內徑,並且邊緣環110具有大於第一弓形支撐結構230a和第二弓形支撐結構230b的外徑的外徑。另外,邊緣環110的上表面設置在第一弓形支撐結構230a和第二弓形支撐結構230b的上表面上方。在一個示例中,第一弓形支撐結構230a和第二弓形支撐結構230b中的一個或兩個可以包括諸如平坦表面的特徵以用於接合靜電吸盤或其他基板支撐件來促進與之對準。
圖4A和圖4B分別是根據本揭示內容的一個態樣的在其上支撐載體213的機械葉片435的示意性俯視平面圖和示意性仰視平面圖。圖4C和圖4D是根據本揭示內容的一個態樣的在其上支撐載體213的機械葉片435的示意性剖視圖。機械葉片435可以用在工廠介面機器人111上。然而,也可設想其他用途。
機械葉片435包括基部436和從基部436延伸的一個或多個指狀物437(例如,示出了兩個指狀物437)。在所示的示例中,指狀物437是掌狀的,但是可以預期的是,可以利用離散的指狀物437。基部436可以耦接到機器人的致動臂以促進機械葉片435的移動。在一個或多個實施方式中,根據本揭示內容的機械葉片可以包括一個或多個載體接合特徵以促進用機械葉片接合和支撐載體213。例如,機械葉片可以包括基部載體接合特徵(諸如耦接到或形成在機械葉片的基部的上表面上)以及指狀物載體接合特徵(諸如耦接到或形成在機械葉片的每個指狀物的上表面上)。在所示的示例中,機械葉片435包括設置在每個指狀物437的遠端處的端墊438以用於載體接合特徵。機械葉片435還包括耦接到基部436的上表面作為基部載體接合特徵的一個或多個基墊439(例如,示出了兩個墊439)。機械葉片435還包括有輥440,並且輥440被配置為朝向兩個指狀物437向/從基部436向內和向外(例如,橫向地)致動。輥440耦接到相對於基部436移動的滑動構件441。輥440可圍繞支柱旋轉,或可以是非旋轉構件,諸如緩衝件。致動器(未示出)被配置為朝向指狀物437的遠端致動滑動構件441和輥440,以利於固定載體213。參考圖4D,輥440被示出為處於非接觸位置,但是可以被致動以與載體213的外邊緣接觸來利於固定載體213。在輥440和載體213的接觸位置中,在邊緣環110的底表面與輥440的上表面之間存在間隙,使得輥440可以在不接觸邊緣環110的情況下致動,從而減少對邊緣環110的損壞以及減少顆粒產生。
輥440定位在鄰近或鄰接基部436設置的兩個基墊439之間。每個基墊439的上表面490是載體213的支撐表面。每個基墊439包括在指狀物437的近端處設置在指狀物437(或它的掌狀物)的上表面上的平坦的下表面442。每個基墊439的上表面490包括鄰近基部436的階梯443,以及從階梯443朝向指狀物437的遠端向下漸縮的錐形部分444。在一個示例中,載體213在運輸過程期間擱置在上表面490的錐形部分444上。
指狀物437額外地包括設置在指狀物437遠端的端墊438。端墊438由與基墊439相同或相似的材料形成。端墊438利於在指狀物437的端部或端部附近支撐載體213,並且設定端墊438的大小和形狀以在載體213的運輸期間使載體213保持平行於指狀物437。在一個示例中,端墊438包括平坦的下表面445和上表面,所述平坦的下表面445與指狀物437接觸,所述上表面具有接觸載體213的平坦部分446a、以及錐形部分446b,錐形部分446b接近平坦部分446a。在一個示例中,基墊439和端墊438的高度被選擇以在插口225的下表面與指狀物437的上表面之間提供間隔。
在一個示例中,輥440、每個端墊438和每個基墊439是襯墊材料,以減少對載體213的損壞。在另一個示例中,輥440、每個端墊438和每個基墊439由氧化矽、氮化矽、碳化矽或聚對苯二甲酸乙二醇酯形成。指狀物437和基部436可以由金屬或金屬合金(諸如鋁)或陶瓷材料(諸如碳化矽)形成。
圖5A是根據本揭示內容的一個態樣的機械葉片548和機器人腕549的示意圖。圖5B是根據本揭示內容的一個態樣的支撐載體213和邊緣環110的圖5A的機械葉片548的剖視圖。在一個態樣中,機械葉片548被配置為耦接到現有的機器人腕,或可通過一個或多個緊固件550(諸如螺栓)耦接到機器人腕549。機器人腕549轉而又可以耦接到機器人以促進機械葉片548的移動。在一個示例中,機械葉片548和可選地機器人腕549可以與傳送腔室機器人112(示出在圖1中)一起使用。
機械葉片548包括鄰近機器人腕549的遠端的基部551。基部551具有形成在基部上表面上的升高脊部552。在一個示例中,升高脊部552包括階梯狀表面(未示出)。所述階梯狀表面可以在運輸操作期間接合載體213以利於支撐載體213,從而為載體213提供額外的支撐。機械葉片548還包括從基部551延伸的兩個指狀物554。每個指狀物554具有在各個指狀物的遠端處形成在指狀物上表面上的升高脊部555。每個升高脊部555從每個指狀物554的上表面垂直地延伸。
指狀物554的升高脊部555和基部551的升高脊部552被配置為半圓形或彎曲佈置。在一個示例中,形成在兩個指狀物554的上表面上的升高脊部555和形成在基部551的上表面上的升高脊部552是共圓的弧。在這樣的示例中,所述共圓可以與載體213的大小大致相同,以利於支撐載體213。
除了由升高脊部552和升高脊部555提供的支撐之外或作為由升高脊部552和升高脊部555提供的支撐的替代,機械葉片548可以包括多個載體接合特徵,諸如多個接合支柱556(示出三個)。在一個示例中,接合支柱556是從機械葉片548的上表面垂直延伸的圓柱形柱。基部551包括形成在基部鄰近升高脊部552的上表面上的接合支柱556中的一個,而兩個指狀物554中的每一個包括各自設置在各自指狀物上表面上的接合支柱556。
如圖5B所示,每個接合支柱556定位成接合載體213的對應的插口225。由接合支柱556對插口225的接合減少了在載體213的運輸期間機械葉片548與載體213之間的相對移動。當插口225被接合支柱556接合時,機械葉片548的上表面與載體213的半圓形板材216的下表面間隔開。插口225的尺寸經選擇以在機械葉片548的上表面與半圓形板材216的下表面之間提供間隙,從而減少部件之間的接觸並帶來減少顆粒產生的結果。
另外,插口225的尺寸可經選擇以使邊緣環110的下表面與升高脊部552和升高脊部555的上表面間隔開,從而減少或減弱邊緣環110與機械葉片548之間的接觸。邊緣環110與機械葉片548之間的減小的接觸減輕了由於無意中接觸機械葉片548而對邊緣環110造成的損壞。類似地,需要注意的是,出於類似的原因,機械葉片548的尺寸可經選擇以保持邊緣環110與機器人腕549之間的間隔。
在一些示例中,機械葉片548可以包括形成在其中的一個或多個開口557。所述一個或多個開口557促進機械葉片548的重量減輕,從而補償載體213的重量。這樣做時,機械葉片548可被改裝到最初沒有設計成傳送載體213的現有的機器人。在一個示例中,機械葉片548也可用於傳送基板。在這樣的示例中,接合支柱556可以包括圓形上表面以最小化與正被傳送的基板的接觸,從而減少顆粒產生。
圖6A-6H示意性地示出了根據本揭示內容的一個態樣的邊緣環110在處理腔室107內的放置。圖7是根據本揭示內容的一個態樣的放置邊緣環的方法760的流程圖。為了便於說明,結合圖6A-6H解釋圖7。
圖6A示意性地示出了處理腔室107的內部的俯視透視圖。處理腔室107包括埠108,諸如狹縫閥,以利於機械葉片548和機器人腕549的進出。在方法760的操作761中,在其上具有邊緣環110的載體213經由機械葉片548定位在處理腔室107中。載體213和邊緣環110在基板支撐件680的靜電吸盤上居於中心,如圖6A所示。載體213的尺寸經選擇以允許載體213和邊緣環110通過埠108,而不需要埠108的尺寸變化。因此,載體213可以用在現有腔室上,而不需要顯著地重新配置處理腔室107的尺寸。然而,預期的是,埠108可以發生尺寸變化,以為載體213的進出提供額外的間隙。
在操作762中,如圖6B所示,基板升舉銷681(例如,第一組升舉銷)被致動以與載體213的插口219接觸。在一個示例中,三(3)個基板升舉銷681被致動以使得每個基板升舉銷681接合各自的插口219。基板升舉銷681和插口219定位成允許它們之間接合而不受機械葉片548的干擾。隨後,在操作763中,基板升舉銷681被進一步向上致動以從機械葉片548提升載體213和支撐在載體上的邊緣環110。在這樣的配置中,載體213和邊緣環110定位在機械葉片548的上方並與機械葉片548分開,如圖6C所示。
在操作764中,機械葉片548從處理腔室107撤走,並且載體213和邊緣環110朝向基板支撐件680下降,如圖6D所示。在一個示例中,載體213下降到與基板支撐件680的上表面間隔開的位置,但是低於機械葉片548的水準平面或操作762的初始接合位置。
在操作765中,位於基板升舉銷681的徑向外側的外升舉銷682(例如,第二組升舉銷)向上致動。外升舉銷682設置在升降環683下方,並且因此,當被向上致動時,提高升降環683形成基板支撐件680的表面。升降環683經由外升舉銷682被向上致動以接觸邊緣環110的底表面,如圖6E所示。升降環683包括在內周邊上的階梯狀表面以在升降環683中接收邊緣環110。或者,升降環683可以包括錐形表面以促進對準。
在操作766中,將邊緣環110從載體213提升,如圖6F所示。作為參考,圖6F示出了相對於圖6E旋轉約90度的示意性側視圖,以示出載體213的不同的橫截面。通過用外升舉銷682進一步向上致動升降環683來提升邊緣環110。在操作766中,在邊緣環110從載體213被提升的同時載體213保持定位在基板升舉銷681上。在這樣的配置中,載體213定位在第一平面中,並且升降環683和位於升降環上的邊緣環110定位在第一平面上方的第二平面中。在一個示例中,載體213還可以可選地被向上提升以使載體213與埠108對準,來促進從處理腔室107中移除載體213。在這樣的示例中,升降環683和邊緣環110仍定位在載體213上方。
在操作767中,將載體213從處理腔室107移除,如圖6G所示。通過將機械葉片584插回到處理腔室107中並使用基板升舉銷681將載體213下降到機械葉片584上來移除載體213。基板升舉銷681被進一步下降到基板支撐件680中以減小干涉基板升舉銷681的可能性。在載體213定位在機械葉片584上的情況下,將機械葉片584從處理腔室107撤走
平行邊緣214a、214b(圖2A中所示)提供相對於外升舉銷682的間隙,從而允許載體213從處理腔室107中撤走,同時外升舉銷682保持在垂直-提升位置中延伸。如上所述,載體213可以被撤回以與邊緣環110分開,由此將邊緣環110留在處理腔室107中。
在操作768中,在載體213和機械葉片584從處理腔室107中移除的情況下,升降環683和邊緣環110定位在基板支撐件680上,如圖6H所示。在這樣的示例中,升降環683經由外升舉銷682的致動向下下降,直到升降環683與基板支撐件680接觸,由此將邊緣環110定位在預定位置中。在一個示例中,邊緣環110可以包括對準標籤或其他索引特徵,以利於邊緣環110在基板支撐件680上的適當對準。
在圖6I所示的圖6H的替代示例中,邊緣環110可以設置在基板支撐件680上,使得一個或多個升舉銷681以相對於基板支撐件680的上表面呈非平行配置的方式定位邊緣環110以用於進行處理。例如,升舉銷681可以將邊緣環110的一個部段提升到大於邊緣環110的另一個部段的相對高度(相對於基板支撐件680)。這樣做時,鄰近基板支撐件680的電漿殼層680受到影響。因此,可以選擇邊緣環110的位置以影響電漿殼層,以便帶來更均勻處理的結果。
雖然圖7示出了傳送邊緣環110的方法的一個示例,但是也可預期其他示例。例如,預期的是,可通過反向執行方法670從處理腔室100移除邊緣環110。
另外,預期的是,本揭示內容的諸多態樣可用於進一步調整電漿均勻性。在一個示例中,一旦邊緣環110定位在基板支撐件680上,外升舉銷682可相對於基板支撐件680調整邊緣環110的平面度。例如,在操作768之後,可通過調整外升舉銷682中的一個或多個的垂直位置對邊緣環110的平面進行小調整以影響邊緣環110(或正在被處理的基板)附近的特定位置中的電漿殼層和/或化學物質。在這樣的示例中,基板處理可以在邊緣環110相對於基板表面為非平面的情況下發生。
圖8A是當在脫氣腔室(諸如脫氣腔室104a(圖1中所示))內使用時載體213的示意圖。圖8B和圖8C是根據本揭示內容的各個態樣的在脫氣腔室內使用的支撐結構885的示意性透視圖。
脫氣腔室的內部包括多個支撐結構885(示出了三個)。支撐結構885被配置為在脫氣操作期間在支撐結構上支撐基板(諸如半導體晶圓)或載體。支撐結構885被取向並配置為支撐半導體晶圓(半導體晶圓一般具有圓形形狀)以及基板載體213(基板載體213具有半圓形形狀)。
每個支撐結構885包括具有第一端887a和第二端887b的基部886。橫向構件888設置在基部886的第一端887a處。橫向構件888設置在與基部886相同的平面中,從而與基部886共用共面上表面。橫向構件888具有大於基部886的寬度並垂直於基部886取向。橫向構件888包括從中穿過形成的開口889,以及從橫向構件888的下表面延伸的至少兩個支撐支柱890。兩個支撐支柱890定位在開口889的相對側上並且可以具有圓柱形形狀。在一個示例中,支撐支柱890可相對於橫向構件888軸向地移動或調整。
支撐結構885還包括從基部886的第二端887b延伸的垂直構件891。垂直構件891在平行於支撐支柱890的軸線的方向上延伸。在一個示例中,垂直構件891相對於基部886或從基部886在與兩個支撐支柱890相對的方向上延伸。垂直構件891在其遠端包括球狀軸承892。在另一個示例中,垂直構件891包括在其遠端的接觸墊。球狀軸承891(或接觸墊)有利於與基板的接觸而不會損壞基板的表面。接觸墊或球狀軸承892可以由陶瓷或另一材料形成,以緩和顆粒產生。在一個示例中,接觸墊或球狀軸承892被配置為在處理期間在接觸墊或球狀軸承892上支撐基板。
在操作期間,將諸如半導體晶圓之類的基板傳送到脫氣腔室104a中並定位在支撐結構885上。由於基板的大小和形狀,基板能夠接觸支撐結構895的所有球狀軸承892。然而,由於載體213的半圓形狀,載體213不能接觸支撐結構895的所有球狀軸承892。然而,載體213能夠接觸基部886的上表面和每個支撐結構885的橫向構件888,從而允許支撐結構支撐半導體基板以及載體213。
參考圖8A,為了利於支撐多個基板,支撐結構885的取向是可調整的。在所示的示例中,兩個支撐構件885取向成使得垂直構件891徑向向內定位,而第三支撐構件895取向成使得相應的垂直構件891徑向向外定位(例如,相對於彼此成180度)。預期的是,每個支撐構件895可以繞開口889的軸線旋轉以將每個支撐構件895定位在期望的配置中。當每個支撐構件895處於期望的位置時,支撐支柱890可以接合對應的插口以防止每個支撐構件895的進一步旋轉移動。
圖8A-8C示出了本揭示內容的一個態樣,然而,也可預期其他態樣。在替代的示例中,脫氣腔室104a可以包括多於三個的支撐結構885。
圖9揭示了根據本揭示內容的一個態樣的匣902。匣902被配置為容納一個或多個載體213,每個載體213上具有邊緣環110(示出了每個匣有三組載體和邊緣環)。每個載體213定位在梳狀物991上,彼此間隔開距離「D」。距離D被選擇為匣902內期望的機器人間隙量。每個梳狀物991包括耦接到外殼992的內表面的相對的支撐結構。每個支撐結構包括耦接到外殼992的基部993,以及以階梯狀配置從各自的基部993向內延伸的延伸部994。
本揭示內容的載體213具有相對的平行邊緣214a、214b,並且因此不是圓形的,這阻止了在常規匣中的支撐。然而,本揭示內容的匣902包括徑向向內延伸的延伸部994以沿著所述相對的平行邊緣214a、214b支撐相應的載體213。每個梳狀物991的階梯狀表面當在其上支撐載體213時防止干涉邊緣環110。在一個示例中,梳狀物991的基部993的大小和位置可經選擇以允許在基部上支撐基板(諸如半導體晶圓)。因此,匣902既可以用於將載體213和邊緣環110引入處理系統100,也可以用於將基板引入處理系統100以進行處理。在另一個示例中,常規的匣可經修改以包括延伸部994。
本揭示內容的益處包括具有在不使處理腔室通風和打開處理腔室的情況下替換邊緣環的能力。由於避免了通風,因此改善了腔室正常執行時間並降低了維護成本。此外,在不打開處理腔室的情況下替換邊緣環,使得能夠選擇邊緣環形狀或材料以優化特定的蝕刻應用的性能。由於常規的方法需要大量時間來為特定的應用交換邊緣環,因此使用常規的系統來這樣做是不切實際的。然而,由於可以使用本文所述的各個態樣來快速地交換或替換邊緣環,因此現在按製程參數所指示地來交換邊緣環是可行的。
此外,通過本文所述的各個態樣還改善了處理均勻性。由於常規的方法需要長得多時間來替換邊緣環,因此在常規的系統中,邊緣環的預防性維護之間的時間被最大化以減少停工期。然而,這樣做會在預防性維護之前立即產生磨損得很厲害的邊緣環。因為邊緣環的形狀和材料影響正被處理的基板的邊緣附近的電漿殼層和化學物質濃度,因此由於存在磨損得很厲害的邊緣環,處理均勻性可能下降。然而,由於本揭示內容的各個態樣允許在開始磨損時快速地替換邊緣環,因此可更頻繁地替換邊緣環而沒有顯著的停工期,從而帶來更大的製程均勻性。
雖然本文中的各個態樣是關於半圓形板材和載體進行描述的,但是可以預期,載體可以是完全圓形的。
雖然前述針對本揭示內容的各個態樣,但是也可在不脫離本揭示內容的基本範圍的情況下,設想本揭示內容的其他和進一步態樣,並且本揭示內容的範圍由隨附申請專利範圍確定。
100‧‧‧處理系統
101‧‧‧工廠介面
102‧‧‧匣
103a‧‧‧第一真空埠
103b‧‧‧第一真空埠
104a‧‧‧脫氣腔室
104b‧‧‧脫氣腔室
105a‧‧‧第二真空埠
105b‧‧‧第二真空埠
106‧‧‧傳送腔室
107‧‧‧處理腔室
108‧‧‧埠
109‧‧‧控制器
110‧‧‧邊緣環
111‧‧‧工廠介面機器人
112‧‧‧傳送腔室機器人
213‧‧‧載體
214a‧‧‧平行邊緣
214b‧‧‧平行邊緣
215a‧‧‧彎曲邊緣
215b‧‧‧彎曲邊緣
216‧‧‧半圓形板材
217‧‧‧中心開口
218a‧‧‧半圓形開口
218b‧‧‧半圓形開口
219‧‧‧插口
220‧‧‧主體
221‧‧‧喇叭形基部
222‧‧‧凹陷
223‧‧‧沉孔
224‧‧‧錐形側壁
225‧‧‧插口
226‧‧‧主體
227‧‧‧喇叭形部分
228‧‧‧孔
229‧‧‧沉孔
230a‧‧‧第一弓形支撐結構
230b‧‧‧第二弓形支撐結構
231‧‧‧延伸部
232‧‧‧開口
233‧‧‧支撐表面
234‧‧‧垂直壁
435‧‧‧機械葉片
436‧‧‧基部
437‧‧‧指狀物
438‧‧‧端墊
439‧‧‧基墊
440‧‧‧輥
441‧‧‧滑動構件
442‧‧‧下表面
443‧‧‧階梯
444‧‧‧錐形部分
445‧‧‧下表面
446a‧‧‧平坦部分
446b‧‧‧錐形部分
490‧‧‧上表面
548‧‧‧機械葉片
549‧‧‧機器人腕
550‧‧‧緊固件
551‧‧‧基部
552‧‧‧升高脊部
554‧‧‧指狀物
555‧‧‧升高脊部
556‧‧‧接合支柱
557‧‧‧開口
680‧‧‧基板支撐件
681‧‧‧升舉銷
682‧‧‧外升舉銷
683‧‧‧升降環
760‧‧‧方法
761‧‧‧操作
762‧‧‧操作
763‧‧‧操作
764‧‧‧操作
765‧‧‧操作
766‧‧‧操作
767‧‧‧操作
768‧‧‧操作
885‧‧‧支撐結構
886‧‧‧基部
887a‧‧‧第一端
887b‧‧‧第二端
888‧‧‧橫向構件
889‧‧‧開口
890‧‧‧支撐支柱
891‧‧‧垂直構件
892‧‧‧球狀軸承
902‧‧‧匣
991‧‧‧梳狀物
992‧‧‧外殼
993‧‧‧基部
994‧‧‧延伸部
為了可詳細地理解本揭示內容的上述特徵,可參考各個態樣對在上文簡要概述的本揭示內容作更具體的描述,一些態樣示出在附圖中。然而,應當注意,附圖僅示出了示例性態樣,並且因此不應被視為對範圍的限制,因為本揭示內容可允許其他等效態樣。
圖1示出了根據本揭示內容的一個態樣的處理系統。
圖2A是根據本揭示內容的一個態樣的載體的示意性俯視平面圖。圖2B是圖2A的載體的示意性仰視平面圖。圖2C-2F是圖2A的載體的示意性剖視圖。
圖3A是在其上支撐邊緣環的載體的示意性俯視平面圖。圖3B是圖3A的示意性剖視圖。
圖4A和圖4B分別是根據本揭示內容的一個態樣的在其上支撐載體的機械葉片的示意性俯視平面圖和示意性仰視平面圖。圖4C和圖4D是根據本揭示內容的一個態樣的在其上支撐載體的機械葉片的示意性剖視圖。
圖5A是根據本揭示內容的一個態樣的機械葉片的示意性透視圖。
圖5B是根據本揭示內容的一個態樣的支撐載體的圖5A的機械葉片的示意性剖視圖。
圖6A-6I根據本揭示內容的一個態樣示意性地示出了邊緣環在處理腔室內的放置。
圖7是根據本揭示內容的一個態樣的放置邊緣環的方法的流程圖。
圖8A是脫氣腔室中的載體的示意圖。
圖8B和圖8C是根據本揭示內容的數個態樣的支撐指狀物的示意性透視圖。
圖9揭示了根據本揭示內容的一個態樣的匣。
為了便於理解,已經盡可能地使用相同的附圖標記來標示各圖共有的相同元件。設想的是,一個態樣的元件和特徵可有利地併入其他態樣,而無需進一步敘述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種用於一支撐邊緣環的載體,包括: 一板材,具有一周邊,該周邊包括兩個相對的彎曲邊緣;一第一多個插口,設置在該板材中,其中每個插口被配置為在該插口中接收一升舉銷;一第二多個插口,設置在該板材中,其中每個插口被配置為接合一支撐結構;一第一弓形支撐結構,耦接到該等兩個相對的彎曲邊緣中的一個,該第一弓形支撐結構在該板材的一上表面的一平面上方延伸;和一第二弓形支撐結構,耦接到該等兩個相對的彎曲邊緣中的另一個,該第二弓形支撐結構在該板材的該上表面的該平面上方延伸。
  2. 如請求項1所述之載體,其中該板材包括一半圓形板材,該半圓形板材具有由兩個平行邊緣限定的該周邊,其中該等兩個相對的彎曲邊緣耦接該等兩個平行邊緣。
  3. 如請求項1所述之載體,其中該等第一多個插口相對於該等第二多個插口從該板材的一中心徑向向內定位。
  4. 如請求項1所述之載體,其中該等第一多個插口中的每一個包括設置在該板材的一孔內的一帽。
  5. 如請求項1所述之載體,其中該等第一多個插口中的每一個包括一主體,該主體具有延伸到該主體中的一凹陷,並且其中該凹陷包括一橢圓形或拋物線形狀。
  6. 如請求項1所述之載體,其中該等第二多個插口中的每一個包括設置在該板材中的一開口內的一主體,該主體包括相對於該主體具有一增大的外徑的一喇叭形部分和穿過該主體形成的一孔。
  7. 如請求項1所述之載體,其中該板材包括穿過其形成的多個開口。
  8. 如請求項7所述之載體,其中該等孔為圓形或半圓形。
  9. 如請求項1所述之載體,其中該第一弓形支撐結構和該第二弓形支撐結構中的每一個包括形成在它們徑向向外邊緣上的一階梯狀表面。
  10. 如請求項9所述之載體,其中每個階梯狀表面包括近似平行於該板材的該上表面定位的一支撐表面和定位在該支撐表面的徑向向內的位置並大致上垂直於該板材的該上表面取向的一垂直壁。
  11. 一種機械葉片,包括: 一基部;兩個指狀物,從該基部延伸;一基部載體接合特徵,耦接到該基部的一上表面;和指狀物載體接合特徵,每個指狀物載體接合特徵都耦接到該兩個指狀物中的相應一個的一上表面。
  12. 如請求項11所述之機械葉片,其中該基部載體接合特徵包括耦接到該基部的一上表面的一基墊,並且其中該等指狀物載體接合特徵各自包括設置在該相應指狀物的該遠端處的一端墊。
  13. 如請求項11所述之機械葉片,進一步包括一輥,該輥被配置為從該基部並朝向該等兩個指狀物致動。
  14. 如請求項11所述之機械葉片,其中: 該基部包括在其上表面上形成的一升高脊部; 每個指狀物包括在其遠端處形成在該指狀物上表面上的一升高脊部;和 該等兩個指狀物的該等升高脊部和該基部的該升高脊部是一共圓的弧。
  15. 如請求項11所述之機械葉片,其中: 該基部載體接合特徵包括形成在該基部的該上表面上的一接合支柱;及該指狀物載體接合特徵各自包括形成在每個相應指狀物的該上表面上的一接合支柱。
  16. 如請求項15所述之機械葉片,其中該基部和每個該等兩個指狀物的該等接合支柱包括一圓形上表面,用於在一基板支撐於其上時最小化與該基板的接觸。
  17. 一種傳送一邊緣環的方法,包括以下步驟: 通過一狹縫閥門將一機械葉片插入一腔室中,該機械葉片具有一載體和該載體上的一邊緣環;將該載體和該載體上的該邊緣環定位在一基板支撐件上;致動基板升舉銷以從該機械葉片提升該載體;和將該機械葉片從該腔室縮回。
  18. 如請求項17所述之方法,進一步包括以下步驟: 致動該基板升舉銷以使該載體朝向該基板支撐件下降;致動一第二組升舉銷以從該載體提升該邊緣環;將該機械葉片插入該腔室並接合該載體;從該腔室移除該載體和該機械葉片;和將該邊緣環下降到與該基板支撐件接觸。
  19. 如請求項18所述之方法,其中將該邊緣環下降到與該基板支撐件接觸之步驟包括以相對於該基板支撐件的一上表面為非平行配置的方式定位該邊緣環,以在處理期間調整一電漿殼層的一位置。
  20. 如請求項17所述之方法,其中: 該載體包括一第一多個插口,該第一多個插口從該載體的一中心相對於一第二多個插口徑向向內定位;該第一多個插口中的每一個被配置為接收該升舉銷中的相應一個以提升該載體;和該第二多個插口中的每一個被配置為接合該機械葉片。
TW107132720A 2017-09-21 2018-09-18 用於半導體製程模組的原位設備 TWI778133B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762561463P 2017-09-21 2017-09-21
US62/561,463 2017-09-21
US16/103,531 2018-08-14
US16/103,531 US11075105B2 (en) 2017-09-21 2018-08-14 In-situ apparatus for semiconductor process module

Publications (2)

Publication Number Publication Date
TW201925524A true TW201925524A (zh) 2019-07-01
TWI778133B TWI778133B (zh) 2022-09-21

Family

ID=65720605

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111132596A TWI830351B (zh) 2017-09-21 2018-09-18 用於半導體製程模組的原位設備
TW107132720A TWI778133B (zh) 2017-09-21 2018-09-18 用於半導體製程模組的原位設備

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111132596A TWI830351B (zh) 2017-09-21 2018-09-18 用於半導體製程模組的原位設備

Country Status (5)

Country Link
US (2) US11075105B2 (zh)
JP (1) JP7166858B2 (zh)
KR (2) KR102641354B1 (zh)
CN (3) CN116313723A (zh)
TW (2) TWI830351B (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US10964584B2 (en) * 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US20200373190A1 (en) 2019-05-20 2020-11-26 Applied Materials, Inc. Process kit enclosure system
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
WO2021016115A1 (en) * 2019-07-19 2021-01-28 Applied Materials, Inc. Multi-object capable loadlock system
US11948828B2 (en) * 2020-01-16 2024-04-02 Applied Materials, Inc. Pin-less substrate transfer apparatus and method for a processing chamber
CN115004352A (zh) * 2020-01-23 2022-09-02 朗姆研究公司 具有自动化转动式预对准的边缘环传送
WO2021179000A1 (en) * 2020-03-06 2021-09-10 Lam Research Corporation Ring structure with compliant centering fingers
US20210296149A1 (en) * 2020-03-23 2021-09-23 Applied Materials, Inc. Enclosure system shelf
US20210292104A1 (en) * 2020-03-23 2021-09-23 Applied Materials, Inc. Substrate processing system carrier
DE102020110570A1 (de) 2020-04-17 2021-10-21 Aixtron Se CVD-Verfahren und CVD-Reaktor mit austauschbaren mit dem Substrat Wärme austauschenden Körpern
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
US11715662B2 (en) * 2020-12-11 2023-08-01 Applied Materials, Inc. Actively clamped carrier assembly for processing tools
KR102614918B1 (ko) * 2021-06-28 2023-12-20 세메스 주식회사 반송 어셈블리 및 이를 가지는 기판 처리 장치

Family Cites Families (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS605509A (ja) * 1983-06-24 1985-01-12 Hitachi Ltd 分子線エピタキシ装置
US5162047A (en) * 1989-08-28 1992-11-10 Tokyo Electron Sagami Limited Vertical heat treatment apparatus having wafer transfer mechanism and method for transferring wafers
KR100188454B1 (ko) 1991-05-28 1999-06-01 이노우에 아키라 기판 처리 장치
US5445486A (en) * 1992-03-29 1995-08-29 Tokyo Electron Sagami Limited Substrate transferring apparatus
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP2713276B2 (ja) * 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
JP3020898B2 (ja) 1997-07-22 2000-03-15 株式会社エイ・ティ・アール人間情報通信研究所 アフィンカメラ補正による三次元位置の線形推定方法
US6155773A (en) * 1997-09-22 2000-12-05 Applied Materials, Inc. Substrate clamping apparatus
US6511543B1 (en) 1997-12-23 2003-01-28 Unaxis Balzers Aktiengesellschaft Holding device
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
JP2000049144A (ja) 1998-07-28 2000-02-18 Hitachi Chem Co Ltd プラズマ処理装置用電極板
JP3234576B2 (ja) 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6709547B1 (en) 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
US6537011B1 (en) * 2000-03-10 2003-03-25 Applied Materials, Inc. Method and apparatus for transferring and supporting a substrate
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
KR100578129B1 (ko) 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
CN1296878C (zh) * 2003-11-04 2007-01-24 爱德牌工程有限公司 平板显示器制造装置
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7338578B2 (en) 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
US20050189068A1 (en) 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
US20050263070A1 (en) 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
DE102004053906A1 (de) * 2004-11-05 2006-05-11 Leica Microsystems Semiconductor Gmbh Adaptervorrichtung für eine Substrat-Arbeitsstation
JP4006004B2 (ja) 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
KR20060117537A (ko) 2005-05-11 2006-11-17 삼성전자주식회사 리프트 핀 높이 정렬용 지그 및 이를 이용한 리프트 핀높이 정렬 방법
US7748542B2 (en) 2005-08-31 2010-07-06 Applied Materials, Inc. Batch deposition tool and compressed boat
US7736528B2 (en) 2005-10-12 2010-06-15 Panasonic Corporation Plasma processing apparatus and plasma processing method
US7846257B2 (en) 2005-12-14 2010-12-07 Tokyo Electron Limited Method for cleaning substrate processing apparatus, substrate processing apparatus, program and recording medium having program recorded therein
US20090041568A1 (en) 2006-01-31 2009-02-12 Tokyo Electron Limited Substrate processing apparatus, substrate placing table used for same, and member exposed to plasma
US7572737B1 (en) 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
JP5543205B2 (ja) 2006-08-18 2014-07-09 ブルックス オートメーション インコーポレイテッド 半導体ワークピース処理システム
US20080066868A1 (en) 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
JP2008078208A (ja) 2006-09-19 2008-04-03 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
WO2008089168A2 (en) 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US20080289766A1 (en) 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
JP4959427B2 (ja) * 2007-06-05 2012-06-20 日本電産サンキョー株式会社 産業用ロボット
KR100963297B1 (ko) 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
KR102134276B1 (ko) 2008-04-16 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 프로세싱 증착 차폐 컴포넌트들
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US20100101729A1 (en) 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
JP5406067B2 (ja) * 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US20110011534A1 (en) 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
JP5650935B2 (ja) 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
SG178287A1 (en) 2009-08-31 2012-03-29 Lam Res Corp A local plasma confinement and pressure control arrangement and methods thereof
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5505049B2 (ja) * 2010-04-08 2014-05-28 株式会社安川電機 把持装置
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
WO2011151996A1 (ja) * 2010-06-01 2011-12-08 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
JP5654297B2 (ja) 2010-09-14 2015-01-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
KR20120071695A (ko) * 2010-12-23 2012-07-03 삼성엘이디 주식회사 화학 기상 증착 장치용 서셉터, 화학 기상 증착 장치 및 화학 기상 증착 장치를 이용한 기판의 가열 방법
JP5690596B2 (ja) 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
JP5277261B2 (ja) 2011-01-12 2013-08-28 Kddi株式会社 ハンドオーバパラメータ調整装置、ハンドオーバパラメータ調整方法およびコンピュータプログラム
WO2012134663A2 (en) * 2011-03-16 2012-10-04 Applied Materials, Inc Method and apparatus utilizing a single lift mechanism for processing and transfer of substrates
JP6001529B2 (ja) 2011-03-29 2016-10-05 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
JP6003011B2 (ja) * 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
JP6046128B2 (ja) 2011-05-31 2016-12-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマ(icp)リアクタ用動的イオンラジカルシーブ及びイオンラジカルアパーチャ
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
KR101267459B1 (ko) 2011-09-08 2013-05-31 한국과학기술연구원 플라즈마 이온주입 장치 및 방법
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
WO2015031023A1 (en) 2013-08-30 2015-03-05 Applied Materials, Inc. Substrate support system
JP2015050156A (ja) 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9410249B2 (en) 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
JP6345030B2 (ja) 2014-08-11 2018-06-20 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
CN105789010B (zh) 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
JP6456177B2 (ja) 2015-02-12 2019-01-23 株式会社ディスコ ウェーハ処理システム
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
CN108140606B (zh) 2015-10-21 2022-05-24 住友大阪水泥股份有限公司 静电卡盘装置
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US9881820B2 (en) * 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
JP6710050B2 (ja) * 2016-01-19 2020-06-17 株式会社ディスコ 搬送装置
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10921251B2 (en) 2016-08-22 2021-02-16 Applied Materials, Inc. Chamber component part wear indicator and a system for detecting part wear
US20180061696A1 (en) 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
KR102125512B1 (ko) * 2016-10-18 2020-06-23 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
WO2018125752A1 (en) * 2016-12-31 2018-07-05 Applied Materials, Inc. Apparatus and methods for wafer rotation to improve spatial ald process uniformity
JP6869034B2 (ja) 2017-01-17 2021-05-12 東京エレクトロン株式会社 プラズマ処理装置
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
TW202341281A (zh) 2017-04-26 2023-10-16 日商東京威力科創股份有限公司 電漿處理裝置
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
SG11202005150YA (en) 2017-12-01 2020-06-29 Applied Materials Inc Highly etch selective amorphous carbon film
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP6995008B2 (ja) 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch

Also Published As

Publication number Publication date
KR20190033459A (ko) 2019-03-29
TWI778133B (zh) 2022-09-21
JP2019057709A (ja) 2019-04-11
CN209571382U (zh) 2019-11-01
CN116313723A (zh) 2023-06-23
KR20240031986A (ko) 2024-03-08
US11075105B2 (en) 2021-07-27
TW202301529A (zh) 2023-01-01
CN109545642A (zh) 2019-03-29
US20210351063A1 (en) 2021-11-11
CN109545642B (zh) 2023-04-07
TWI830351B (zh) 2024-01-21
JP7166858B2 (ja) 2022-11-08
US11887879B2 (en) 2024-01-30
US20190088531A1 (en) 2019-03-21
KR102641354B1 (ko) 2024-02-26

Similar Documents

Publication Publication Date Title
TW201925524A (zh) 用於半導體製程模組的原位設備
TWI795495B (zh) 可移動並且可移除的處理配件
JP7110195B2 (ja) 半導体デバイスを製造するためのウェハエッジ・リフトピンの設計
CN105702617B (zh) 承载环结构及包含该承载环结构的室系统
US9425077B2 (en) Semiconductor apparatus with transportable edge ring for substrate transport
US20040045509A1 (en) Reduced friction lift pin
US20030013315A1 (en) Process chamber used in manufacture of semiconductor device, capable of reducing contamination by particulates
CN113853673B (zh) 处理配件环适配器和更换处理配件环的方法
US20150340209A1 (en) Focus ring replacement method for a plasma reactor, and associated systems and methods
US20170256436A1 (en) Wafer handling assembly
CN107240566B (zh) 液处理装置
US6767170B2 (en) Wafer handling system and wafer handling method
KR100968725B1 (ko) 기판 반송용 블레이드 및 이를 구비하는 기판 반송 장치
CN220856548U (zh) 用于装载或卸载基板的升降销、升降销组件和升降销阵列、用于升降销阵列的销阵列板及用于处理基板的处理系统
KR20040099014A (ko) 멀티 카세트를 구비하는 반도체 제조 장치

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent