JP2019057709A - 半導体プロセスモジュールのためのインサイチュ装置 - Google Patents

半導体プロセスモジュールのためのインサイチュ装置 Download PDF

Info

Publication number
JP2019057709A
JP2019057709A JP2018175730A JP2018175730A JP2019057709A JP 2019057709 A JP2019057709 A JP 2019057709A JP 2018175730 A JP2018175730 A JP 2018175730A JP 2018175730 A JP2018175730 A JP 2018175730A JP 2019057709 A JP2019057709 A JP 2019057709A
Authority
JP
Japan
Prior art keywords
carrier
edge ring
base
robot blade
receptacles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018175730A
Other languages
English (en)
Other versions
JP7166858B2 (ja
JP2019057709A5 (ja
Inventor
サロデ ビシュワナス ヨガナンダ
Sarode Vishwanath Yogananda
サロデ ビシュワナス ヨガナンダ
イー ババヤン スティーブン
E Babayan Steven
イー ババヤン スティーブン
ドナルド プラウティー ステファン
Donald Prouty Stephen
ドナルド プラウティー ステファン
アンドレアス シュミット
Andreas Schmidt
シュミット アンドレアス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019057709A publication Critical patent/JP2019057709A/ja
Publication of JP2019057709A5 publication Critical patent/JP2019057709A5/ja
Application granted granted Critical
Publication of JP7166858B2 publication Critical patent/JP7166858B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

【課題】処理チャンバ内でのエッジリング交換のための装置及び方法を提供する。【解決手段】ファクトリインターフェイスロボット111は、エッジリング110を第1の真空ポート103a、103bのうちの1つを介して、各々の脱気チャンバ104a、104bに搬送する。搬送チャンバ106内に配置された搬送チャンバロボット112はエッジリング110を搬送チャンバ106内に移動させ、エッジリング110は各々のポート108を介して所望の処理チャンバ107に搬送させることができる。エッジリング110の搬送はキャリア上の位置にある間に行われる。【選択図】図1

Description

背景
(分野)
本開示の態様は、一般に、半導体プロセスで用いられるような処理チャンバ内でのエッジリングの交換のための装置及び方法に関する。
(関連技術の説明)
処理チャンバ(例えば、エッチングチャンバ)では、基板は静電的に定位置にクランプされている間にエッチングされる。典型的には、エッジリングと呼ばれる円形部品が基板の外径のすぐ外側に配置され、静電チャックの上面がエッチャントケミストリによりエッチングされることを防止する。エッジリングは幾つかの異なる材料から作られ、様々な形状を有することができ、両方ともエッジリングの近傍でのプロセスの均一性に影響する。処理中に、エッジリングは経時的にエッチングされ、その結果、形状の変化及び処理の均一性の変化が生じる。
エッジリングの劣化による処理の均一性の変化に対処するために、エッジリングはスケジュールに従って交換される。従来、エッジリングを交換するため、処理チャンバが開口され、作業者が内部のエッジリングにアクセスすることができる。しかし、このプロセスは時間がかかり、処理チャンバの通気のために、処理をオンラインに戻すのに最大24時間かかることがある。
従って、エッジリングを交換するための新しい方法及び装置が必要とされている。
概要
本開示の態様は、一般に、処理チャンバ内でのエッジリング交換のための装置及び方法に関する。
一態様において、エッジリングを支持するためのキャリアは、2つの対向する湾曲したエッジを含む周縁部を有するプレートを含む。更に、キャリアは、プレート内に配置された第1の複数のリセプタクルであって、各々がリフトピンを内部に受け入れるように構成された第1の複数のリセプタクルと、プレート内に配置された第2の複数のリセプタクルであって、各々が支持構造と係合するように構成された第2の複数のリセプタクルを含む。第1の弧状支持構造は2つの対向する湾曲したエッジのうちの1つに結合され、第1の弧状支持構造はプレートの上面の平面の上方に延在する。第2の弧状支持構造は2つの対向する湾曲したエッジのうちの他方に結合され、第2の弧状支持構造プレートの上面の平面の上方に延在する。
他の態様において、ロボットブレードは、ベースと、ベースから延在する2つのフィンガを含む。ベースキャリア係合フィーチャはベースの上面に結合され、フィンガキャリア係合フィーチャは2つのフィンガの各々1つの上面に結合される。
他の態様において、エッジリングを搬送する方法は、スリットバルブドアを介してチャンバ内にロボットブレードを挿入する工程であって、ロボットブレードはその上にキャリアとエッジリングを有する工程と、キャリアとその上のエッジリングを基板支持体の上方に位置決めする工程と、基板リフトピンを駆動し、キャリアをロボットブレードから持ち上げる工程と、ロボットブレードをチャンバから引き出す工程を含む。
他の態様において、エッジリングを支持するキャリアは半円形のプレートを含む。半円形のプレートは、2つの平行なエッジと、2つの平行なエッジを連結する2つの対向する湾曲したエッジにより画定される周縁部を有する。第1の複数のリセプタクルは半円形プレート内に配置され、各々のリセプタクルは内部にリフトピンを受け入れるように構成される。第2の複数のリセプタクルは半円形プレート内に配置され、各々のリセプタクルは支持構造と係合するように構成される。第1の弧状支持構造は2つの対向する湾曲エッジの1つに結合される。第1の弧状支持構造は半円形プレートの上面の平面の上方に延在する。第2の弧状支持構造は2つの対向する湾曲エッジの1つに結合され、第2の弧状支持構造は半円形プレートの上面の平面の上方に延在する。
他の態様において、ロボットブレードは、ベースと、ベースから延在する2つのフィンガを含む。また、ロボットブレードは2つのエンドパッドを含み、2つのエンドパッドの1つは、2つのフィンガの1つの各々の遠端部に配置される。また、ロボットブレードは、ベースに結合されるベースパッドと、ベースから2つフィンガに向かって駆動するように構成されるローラを含む。
他の態様において、ロボットプレードは、その上面に形成された隆起したリッジを有するベースと、ベースから延在する2つのフィンガを含む。各々のフィンガは、その遠端部において、その上面に形成された隆起したリッジを含み、2つのフィンガの上面上に形成された隆起したリッジ、及び、ベースの上面上に形成された隆起したリッジは、共通の円の弧である。また、ロボットブレードは複数の係合ポストを含み、複数の係合ポストの1つはベースの上面に形成され、複数の係合ポストの1つは、2つのフィンガの各々の上面上に形成される。
他の態様において、エッジリングを搬送する方法は、スリットバルブドアを介してチャンバ内にロボットブレードを挿入する工程であって、ロボットブレードはその上にキャリアとエッジリングを有する工程を含む。キャリアとその上のエッジリングは基板支持体の上方で位置決めされ、基板リフトピンが駆動され、キャリアをロボットブレードから持ち上げる。ロボットブレードはチャンバから引き出され、基板リフトピンが駆動され、キャリアを基板支持体に向けて下降させる。リフトピンの第2のセットが駆動され、エッジリングをキャリアから持ち上げ、ロボットブレードがチャンバ内に挿入され、キャリアと係合する。チャンバからキャリア及びロボットブレードが除去され、エッジリングが下降し、基板支持体と接触する。
他の態様において、基板キャリアを支持するための支持構造は、第1端部と、第2端部と、ベースの第1端部に配置されたクロス部材を含む。クロス部材は、ベースと同一平面に配置され、ベースより大きな幅を有する。クロス部材はこれを貫通して形成された開口部と、クロス部材の表面から延在する少なくとも2つの支持ポストを含み、少なくとも2つの支持ポストは開口部の対向するサイドに位置する。また、支持構造は、ベースの第2端部から延在する垂直部材を含む。垂直部材は、クロス部材の少なくとも2つの支持ポストと反対の方向に延在する。垂直部材は1つの端部にボールベアリング又は接触パッドを含む。
本開示の上述した構成を詳細に理解することができるように、上で簡潔に要約した本開示のより詳細な説明を態様を参照して行う。態様の幾つかは添付図面に示される。しかしながら、添付図面は例示的な態様のみを示しており、その範囲を限定すると解釈されるべきではなく、開示は他の等しく有効な態様を含み得ることに留意すべきである。
本開示の一態様による処理システムを示す。 本開示の一態様によるキャリアの概略上面平面図である。 図2Aのキャリアの概略底面図である。 図2Aのキャリアの概略断面図である。 上部でエッジリングを支持するキャリアの概略上面平面図である。 図3Aの概略断面図である。 本開示の一態様による、キャリアをその上に支持するロボットブレードの、各々、概略的な上面図及び底面図である。 本開示の一態様による、キャリアをその上に支持するロボットブレードの概略断面図である。 本開示の一態様による、ロボットブレードの概略斜視図である。 本開示の一態様による、キャリアを支持する図5Aのロボットブレードの概略断面図である。 は、本開示の一態様による、処理チャンバ内のエッジリングの配置を概略的に示す。 本開示の一態様によるエッジリングを配置する方法のフロー図である。 脱気チャンバ内のキャリアの概略図である。 本開示の態様による、支持フィンガの概略斜視図である。 本開示の一態様によるカセッを開示する。
理解を容易にするために、図面に共通の同一要素を示すために、可能な限り同一参照番号を使用している。一態様の要素及び構成は、更なる説明なしに他の態様に有益に組み込むことができると考えられる。
詳細な説明
本開示の態様は、一般に、処理チャンバにおけるエッジリング交換のための装置及び方法に関する。一態様では、エッジリングを支持するためのキャリアが開示される。他の態様では、キャリアを支持するための1つ以上のロボットブレードが開示される。他の態様では、脱気チャンバ内でキャリアを支持するための支持構造が開示される。更に他の態様では、キャリア上にエッジリングを搬送する方法が開示される。
図1は本開示の一態様による処理システム100を示す。処理システム100は、基板を処理システム100に搬送するために複数の基板カセット102を結合することができるファクトリインターフェイス101を含む。また、処理システム100は、ファクトリインターフェイス101を各々の脱気チャンバ104a、104bに結合する第1の真空ポート103a、103bを含む。第2の真空ポート105a、105bは、各々の脱気チャンバ104a、104bに結合され、脱気チャンバ104a、104bと搬送チャンバ106の間に配置され、搬送チャンバ106への基板の搬送を容易にする。搬送チャンバ106は、その周りに配置され、それに結合している複数の処理チャンバ107を含む。処理チャンバ107は、各々のポート108(例えば、スリットバルブ等)を介して搬送チャンバ106に連結される。コントローラ109は、処理システム100の様々な態様を制御する。
処理チャンバ107は、1つ以上のエッチングチャンバ、堆積チャンバ(原子層堆積、化学気相堆積、物理気相堆積、又はそれらのプラズマ強化型を含む)、アニールチャンバ等を含むことができる。幾つかの処理チャンバ107(例えば、エッチングチャンバ)は内部にエッジリングを含むことがあり、これは場合によっては交換を必要とする。従来のシステムは、エッジリングを交換するためにオペレータによる処理チャンバの分解を必要とするが、処理システム100は、オペレータによる処理チャンバ107の分解なしにエッジリングの交換を容易にするように構成される。
図1は処理チャンバ107へのエッジリングの搬送を概略的に示す。本開示の一態様によれば、エッジリング110は、ファクトリインターフェイス101に配置されたファクトリインターフェイスロボット111を介してカセット102から取り外されるか、又は、代替的に、ファクトリインターフェイス101に直接的にロードされる。ファクトリインターフェイスロボット111は、エッジリング110を第1の真空ポート103a、103bのうちの1つを介して、各々の脱気チャンバ104a、104bに搬送する。搬送チャンバ106内に配置された搬送チャンバロボット112は、脱ガスチャンバ104a、104bのうちの1つから第2の真空ポート105a又は105bを介してエッジリング110を除去する。搬送チャンバロボット112はエッジリング110を搬送チャンバ106内に移動させ、ここでエッジリング110は各々のポート108を介して所望の処理チャンバ107に搬送されることができる。図1では明確には示されていないが、エッジリング110の搬送は、エッジリング110がキャリア上の位置にある間に行われる。
図1はエッジリング搬送の一例を示すが、他の例もまた考えられる。例えば、エッジリングを手動で搬送チャンバ106にロードしてもよいと考えられる。エッジリング110は、搬送チャンバ106から、搬送チャンバロボット112により処理チャンバ107にロードされてもよい。追加的又は代替的に、エッジリングは、基板支持ペデスタル(SSP)にロードされてもよい。追加のSSPは、図示されたSSPの反対側のファクトリインタフェイス101と通信するように配置されてもよい。処理されたエッジリング110は、本明細書に記載された任意の方法とは逆に、処理システム100から除去することが考えられる。2つのSSP又は複数のカセット102を使用する場合、1つのSSP又はカセット102を未処理のエッジリング110に使用し、他方のSSP又はカセット102を処理したエッジリング110を受け取るために使用することが考えられる。
図2Aは、本開示の一態様によるキャリア213の概略平面図である。図2Bは、図2Aのキャリア213の概略底面図である。図2C〜図2Fは、図2Aのキャリア213の概略断面図である。キャリア213は、2つの平行なエッジ214a、214bと、2つの平行なエッジ214a、214bを連結する2つの対向する湾曲エッジ215a、215bとによって画定される周縁部を有する半円形プレート216である。湾曲エッジ215a、215bは、その上に位置するエッジリングの横方向の支持を容易にし、2つの平行なエッジ214a、214bは、元々キャリア213を収容するように設計されていない処理チャンバにキャリアを収容することを可能にする。例えば、2つの平行なエッジ214a、214bは、キャリア213が処理チャンバ内に配置されている間、キャリア213と干渉することなく処理チャンバ内でリフトピンの駆動を容易にする。
半円形プレート216は、中央開口部217と、中央開口部217の周りに同心的に配置された1つ以上の半円形開口部(3つが図示)218aを含む。追加の半円形開口部218bは、1つ以上の半円形開口部218aの周りに同心的に配置される。半円形の開口部218a、218bはキャリア213の重量の減少を容易にし、半導体ウェハの重量を上回る重量を取り扱うように元々設計されていない既存の搬送装置上でキャリア213を使用することを可能にする。一例では、半円形プレート216は、炭素繊維、グラファイト、炭化ケイ素、グラファイトでコーティングされた炭化ケイ素、窒化ケイ素、酸化ケイ素、アルミナ等を含む1つ以上の材料から形成される。また、他の材料も考えられる。
また、半円形プレート216は、その中に配置された第1の複数のリセプタクル219を含む。リセプタクル219は、半円形プレート216を貫通して形成されたボア内に配置される金属キャップである。リセプタクル219は、内部にリフトピンを受け入れるように寸法決めされ、構成されており、処理チャンバ内でのキャリア213の駆動を容易にする。リセプタクル219は、各々、半円形プレート216の中心から同じ半径方向距離に配置される。一例では、リセプタクル219は、半円形開口部218aの半径よりも大きい半径であって、半円形開口部218bの半径よりも小さい半径に配置される。
図2C及び図2Dは、リセプタクル219の概略断面図である。リセプタクル219は、円筒形状を有する本体220と、本体の一端のフレア状ベース221を含む。本体220は半円形プレート216を貫通して配置され、一方、フレア状ベース221は、半円形プレート216の下面に形成されたカウンターボアに部分的に位置決めされ、接触する。リセプタクル219は、本体220内に延在する第1凹部222と、フレア状ベース221に形成されたカウンターボア223を含む。凹部222とカウンターボア223とは、テーパ側壁224によって連結され、フィーチャ係合を容易にする。一例では、凹部222は、直径整列フィーチャを収容するために、長方形又は放物線形状を有する。このような例では、凹部222は、2つの平行なエッジ214a、214bに垂直な方向とは対照的に、2つの平行なエッジ214a、214bに平行な方向により大きな幅を有することができる。凹部222の放物線状又は長方形の形状は、凹部222内にリフトピンを収容することを容易にする。
また、半円形プレート216は、内部に配置された第2の複数のリセプタクル225(3つが図示)を含む。リセプタクル225は、各々、支持構造(例えば、ロボットブレード)と係合するように構成される。支持構造によるリセプタクル225の係合は、キャリア213の搬送中にキャリア213と支持構造体との間の相対的な移動を低減又は防止する。例えば、支持構造は、リセプタクル225内に受け入れられる対応する雄型プラグを含むことができる。
リセプタクル225は、半円形プレート216に形成された開口部内に配置される本体226を含む。また、リセプタクル225は、本体226の一端に配置されたフレア部227を含む。フレア部227は本体226より大きな直径を有し、半円形プレート216の底面に形成されたカウンターボア内に部分的に配置される。ボア228は本体226とフレア部227を貫通して形成され、その対向する端部にカウンタシンク229を含む。下端のカウンタシンク229は、リセプタクル225への雄型プラグのガイドを容易にする。
各々のリセプタクル219、225は、金属、炭化ケイ素、グラファイト、アルミナ、窒化ケイ素、酸化ケイ素、ポリエチレンテレフタレート、又はセラミック材料の1つ又は複数から形成することができる。他の材料も考えられる。一例では、リセプタクル219,225は、軟質ポリマー材料(例えば、Vespel(登録商標)、Ultem(登録商標)、アセタール、PTFE)、又は、セラミック材料(例えば、炭化ケイ素)から形成され、粒子発生を低減する。
また、キャリア213は、湾曲エッジ215aにおいて半円形プレート216に結合された第1の弧状支持構造230aと、湾曲エッジ215bにおいて半円形プレート216に結合された第2の弧状支持構造230bを含む。第1の弧状支持構造230a及び第2の弧状支持構造230bの各々は、半円形プレート216の上面に配置される。第1の弧状支持構造230a及び第2の弧状支持構造230bは、半円形プレート216の上面の平面の上方に延在する。一例では、第1の弧状支持構造230a及び第2の弧状支持構造230bの各々は、対応する湾曲エッジ215a、215bの長さよりも短い長さを有する。第1の弧状支持構造230a及び第2の弧状支持構造230bの各々は、炭素繊維、ポリエチレンテレフタレート又はグラファイトのような材料から形成することができる。
第1の弧状支持構造230a及び第2の弧状支持構造230bの各々は、半円形プレート216を貫通して形成された開口部232と係合する雄型延長部231を含む。図2Fは、雄型延長部231及び開口部232の断面図を示す。延長部231は開口部232と係合し、締まり嵌めによってその中に保持される。一例では、第1の弧状支持構造230a及び第2の弧状支持構造230bと同様に、開口部232も、リセプタクル225の半径方向外側に配置される。第1の弧状支持構造230a及び第2の弧状支持構造230bの各々は、湾曲エッジ215a、215bの曲率半径にほぼ等しい曲率半径を有する。
図2Fを再び参照すると、第1の弧状支持構造230a及び第2の弧状支持構造230bの各々は、その半径方向外側のエッジに段差面を含む。段差面は、半円形プレート216の上面にほぼ平行に配置された支持面233と、支持面233の半径方向内側に位置し、半円形プレートの上面にほぼ垂直に配向された垂直壁234を含む。エッジリング(図3に示す)は、キャリアに支持されている間、支持面233と垂直壁234に係合する。一例では。対向する第1の弧形支持構造230aの垂直壁234と第2の弧状支持構造の垂直壁234との間の距離は、エッジリングの内径にほぼ等しいので、これにより、搬送プロセス中のキャリア213に対するエッジリングの動きを低減又は緩和する。一例では、垂直壁234は、雄型延長部231の半径方向外側に配置される。
図2A〜2Fはキャリア213の一例を示しているが、他の例もまた考えられる。例えば、キャリア213は、半円形ではなく、完全な円形の本体を有してもよい。追加的又は代替的に、キャリアは、半円形の開口部218a、218bではなく円形の開口部を含むことができる。他の例では、半円形の開口部218a、218bを除外することができる。そのような例では、キャリア213は、少なくとも部分的に、炭素繊維、軽量複合材料、又は、他の高強度、低重量、真空適合性材料から形成することができる。一例では、キャリア213は、キャリア213の移動及び配置中の不均衡を緩和するために、1つ以上の軸の周りで対称である。他の例では、第1の弧状支持構造230a及び第2の弧状支持構造230bは、その下側部分から上側部分に内側にテーパする外側エッジを含み、これにより、エッジリング110との係合及びアライメンを容易にする。
図3Aは、その上にエッジリング110を支持するキャリア213の概略平面図である。図3Bは図3Aの概略断面図である。図3A及び図3Bに示されるように、エッジリング110は、第1の弧状支持構造230a及び第2の弧状支持構造230b上に配置され、支持される。エッジリング110の下面は支持面233に接触し、一方、エッジリング110の半径方向内側のエッジは、第1の弧状支持構造230a及び第2の弧状支持構造230bの垂直壁234と接触する。図示の例では、エッジリング110は、第1の弧状支持構造230a及び第2の弧状支持構造230bの外径より小さな内径を有し、エッジリング110は、弧状支持構造230a及び第2の弧状支持構造230bの外径より大きな外径を有する。更に、エッジリング110の上面は、第1の弧状支持構造230a及び第2の弧状支持構造230bの上面の上に配置される。一例では、第1の弧状支持構造230a及び第2の弧状支持構造230bの一方又は両方は、フィーチャ(例えば、平坦面)を含み、静電チャック又は他の基板支持体と係合し、整列することを容易にすることができる。
図4A及び図4Bは、各々、本開示の一態様による、キャリア213をその上に支持するロボットブレード435の概略平面図及び底面図である。図4C及び図4Dは、本開示の一態様による、キャリア213をその上に支持するロボットブレード435の概略断面図である。ロボットブレード435は、ファクトリインターフェイスロボット111上で用いることができる。しかしながら、他の用途もまた考えられる。
ロボットブレード435は、ベース436と、ベース436から延在する1つ以上のフィンガ437(例えば、2つのフィンガ437が図示)を含む。図示された例では、フィンガ437は手のひら状であるが、別個のフィンガ437を用いることもできる。ベース436は、ロボットの駆動アームに結合され、ロボットブレード435の移動を容易にすることができる。1つ以上の実施形態において、本開示によるロボットブレードは、1つ以上のキャリア係合フィーチャを含み、キャリア213をロボットブレードに係合し、支持することを容易にする。例えば、ロボットブレードは、ベースキャリア係合フィーチャ(例えば、ロボットブレードのベースの上面に結合されるか、その上に形成されるもの)、及び、フィンガキャリア係合フィーチャ(例えば、ロボットブレードの各々のフィンガの上面に結合されるか、その上に形成されるもの)を含むことができる。図示された例では、ロボットブレード435は、キャリア係合フィーチャのために各々のフィンガ437の遠端部に配置されたエンドパッド438を含む。また、ロボットブレード435は、ベースキャリア係合フィーチャとしてベース436の上面に結合された1つ以上のベースパッド439(例えば、2つのパッド439が図示)を含む。また、ローラ440がロボットブレード435に含まれ、2つのフィンガ437に向かってベース436に又はベース436から内側及び外側に(例えば、横方向に)駆動するように構成される。ローラ440は、ベース436に対して動く摺動部材441に結合される。ローラ440はポストの周りを回転することができ、又は、非回転部材(例えば、バンパ)であってもよい。アクチュエータ(図示せず)は、摺動部材441及びローラ440をフィンガ437の遠端部に向けて駆動させ、キャリア213の固定を容易にするように構成される。図4Dを参照すると、ローラ440は非接触位置に示されるが、キャリア213の外側エッジと接触するように駆動され、キャリア213の固定を容易にすることができる。ローラ440とキャリア213との接触位置において、エッジリング110の底面とローラ440の上面の間に間隙が存在し、これにより、ローラ440はエッジリング110と接触することなく駆動し、エッジリング110の損傷を減らし、パーティクルの発生を減らすことができる。
ローラ440は、ベース436に隣接して又はベース436に隣接して配置された2つのベースパッド439の間に配置される。各々のベースパッド439の上面490は、キャリア213の支持面である。各々のベースパッド439は、その基端部においてフィンガ437(又はそのパーム)の上面に配置された平坦な下面442を含む。各々のベースパッド439の上面490は、ベース436に隣接するステップ443と、ステップ443からフィンガ437の遠端部に向かって先細になるテーパ部444を含む。一例では、キャリア213は、搬送中に、上面490のテーパ部444に載置される。
更に、フィンガ437は、その遠端部に配置されたエンドパッド438を含む。エンドパッド438は、ベースパッド439と同じ又は類似の材料から形成される。エンドパッド438はフィンガ437のエッジ又はエッジ付近でキャリア213の支持を容易にし、キャリア213の搬送中、キャリア213をフィンガ437に並行に維持するようなサイズと形状である。一例では、エンドパッド438は、フィンガ437と接触する平坦な下面445と、キャリア213に接触する平坦部446aを有する上面と、平面部分446aの近傍のテーパ部446bを含む。一例では、ベースパッド439及びエンドパッド438の高さは、リセプタクル225の下面とフィンガ437の上面との間に空間を設けるように選択される。
一例では、ローラ440、各々のエンドパッド438、及び、各々のベースパッド439は、キャリア213の損傷を低減するためのパッド材料である。他の例では、ローラ440、各々のエンドパッド438、及び、各々のベースパッド439は、酸化ケイ素、窒化ケイ素、炭化ケイ素、又は、ポリエチレンテレフタレートから選択される。フィンガ437及びベース436は、金属又は合金(例えば、アルミニウム)、又は、セラミック材料(例えば、炭化ケイ素)から形成することができる。
図5Aは、本開示の一態様による、ロボットブレード548及びロボットリスト549の概略図である。図5Bは、本開示の一態様による、キャリア213及びエッジリング110を支持する図5Aのロボットブレード548の断面図である。一態様では、ロボットブレード548は、既存のロボットリストに結合するように構成されるか、又は、1つ以上のファスナ550(例えば、ボルト)によってロボットリスト549に結合される。次に、ロボットリスト549はロボットに結合して、ロボットブレード548の移動を容易にすることができる。一例では、ロボットブレード548、及び、任意で、ロボットリスト549は、搬送チャンバロボット112と共に用いることができる(図1に示される)。
ロボットブレード548は、ロボットリスト549の遠端部に隣接するベース551を含む。ベース551は、その上面に形成された隆起したリッジ552を有する。一例では、隆起したリッジ552は段差面(図示せず)を含む。段差面は、搬送動作中、キャリア213と係合し、キャリア213の支持を容易にし、これにより、キャリア213の追加的な支持を提供することができる。また、ロボットブレード548は、ベース551から延在する2つのフィンガ554を含む。各々のフィンガ554は、その各々の遠端部において上面に形成された隆起したリッジ555を有する。隆起したリッジ555は、各々のフィンガ554の上面から垂直に延在する。
フィンガ554の隆起したリッジ555及びベース551の隆起したリッジ552は、半円形又は湾曲した配置で構成されている。一例では、2つのフィンガ554の上面に形成された隆起したリッジ555及びベース551の上面に形成された隆起したリッジ552は、共通の円の弧である。このような例では、共通の円は、キャリア213の支持を容易にするため、キャリア213とほぼ同じサイズであってもよい。
隆起したリッジ552及び隆起したリッジ555により提供される支持に加えて、又は、これに代えて、ロボットブレード548は、複数の係合ポスト556(3つが図示)のような複数のキャリア係合フィーチャを含むことができる。一例では、係合ポスト556は、ロボットブレード548の上面から垂直に延在する円筒形の柱である。ベース551は、隆起したリッジ552に隣接するその上面に形成された係合ポスト556の1つを含み、一方、2個のフィンガ554の各々は、各々の上面に配置された各々の係合ポスト556を含む。
図5Bに示されるように、各々の係合ポスト556は、キャリア213の対応するリセプタクル225と係合するように配置される。係合ポスト556によるリセプタクルの係合は、キャリア213の搬送中、ロボットブレード548とキャリア213との間の相対的な運動を低下させる。リセプタクル225が係合ポスト556により係合されると、ロボットブレード548の上面は、キャリア213の半円形プレート216の下面から離間される。リセプタクル225の寸法は、ロボットブレード548の上面と半円形プレート216の下面との間に隙間を提供するように選択され、これにより、コンポーネント間の接触を減少させ、結果として粒子発生を減少させる。
更に、リセプタクル225の寸法は、隆起したリッジ552及び隆起したリッジ555の上面からエッジリング110の下面を離間するように選択することができ、これにより、エッジリング110とロボットとの間の接触を除去又は低減することが可能になる。エッジリング110とロボットブレード548との間の接触が減少することにより、ロボットブレード548との不注意による接触によって生じるエッジリング110の損傷が緩和される。同様に、ロボットブレード548の寸法は、同様の理由により、エッジリング110とロボットリスト549との間の間隔を維持するように選択されることに留意すべきである。
幾つかの例では、ロボットブレード548は、その中に形成された1つ以上の開口部557を含むことができる。1つ以上の開口部557は、ロボットブレード548の軽量化を容易にし、それによってキャリア213の重量を補償する。これにより、ロボットブレード548は、元々キャリア213の搬送用に設計されていない既存のロボットに後付けすることが可能になる。一例では、ロボットブレード548は、また、基板の搬送に用いることもできる。そのような例では、係合ポスト556は、搬送される基板との接触を最小限にするために丸い上面を含むことができ、これにより粒子の生成を低減する。
図6A〜図6Hは、本開示の一態様による、処理チャンバ107内でのエッジリング110の配置を概略的に示す。図7は、本開示の一態様による、エッジリングを配置する方法760のフロー図である。説明を容易にするために、図7を図6A〜図6Hと共に説明する。
図6Aは、処理チャンバ107の内部の上面斜視図を概略的に示す。処理チャンバ107はポート108(例えば、スリットバルブ)を含み、ロボットブレード548及びロボットリスト549の進入及び退出を容易にする。方法760のオペレーション761では、エッジリング110をその上に有するキャリア213は、ロボットブレード548を介して処理チャンバ107内に配置される。キャリア213及びエッジリング110は、図6Aに示されるように、基板支持体680の静電チャック上にセンタリングされる。キャリア213の寸法は、ポート108の寸法変化の必要なしに、キャリア213及びエッジリング110がポート108を通過できるように選択される。従って、キャリア213は、処理チャンバ107の寸法を大幅に再構成する必要なしで、既存のチャンバで使用することができる。しかし、キャリア108の進入及び退出のための追加のクリアランスを提供するために、ポート108への寸法変化が生じる場合があると考えられる。
図6Bに示されるように、オペレーション762において、基板リフトピン681(例えば、リフトピンセットの第1セット)が駆動され、キャリア213のリセプタクル219と接触する。一例では、3つの基板リフトピン681が駆動され、各々の基板リフトピン681は、各々のリセプタクル219と係合する。基板リフトピン681及びリセプタクル219は、ロボットブレード548からの干渉なしに、それらの間の係合を可能にするように配置される。続いて、オペレーション763では、基板リフトピン681は更に上方に駆動され、ロボットブレード548からキャリア213及びその上に支持されたエッジリング110を持ち上げる。このような構成では、図6Cに示されるように、キャリア213及びエッジリング110は、ロボットブレード548の上方に位置し、ロボットブレード548から離れている。
オペレーション764では、図6Dに示されるように、ロボットブレード548が処理チャンバ107から引き出され、キャリア213及びエッジリング110が基板支持体680に向かって下降する。一例では、キャリア213は、基板支持体680の上面から離間した位置であるが、ロボットブレード548の水平面又はオペレーション762の最初の係合位置の下にある位置に下降する。
オペレーション765において、基板リフトピン681の半径方向外側に位置する外側リフトピン682(例えば、リフトピンの第2セット)が上方に駆動する。外側リフトピン682はリフトリング683の下に配置され、従って、上方に駆動するとリフトリング683を基板支持体680の表面から持ち上げる。リフトリング683は外側リフトピン682を介して上方に駆動し、図6Eに示されるように、エッジリング110の底面に接触する。リフトリング683は、内側周縁部に段差面を含み、内部にエッジリング110を受け入れる。代替的に、リフトリング683は、整列を容易にするためのテーパ面を含むことができる。
オペレーション766において、図6Fに示されるように、エッジリング110はキャリア213から持ち上げられる。参考のために、図6Fは、キャリア213の異なる断面を示すために、図6Eに関して約90度回転された概略側面図を示す。エッジリング110は、外側リフトピン682と共にリフトピン683を更に上方に駆動することにより持ち上げられる。オペレーション766において、キャリア213は、基板リフトピン681上に配置されたままであり、一方、エッジリング110はそこから持ち上げられる。このような構成では、キャリア213は第1の平面内に配置され、リフトリング683及びその上に位置するエッジリング110は、第1の平面の上方の第2の平面内に配置される。また、一例では、キャリア213は、追加的に、ポート108に整列させるために上方に持ち上げられ、処理チャンバ107からキャリア213の排出を容易にする。このような例では、リフトリング683及びエッジリング110は、依然としてキャリア213の上方に位置する。
オペレーション767において、キャリア213は、図6Gに示されるように、処理チャンバ107から除去される。キャリア213は、ロボットブレード584を処理チャンバ107に戻して挿入し、基板リフトピン681を用いてキャリア213をロボットブレード584上に降下させることによって除去される。基板リフトピン681は基板支持体680内まで更に低下し、基板リフトピン681との干渉の可能性を低減する。キャリア213がロボットブレード584上に配置されると、ロボットブレード584は処理チャンバ107から引き出される。
平行なエッジ214a、214b(図2Aに図示)は、外側リフトピン682に対してクリアランスを提供し、外側リフトピン682が垂直に持ち上げられた状態で延在したままである間に、キャリア213を処理チャンバ107から引き出すことを可能にする。キャリア213は、上述したように、エッジリング110から分離して引き出され、エッジリング110を処理チャンバ107内に残すことができる。
オペレーション768において、キャリア213及びロボットブレード584が処理チャンバ107から除去されると、図6Hに示されるように、リフトリング683及びエッジリング110が基板支持体680上に位置決めされる。このような例では、リフトリング683が基板支持体680と接触するまで外側リフトピン682の駆動によってリフトリング683が下降し、エッジリング110を所定の位置に位置決めする。一例では、エッジリング110は整列タブ又は他の割出しフィーチャを含み、基板リング680上のエッジリング110の適切な整列を容易にすることができる。
図6Iに示す図6Hの代替的な例では、エッジリング110は基板支持体680上に配置され、これにより、1つ以上のリフトピン681が、処理のために、エッチリング110を基板支持体680の上面に対し非平行構成に位置決めすることができる。例えば、リフトピン681は、エッジリング110の他の部分よりも大きい(基板支持体680に対して)相対的な高さまでエッジリング110の1つの部分を持ち上げることができる。その際、基板支持体680に隣接するプラズマシースが影響を受ける。従って、エッジリング110の位置は、より均一な処理をもたらすためにプラズマシースに影響を及ぼすように選択することができる。
図7はエッジリング110を搬送する方法の一例を示しているが、他の例も考えられる。例えば、方法670を逆に行うことによって、エッジリング110を処理チャンバ100から除去することができると考えられる。
更に、本開示の態様は、プラズマ均一性を更に調整するために用いることができると考えられる。一例では、エッジリング110が基板支持体680上に配置されると、外側リフトピン682は、基板支持体680に対するエッジリング110の平坦性を調整することができる。例えば、オペレーション768の後、1つ又は複数の外部リフトピン682の垂直位置を調整し、エッジリング110(又は、処理されている基板)の近くの特定の位置でのプラズマシース及び/又はケミストリに影響を及ぼすことにより、エッジリング110の平面に微細な調節を施すことができる。このような例では、基板処理は、基板表面に対し非平坦なエッジリング110で生じさせることができる。
図8Aは、脱気チャンバ(例えば、脱気チャンバ104a(図1に示す))内で使用される際のキャリア213の概略図である。図8B及び8Cは、本開示の態様による、脱気チャンバ内で使用される支持構造885の概略斜視図である。
脱気チャンバの内部は、複数の支持構造885(3つが図示)を含む。支持構造885は、脱気作業の間、基板(例えば、半導体ウェーハ)又はその上のキャリアを支持するように構成される。支持構造885は、一般に円形の形状を有する半導体ウェハ、及び、半円形の形状を有する基板キャリア213を、配向し、支持するように構成される。
各々の支持構造885は、第1の端部887a及び第2の端部887bを有するベース886を含む。クロス部材888は、ベース886の第1の端部887aに配置される。クロス部材888はベース886と同一平面内に配置され、共通平面の上面を共有する。クロス部材888はベース886より大きい幅を有し、ベース886に垂直に配向される。クロス部材888は、それを貫通して形成される開口部889と、クロス部材の下面から延在する少なくとも2つの支持ポスト890を含む。2つの支持ポスト890は、開口部889の反対側に配置され、円筒形状を有することができる。一例では、支持ポスト890は、クロス部材888に対して軸方向に移動可能であるか、又は、調整可能である。
また、支持構造885は、ベース886の第2の端部887bから延在する垂直部材891を含む。垂直部材891は、支持ポスト890の軸に平行な方向に延在する。一例では、垂直部材891は、ベース886に対して、又は、ベース886から2つの支持ポスト890の反対の方向に延在する。垂直部材891は、その遠端部にボールベアリング892を含む。他の例では、垂直部材891は、その遠端部に接触パッドを含む。ボールベアリング891(又は接触パッド)は、基板の表面を傷つけることなく、基板との接触を容易にする。接触パッド又はボールベアリング892は、セラミック又は他の材料から形成され、粒子生成を緩和することができる。一例では、接触パッド又はボールベアリング892は、処理中にその上の基板を支持するように構成される。
動作中、基板(例えば、半導体ウエハ)が脱気チャンバ104a内に搬送され、支持構造885上に位置決めされる。基板のサイズ及び形状により、基板は支持構造の全てのボールベアリング892に接触することができる。しかしながら、キャリア213の半円形状により、キャリア213は支持構造895の全てのボールベアリング892に接触することができない。しかしながら、キャリア213は、ベース886の上面と各々の支持構造885のクロス部材と接触することができ、これにより、支持構造が半導体基板及びキャリア213の両方を支持することを可能にする。
図8Aを参照すると、複数の基板の支持を容易にするため、支持構造885の配向は調整可能である。図示の例では、2つの支持部材885は、垂直部材891が半径方向内側に位置するように配向され、一方、第3の支持部材895が、各々の垂直部材891が半径方向外側に位置する(例えば、互いに180°)ように配向される。各々の支持部材895は、開口部889の軸の周りを回転して、各々の支持部材895を所望の構成に配置することができると考えられる。支持ポスト890は、対応するリセプタクルと係合し、各々の支持部材895が所望の位置にあるとき、各々の支持部材895の更なる回転運動を防止することができる。
図8A〜8Cは、本開示の一態様を示すが、代替的な態様も考えられる。代替的な例では、脱気チャンバ104aは3つ以上の支持構造885を含むことができる。
図9は、本開示の一態様によるカセット902を開示する。カセット902は、各々がその上にエッジリング110を有する(各々3つが図示)1つ以上のキャリア213を収容するように構成されている。各々のキャリア213は、距離「D」だけ互いに間隔を置いてコウム991上に配置される。距離Dは、カセット902内でロボットクリアランスの所望の量に選択される。各々のコウム991は、ハウジング992の内面に結合された対向する支持構造を含む。各々の支持構造は、ハウジング992に結合されたベース993と、各々のベース993から内向きに段状に延在する延長部994を含む。
本開示のキャリア213は、対向する平行なエッジ214a、214bを有し、従って円形ではなく、従来のカセットにおける支持を妨げる。しかし、本開示のカセット902は、半径方向内向きに延在する延長部994を含み、対向する平行なエッジ214a、214bに沿って各々のキャリア213を支持する。各々のコウム991の段差面は、キャリア213をその上に支持するとき、エッジリング110との干渉を防止する。一例では、コウム991のベース993のサイズ及び位置は、その上に基板(例えば、半導体ウェハ)を支持することができるように選択することができる。従って、カセット902は、キャリア213及びエッジリング110を処理システム100に導入するため、及び、処理のため基板を処理システム100に導入するための両方に使用することができる。他の例では、従来のカセットは、延長部994を含むように修正することができる。
本開示の利点は、処理チャンバの通気及び開放なしで、エッジリングを交換する能力を有することを含む。通気を避けるため、チャンバの稼働時間が改善され、メインテナンス費用が削減される。更に、処理チャンバを開口せずに、エッジリングを交換することにより、特定のエッチング用途の性能を最適化するため、エッジリングの形状又は材料の選択が可能になる。従来のアプローチは、特定の用途に対してエッジリングを交換するためにかなりの時間を必要とするため、従来のシステムでそうすることは実用的ではない。しかしながら、本明細書に記載の態様を使用してエッジリングを迅速に交換又は再配置することができるので、プロセスパラメータによって指示されるようにエッジリングを交換することが現実的になる。
更に、処理の均一性も本明細書に記載の態様によって改善される。従来のアプローチでは、エッジリングの交換に要する時間が大幅に長くなるため、従来のシステムでは、ダウンタイムを軽減するためのエッジリングの予防メインテナンスの間の時間が最大化される。しかしながら、そのようにすると、予防メインテナンスの直前にエッジリングが磨耗するという結果になる。エッジリングの形状及び材料は、処理される基板のエッジ付近のプラズマシース及びケミストリ濃度に影響を及ぼすので、磨耗したエッジリングの存在により処理の均一性が低下する可能性がある。しかしながら、本開示の態様は、摩耗し始めるときにエッジリングを迅速に交換することができるので、エッジリングを大幅にダウンタイムなしに交換することができ、それによってプロセスの均一性が向上する。
本明細書の態様は、半円形のプレート及びキャリアに関して説明されているが、キャリアは完全な円形であってもよいと考えられる。
上記は本開示の態様に対象としているが、本開示の他の及び更なる態様は、その基本的な範囲から逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (15)

  1. エッジリングを支持するキャリアであって、
    2つの対向する湾曲したエッジを含む周縁部を有するプレートと、
    プレート内に配置された第1の複数のリセプタクルであって、各々がリフトピンを内部に受け入れるように構成された第1の複数のリセプタクルと、
    プレート内に配置された第2の複数のリセプタクルであって、各々が支持構造と係合するように構成された第2の複数のリセプタクルと、
    2つの対向する湾曲したエッジのうちの1つに結合され、プレートの上面の平面の上方に延在する第1の弧状支持構造と、
    2つの対向する湾曲したエッジのうちの他方に結合され、プレートの上面の平面の上方に延在する第2の弧状支持構造を含むキャリア。
  2. プレートは、2つの平行なエッジと、2つの平行なエッジを連結する2つの対向する湾曲したエッジにより画定される周縁部を有する半円形のプレートを含む請求項1記載のキャリア。
  3. 第1の複数のリセプタクルは、プレートの中心から第2の複数のリセプタクルに対して半径方向内側に配置される請求項1記載のキャリア。
  4. 第1の複数のリセプタクルの各々は、プレートのボア内に配置されるキャップを含む請求項1記載のキャリア。
  5. 第1の複数のリセプタクルの各々は、内部に延在する凹部を有する本体を含み、凹部は、長方形又は放物線形状を含む請求項1記載のキャリア。
  6. 第2の複数のリセプタクルの各々は、プレートの開口部内に配置される本体を含み、本体は、本体に対し拡大された外径を有するフレア部と、本体を貫通するボアを含む請求項1記載のキャリア。
  7. プレートは、プレーを貫通して形成された複数の開口部を含む請求項1記載のキャリア。
  8. 第1の弧状支持構造及び第2の弧状支持構造の各々は、その半径方向外側エッジに形成された段差面を含み、各々の段差面は、プレートの上面にほぼ平行に位置する支持面と、支持面の半径方向内側に配置され、プレートの上面にほぼ垂直に配向された垂直壁を含む請求項1記載のキャリア。
  9. ロボットブレードであって、
    ベースと、
    ベースから延在する2つのフィンガと、
    ベースの上面に結合されたベースキャリア係合フィーチャと、
    2つのフィンガの各々1つの上面に結合されたフィンガキャリア係合フィーチャを含むロボットブレード。
  10. ベースから2つフィンガに向かって駆動するように構成されたローラを更に含み、ベースキャリア係合フィーチャは、ベースの上面に結合されたベースパッドを含み、フィンガキャリア係合フィーチャの各々は、各々のフィンガの遠端部に配置されたエンドパッドを備える請求項9記載のロボットブレード。
  11. ベースキャリア係合フィーチャはベースの上面に形成された係合ポストを含み、
    フィンガキャリア係合フィーチャの各々は、各々のフィンガの上面に形成された係合ポストを含み、
    ベースは、その上面に形成された隆起したリッジを含み、
    各々のフィンガは、その遠端部において、その上面に形成された隆起したリッジを含み、
    2つのフィンガの隆起した隆起したリッジ及びベースの隆起したリッジは、共通の円の弧である請求項9記載のロボットブレード。
  12. エッジリングを搬送する方法であって、
    スリットバルブドアを介してチャンバ内にロボットブレードを挿入する工程であって、ロボットブレードはその上にキャリアとエッジリングを有する工程と
    キャリアとその上のエッジリングを基板支持体の上方に位置決めする工程と、
    基板リフトピンを駆動し、キャリアをロボットブレードから持ち上げる工程と、
    ロボットブレードをチャンバから引き出す工程を含む方法。
  13. 基板リフトピンを駆動し、キャリアを基板支持体に向けて下降させる工程と、
    リフトピンの第2のセットを駆動し、エッジリングをキャリアから持ち上げる工程と、
    ロボットブレードをチャンバ内に挿入し、キャリアと係合させる工程と、
    チャンバからキャリア及びロボットブレードを除去する工程と、
    エッジリングを下降させ、基板支持体と接触させる工程を含む請求項12記載の方法。
  14. エッジリングを下降させ、基板支持体と接触させる工程は、エッジリングを基板支持体の上面に対して非平行な形態に位置決めし、処理中にプラズマシースの位置を調節することを含む請求項13記載の方法。
  15. キャリアは、キャリアの中心から第2の複数のリセプタクルに対して半径方向内側に配置された第1の複数のリセプタクルを備え、
    第1の複数のリセプタクルの各々は、キャリアを持ち上げるため、リフトピンの各々の1つを受け入れるように構成され、
    第2の複数のリセプタクルの各々は、ロボットブレードに係合するように構成される請求項12記載の方法。
JP2018175730A 2017-09-21 2018-09-20 半導体プロセスモジュールのためのインサイチュ装置 Active JP7166858B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762561463P 2017-09-21 2017-09-21
US62/561,463 2017-09-21
US16/103,531 2018-08-14
US16/103,531 US11075105B2 (en) 2017-09-21 2018-08-14 In-situ apparatus for semiconductor process module

Publications (3)

Publication Number Publication Date
JP2019057709A true JP2019057709A (ja) 2019-04-11
JP2019057709A5 JP2019057709A5 (ja) 2021-09-09
JP7166858B2 JP7166858B2 (ja) 2022-11-08

Family

ID=65720605

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018175730A Active JP7166858B2 (ja) 2017-09-21 2018-09-20 半導体プロセスモジュールのためのインサイチュ装置

Country Status (5)

Country Link
US (2) US11075105B2 (ja)
JP (1) JP7166858B2 (ja)
KR (2) KR102641354B1 (ja)
CN (3) CN116313723A (ja)
TW (2) TWI830351B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022525248A (ja) * 2019-05-20 2022-05-11 アプライド マテリアルズ インコーポレイテッド プロセスキットリングアダプタ
JP2022533153A (ja) * 2019-05-20 2022-07-21 アプライド マテリアルズ インコーポレイテッド プロセスキットエンクロージャシステム
JP2023007440A (ja) * 2021-06-28 2023-01-18 セメス カンパニー,リミテッド 返送アセンブリー及びこれを有する基板処理装置

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11075105B2 (en) * 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
WO2021016115A1 (en) * 2019-07-19 2021-01-28 Applied Materials, Inc. Multi-object capable loadlock system
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
US11948828B2 (en) * 2020-01-16 2024-04-02 Applied Materials, Inc. Pin-less substrate transfer apparatus and method for a processing chamber
CN115004352A (zh) * 2020-01-23 2022-09-02 朗姆研究公司 具有自动化转动式预对准的边缘环传送
KR20220150960A (ko) * 2020-03-06 2022-11-11 램 리써치 코포레이션 순응성 (compliant) 센터링 핑거들을 갖는 링 구조
US20210292104A1 (en) * 2020-03-23 2021-09-23 Applied Materials, Inc. Substrate processing system carrier
US20210296149A1 (en) * 2020-03-23 2021-09-23 Applied Materials, Inc. Enclosure system shelf
DE102020110570A1 (de) 2020-04-17 2021-10-21 Aixtron Se CVD-Verfahren und CVD-Reaktor mit austauschbaren mit dem Substrat Wärme austauschenden Körpern
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
US11715662B2 (en) 2020-12-11 2023-08-01 Applied Materials, Inc. Actively clamped carrier assembly for processing tools

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009506573A (ja) * 2005-08-31 2009-02-12 アプライド マテリアルズ インコーポレイテッド バッチ堆積ツールおよび圧縮ボート
JP2010502001A (ja) * 2006-08-18 2010-01-21 ブルックス オートメーション インコーポレイテッド 低減容量キャリア、搬送機、積載ポート、緩衝装置システム

Family Cites Families (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS605509A (ja) * 1983-06-24 1985-01-12 Hitachi Ltd 分子線エピタキシ装置
US5162047A (en) * 1989-08-28 1992-11-10 Tokyo Electron Sagami Limited Vertical heat treatment apparatus having wafer transfer mechanism and method for transferring wafers
US5267607A (en) 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
US5445486A (en) * 1992-03-29 1995-08-29 Tokyo Electron Sagami Limited Substrate transferring apparatus
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP2713276B2 (ja) * 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
JP3020898B2 (ja) 1997-07-22 2000-03-15 株式会社エイ・ティ・アール人間情報通信研究所 アフィンカメラ補正による三次元位置の線形推定方法
US6155773A (en) * 1997-09-22 2000-12-05 Applied Materials, Inc. Substrate clamping apparatus
JP4297609B2 (ja) 1997-12-23 2009-07-15 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト 保持装置
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
JP2000049144A (ja) 1998-07-28 2000-02-18 Hitachi Chem Co Ltd プラズマ処理装置用電極板
JP3234576B2 (ja) 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6709547B1 (en) 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
US6537011B1 (en) * 2000-03-10 2003-03-25 Applied Materials, Inc. Method and apparatus for transferring and supporting a substrate
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
KR100578129B1 (ko) 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
CN1296878C (zh) * 2003-11-04 2007-01-24 爱德牌工程有限公司 平板显示器制造装置
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7338578B2 (en) 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
US20050189068A1 (en) 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
US20050263070A1 (en) 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
DE102004053906A1 (de) * 2004-11-05 2006-05-11 Leica Microsystems Semiconductor Gmbh Adaptervorrichtung für eine Substrat-Arbeitsstation
JP4006004B2 (ja) 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
KR20060117537A (ko) 2005-05-11 2006-11-17 삼성전자주식회사 리프트 핀 높이 정렬용 지그 및 이를 이용한 리프트 핀높이 정렬 방법
WO2007043528A1 (ja) 2005-10-12 2007-04-19 Matsushita Electric Industrial Co., Ltd. プラズマ処理装置、プラズマ処理方法、及びトレイ
US7846257B2 (en) 2005-12-14 2010-12-07 Tokyo Electron Limited Method for cleaning substrate processing apparatus, substrate processing apparatus, program and recording medium having program recorded therein
WO2007088894A1 (ja) 2006-01-31 2007-08-09 Tokyo Electron Limited 基板処理装置、ならびにそれに用いられる基板載置台およびプラズマに曝される部材
US7572737B1 (en) 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
JP2008078208A (ja) 2006-09-19 2008-04-03 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
US20080066868A1 (en) 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
WO2008089168A2 (en) 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US20080289766A1 (en) 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
JP4959427B2 (ja) * 2007-06-05 2012-06-20 日本電産サンキョー株式会社 産業用ロボット
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
KR100963297B1 (ko) 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
KR102134276B1 (ko) 2008-04-16 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 프로세싱 증착 차폐 컴포넌트들
US8441640B2 (en) 2008-05-02 2013-05-14 Applied Materials, Inc. Non-contact substrate support position sensing system and corresponding adjustments
US20100101729A1 (en) 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
JP5406067B2 (ja) * 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US20110011534A1 (en) 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
JP5650935B2 (ja) 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
SG178288A1 (en) 2009-08-31 2012-03-29 Lam Res Corp A multi-peripheral ring arrangement for performing plasma confinement
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5505049B2 (ja) * 2010-04-08 2014-05-28 株式会社安川電機 把持装置
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
WO2011151996A1 (ja) * 2010-06-01 2011-12-08 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
JP5654297B2 (ja) 2010-09-14 2015-01-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
KR20120071695A (ko) * 2010-12-23 2012-07-03 삼성엘이디 주식회사 화학 기상 증착 장치용 서셉터, 화학 기상 증착 장치 및 화학 기상 증착 장치를 이용한 기판의 가열 방법
JP5690596B2 (ja) 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
JP5277261B2 (ja) 2011-01-12 2013-08-28 Kddi株式会社 ハンドオーバパラメータ調整装置、ハンドオーバパラメータ調整方法およびコンピュータプログラム
WO2012134663A2 (en) * 2011-03-16 2012-10-04 Applied Materials, Inc Method and apparatus utilizing a single lift mechanism for processing and transfer of substrates
US20140017900A1 (en) 2011-03-29 2014-01-16 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
JP6003011B2 (ja) * 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
KR101744668B1 (ko) 2011-05-31 2017-06-08 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
KR101267459B1 (ko) 2011-09-08 2013-05-31 한국과학기술연구원 플라즈마 이온주입 장치 및 방법
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
JP2016529733A (ja) 2013-08-30 2016-09-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板支持システム
JP2015050156A (ja) 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9410249B2 (en) 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
JP6345030B2 (ja) 2014-08-11 2018-06-20 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
CN105789010B (zh) 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
JP6456177B2 (ja) 2015-02-12 2019-01-23 株式会社ディスコ ウェーハ処理システム
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
WO2017069238A1 (ja) 2015-10-21 2017-04-27 住友大阪セメント株式会社 静電チャック装置
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
JP6710050B2 (ja) * 2016-01-19 2020-06-17 株式会社ディスコ 搬送装置
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10921251B2 (en) 2016-08-22 2021-02-16 Applied Materials, Inc. Chamber component part wear indicator and a system for detecting part wear
US20180061696A1 (en) 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
KR102125512B1 (ko) * 2016-10-18 2020-06-23 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10186449B2 (en) * 2016-12-31 2019-01-22 Applied Materials, Inc. Apparatus and methods for wafer rotation to improve spatial ALD process uniformity
JP6869034B2 (ja) 2017-01-17 2021-05-12 東京エレクトロン株式会社 プラズマ処理装置
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
KR102535916B1 (ko) 2017-04-26 2023-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US11075105B2 (en) * 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10727059B2 (en) 2017-12-01 2020-07-28 Applied Materials, Inc. Highly etch selective amorphous carbon film
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP6995008B2 (ja) 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009506573A (ja) * 2005-08-31 2009-02-12 アプライド マテリアルズ インコーポレイテッド バッチ堆積ツールおよび圧縮ボート
JP2010502001A (ja) * 2006-08-18 2010-01-21 ブルックス オートメーション インコーポレイテッド 低減容量キャリア、搬送機、積載ポート、緩衝装置システム

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022525248A (ja) * 2019-05-20 2022-05-11 アプライド マテリアルズ インコーポレイテッド プロセスキットリングアダプタ
JP2022533153A (ja) * 2019-05-20 2022-07-21 アプライド マテリアルズ インコーポレイテッド プロセスキットエンクロージャシステム
JP2022160683A (ja) * 2019-05-20 2022-10-19 アプライド マテリアルズ インコーポレイテッド プロセスキットリングアダプタ
JP2023007440A (ja) * 2021-06-28 2023-01-18 セメス カンパニー,リミテッド 返送アセンブリー及びこれを有する基板処理装置
JP7450666B2 (ja) 2021-06-28 2024-03-15 セメス カンパニー,リミテッド 返送アセンブリー及びこれを有する基板処理装置

Also Published As

Publication number Publication date
US11075105B2 (en) 2021-07-27
CN109545642B (zh) 2023-04-07
CN209571382U (zh) 2019-11-01
TW201925524A (zh) 2019-07-01
TW202301529A (zh) 2023-01-01
TWI830351B (zh) 2024-01-21
CN116313723A (zh) 2023-06-23
KR20190033459A (ko) 2019-03-29
CN109545642A (zh) 2019-03-29
US11887879B2 (en) 2024-01-30
TWI778133B (zh) 2022-09-21
US20210351063A1 (en) 2021-11-11
KR102641354B1 (ko) 2024-02-26
US20190088531A1 (en) 2019-03-21
JP7166858B2 (ja) 2022-11-08
KR20240031986A (ko) 2024-03-08

Similar Documents

Publication Publication Date Title
JP2019057709A (ja) 半導体プロセスモジュールのためのインサイチュ装置
KR102675852B1 (ko) 이동가능하고 제거가능한 프로세스 키트
US10062589B2 (en) Front opening ring pod
CN105702617B (zh) 承载环结构及包含该承载环结构的室系统
JP7105666B2 (ja) プラズマ処理装置
KR102010720B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR20230076872A (ko) 반도체 프로세싱을 위한 웨이퍼 포지셔닝 페데스탈
US20160035601A1 (en) Bake unit, substrate treating apparatus including the unit, and substrate treating method
US20160204019A1 (en) Substrate transfer mechanisms
CN113853673B (zh) 处理配件环适配器和更换处理配件环的方法
US10665478B2 (en) Liquid processing apparatus
TW202418451A (zh) 用於半導體製程模組的原位設備

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210728

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210728

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220531

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220830

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221004

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221026

R150 Certificate of patent or registration of utility model

Ref document number: 7166858

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150