TW201842570A - 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理 - Google Patents

用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理 Download PDF

Info

Publication number
TW201842570A
TW201842570A TW107115524A TW107115524A TW201842570A TW 201842570 A TW201842570 A TW 201842570A TW 107115524 A TW107115524 A TW 107115524A TW 107115524 A TW107115524 A TW 107115524A TW 201842570 A TW201842570 A TW 201842570A
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
solvent
gas
processing
Prior art date
Application number
TW107115524A
Other languages
English (en)
Other versions
TWI689004B (zh
Inventor
維哈佛貝可史帝文
陳翰文
寇克羅門
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201842570A publication Critical patent/TW201842570A/zh
Application granted granted Critical
Publication of TWI689004B publication Critical patent/TWI689004B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Abstract

本發明之實施例大體而言係關於一種清洗基板的方法及一種基板處理設備,該基板處理設備設以進行該清洗基板的方法。更具體言之,本發明之實施例係關於一種以減少或消除半導體元件特徵之間的線黏附負面效應的方式清洗基板的方法。本發明的其他實施例係關於一種基板處理設備,該基板處理設備允許以減少或消除形成在基板上的半導體元件特徵之間的線黏附的方式清洗基板。

Description

用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
本發明的實施例大體而言係關於一種用於清洗半導體基板的方法和設備,更具體言之,係關於一種用於高深寬比半導體元件結構的無黏附清洗及/或乾燥處理。
在半導體元件的清洗中,必須從基板的表面去除液體和固體污染物,從而留下清潔的表面。濕式清洗處理通常牽涉到清洗液的使用,例如清洗水溶液。濕式清洗基板之後,必須在清洗腔室中從基板的表面去除清洗液。
目前,大多數的濕式清洗技術利用液體噴灑或沉浸步驟來清洗基板。在施加清洗液之後乾燥具有高深寬比特徵或具有空隙或孔洞的低k材料的基板是非常具有挑戰性的。清洗液的毛細力時常導致這些結構中的材料變形,從而產生不良的黏附,該黏附除了在基板上留下來自所使用的清洗溶液的殘餘物之外,還會損壞半導體基板。在後續乾燥基板的過程中,上述缺點在具有高深寬比半導體元件結構的基板上尤其明顯。線黏附或線塌陷是由於在一或更多個濕式清洗處理過程中形成高深寬比溝槽或通孔的側壁由於陷在溝槽或通孔中的液體上方橫跨液-氣界面的毛細壓力而彎向彼此所導致的。具有窄線寬和高深寬比的特徵對於液-氣和液-壁界面之間由於毛細壓力(有時也被稱為毛細作用力)而產生的表面張力差尤其敏感。目前可行的乾燥作法正在防止由於元件尺度快速演進而導致線黏附中面臨著急劇上升的挑戰。
因此,在本技術領域中需要有減少或消除線黏附的乾燥處理,該線黏附會降低基板上的半導體元件產率。
本文中提供的實施例大體而言係關於一種清洗基板的方法及一種基板處理設備。更具體言之,實施例係關於一種以減少或消除半導體元件特徵之間的線黏附負面效應的方式清洗基板的方法。其他的實施例係關於一種基板處理設備,該基板處理設備允許以減少或消除半導體元件特徵之間的線黏附的方式清洗基板。
一個實施例大體而言係關於一種清洗基板的方法。該方法包含以下步驟:使基板曝露於溶劑,以去除一數量的、位於該基板之表面上的殘餘清洗溶液;使該基板曝露於超臨界流體,以去除位於該基板之該表面上的溶劑;以及使該基板曝露於電漿。
另一個實施例提供一種基板處理設備。該設備具有移送室,該移送室中設有機器人。該機器人適以在複數個耦接至該移送室的處理室之間傳送一或更多個基板。在一些架構中,該基板處理設備可以包括耦接至該移送室的濕式清洗腔室。該濕式清洗腔室具有基板支座及清洗溶液輸送設備,該清洗溶液輸送設備適以提供清洗溶液至該濕式清洗腔室之處理區。溶劑交換處理室被耦接至該移送室。該溶劑交換腔室具有基板支座並被耦接至液體溶劑輸送設備,該液體溶劑輸送設備適以提供液體溶劑至該溶劑交換腔室。超臨界流體腔室被耦接至該移送室。該超臨界流體腔室具有基板支座、加熱元件、適以接收氣體或液體CO2 的通口及加壓設備。電漿腔室被耦接至該移送室。該電漿腔室具有基板支座、噴頭、適以接收鹵素或氧氣的通口及RF電源,該RF電源適以在該電漿腔室之處理區中形成電漿。
另一個實施例提供一種基板處理設備。該設備具有耦接至移送室的濕式清洗腔室。該濕式清洗腔室具有基板支座及處理區,該處理區被耦接至清洗溶液輸送設備,該清洗溶液輸送設備適以提供清洗溶液至該濕式清洗腔室之處理區。溶劑交換處理室被耦接至該移送室。該溶劑交換腔室具有基板支座並被耦接至液體溶劑輸送設備,該液體溶劑輸送設備適以提供液體溶劑至該溶劑交換腔室。超臨界流體腔室被耦接至該移送室。該超臨界流體腔室具有基板支座、加熱元件、適以接收氣體或液體CO2 的通口及加壓設備。電漿腔室被耦接至該移送室。該電漿腔室具有基板支座、噴頭、適以接收鹵素或氧氣的通口及RF電源,該RF電源適以在該電漿腔室之處理區中形成電漿。該移送室具有第一機器人,該第一機器人適以在該濕式清洗腔室、該溶劑交換處理室、該超臨界流體腔室及該電漿腔室之間傳送一或更多個基板。
本文提供的實施例大體而言係關於一種用以清洗基板的方法和設備。更具體言之,實施例係關於在已於基板上執行濕式清洗處理之後,以減少或消除半導體元件特徵之間形成的線黏附的方式清洗基板的方法。其他的實施例係關於一種基板處理設備,該設備允許以減少或消除半導體元件特徵之間的線黏附的方式清洗基板。
在以下的描述中,為了解釋的目的,提出許多具體的細節,以便提供對於本文所提供實施例的全面理解。然而,對於本技術領域中具有通常知識者而言,將顯而易見的是可以在沒有這些具體細節之下實施本發明。在其他的情況下,並未描述具體的設備結構,以免混淆所描述的實施例。下面的描述和圖式係說明性的實施例,而且不應被解讀為限制本發明。
第1圖為圖示部分的半導體元件100的示意性剖視圖,其中線黏附已經發生在半導體元件100內的兩個特徵之間。如圖示,高深寬比的元件結構被形成在基板的表面上。在處理過程中,元件結構102應保持在垂直方向上,並且壁106不應該穿過開口104而接觸到相鄰的元件結構102的壁106。當半導體元件100在被用濕化學品清洗之後正在被乾燥時,元件結構102的壁106受到了由於位在開口104內的清洗液所形成的氣-液界面所產生的毛細力,該毛細力導致相鄰元件結構102的壁106彎向彼此並相互接觸。相鄰元件結構102的壁106之間的接觸所產生的線黏附最終導致開口104閉合。線黏附通常是不理想的,因為線黏附會在後續的基板處理步驟(例如進一步的沉積步驟)過程中妨礙進入開口104。
為了防止線黏附,依據本文提供的方法,可以在濕式清洗腔室中使基板曝露於清洗水溶液,例如去離子水或化學清潔劑。這樣的基板包括基板上設置有或形成有電子元件的半導體基板。在濕式清洗腔室中在基板上使用清洗水溶液去除了進行濕式清洗處理之後留在基板上的殘餘物。在一些配置中,濕式清洗腔室可以是單片式清洗腔室及/或水平旋轉室。另外,該濕式清洗腔室可以具有適用於產生聲能的超音波板材,該聲能被定向到基板的非元件側上。
在濕式清洗基板之後,基板可被轉移到溶劑交換室,以置換在濕式清洗腔室中使用的任何先前使用的清洗水溶液。然後基板可被轉移到超臨界流體腔室,以在基板上進行進一步的清洗和乾燥步驟。在一個實施例中,乾燥基板可能牽涉到輸送超臨界流體到基板的表面。可以選擇乾燥氣體,以在處於超臨界處理室中實現或保持的某種壓力和溫度配置下轉變到超臨界狀態。這樣的乾燥氣體的一個實例包括二氧化碳(CO2 )。乾燥氣體的另一個實例是丙烷(C3 H8 )。第6圖圖示CO2 的相變圖。由於超臨界二氧化碳是一種超臨界氣體,故超臨界二氧化碳沒有表面張力在於超臨界二氧化碳的表面張力類似於氣體但具有類似於液體的密度。第6圖圖示超臨界CO2 在約73.0個大氣壓的壓力和約31.1℃的溫度下具有臨界點。超臨界流體(例如二氧化碳)的一個獨特性質是在任何高於超臨界壓力的壓力和高於超臨界點的溫度下(例如對於二氧化碳為31.1℃和73個大氣壓)不會發生縮合。臨界溫度右邊和臨界壓力上方(例如對於二氧化碳為73個大氣壓)的區域界定了二氧化碳乾燥氣體的超臨界狀態。
由於超臨界流體的獨特性能,超臨界流體可以穿透基板中大致上所有的孔洞或空隙,並去除可能存在於開口104中的任何剩餘液體或顆粒。在一個實施例中,在超臨界處理進行了所需的期間以去除顆粒和殘餘物之後,腔室的壓力在幾乎恆定的溫度下降低,從而允許超臨界流體在開口104內直接轉變成氣相。在超臨界流體處理之前存在於開口104中的液體通常可以是來自溶劑交換室的置換溶劑。存在於開口104中的顆粒通常可以是任何的固體顆粒物質,例如有機物種(即碳)、無機物種(即矽)及/或金屬。可以藉由超臨界流體進行乾燥的開口104之實例包括介電層中的空隙或孔洞、低k介電材料中的空隙或孔洞及基板中可能捕獲清洗流體和顆粒的其他類型的間隙。此外,超臨界乾燥可以藉由在相變過程中跳過液體狀態並由於超臨界流體(例如超臨界CO2 )的可忽略表面張力而消除元件結構102的壁106之間形成的毛細力來防止線黏附。
然後可以將基板從超臨界流體腔室傳送到後處理室。後處理室可以是電漿處理室,在電漿處理室中可能存在於基板上的污染物可以被去除。對基板進行後處理還可以進一步釋放存在於元件結構中的任何線黏附。本文所述的處理可用於清洗具有高深寬比的元件結構,該高深寬比例如約10:1或更大、20:1或更大或30:1或更大的深寬比。在某些實施例中,本文所述的處理對於清洗3D/垂直NAND快閃元件結構是特別有用的。
第2A圖圖示依據本發明的一個實施例可適於執行上述一或更多個步驟的基板處理設備。在一個實施例中,處理設備200包含濕式清洗腔室201、溶劑交換腔室202、超臨界流體腔室203、後處理室204、移送室206及濕式機器人208。處理基板可以包括但不限於形成電元件,例如藉由金屬線互連的電晶體、電容器或電阻器,該等金屬線係由基板上的層間介電質隔絕。這些處理可以包括清洗基板、清洗形成在基板上的膜、乾燥基板及乾燥形成在基板上的膜。在另一個實施例中,處理設備200包括檢查室205,檢查室205可以包括檢查已在處理設備200中進行處理的基板的工具(未圖示)。
在一個實施例中,基板處理設備200是包含幾個基板處理室的群集工具,該基板處理室例如濕式清洗腔室201、溶劑交換腔室202、超臨界流體腔室203、後處理室204及移送室206。處理設備200還可以包含膜沉積室(未圖示),例如化學氣相沉積(CVD)室、原子層沉積(ALD)室及/或物理氣相沉積(PVD)室。該等腔室可以位在濕式機器人208周圍,濕式機器人208可以位在移送室206中。濕式機器人208包含馬達、底座、臂及設以在該等腔室之間傳送基板的端效器209。選擇性地,濕式機器人208可以具有多個臂和多個端效器,以增加處理設備200的產量。在一個實施例中,濕式機器人208在上述腔室之間傳送基板。在另一個實施例中,濕式機器人208的至少一個端效器是專用的乾端效器(例如適於處理乾的晶圓),並且濕式機器人208的至少一個端效器是專用的濕端效器(例如適用於處理濕的晶圓)。專用的乾端效器可被用於在超臨界流體腔室203和後處理室204之間傳送基板。處理設備200還包含配置在工廠介面218的乾式機器人216,工廠界面218可被耦接到處理設備200和複數個基板盒212和214,每個基板盒固持複數個待清洗或乾燥或已被清潔或乾燥的基板。乾式機器人216可設以在盒212和214及濕式清洗腔室201與後處理室204之間傳送基板。在另一個實施例中,乾式機器人216可設以在超臨界流體腔室203和後處理室204之間傳送基板。處理設備200內的處理室可被放置在容納基板移送室206的水平平台上。
在替代的實施例中,如第2B圖所圖示,處理設備200A可以是包含幾個基板處理室的線性設備,該基板處理室例如濕式清洗腔室201、溶劑交換腔室202、超臨界流體腔室203、後處理室204及移送室206。例如,處理設備200A可以是向美國加州聖克拉拉應用材料公司(Applied Materials, Santa Clara, CA)取得的Raider® GT,然而構思的是,可以適用來自其他製造商的其他處理設備來執行本文所述的實施例。處理設備200還可以包含膜沉積室(未圖示),例如CVD腔室、ALD腔室及/或PVD腔室。該等腔室可以位在機器人208A的周圍,機器人208A可以被配置在移送室206中。機器人208A包含馬達、底座、臂及設以在該等腔室之間傳送基板的端效器209A和209B。機器人208A可以具有多個臂和多個端效器,以增加處理設備200A的產量。在一個實施例中,具有專用濕端效器209A的機器人208A在上述腔室之間傳送基板。處理設備200A還可以包含可以被耦接到處理設備200的工廠介面218及複數個基板盒212和214,每個基板盒固持複數個待清洗或乾燥或已被清洗或乾燥的基板。具有專用乾端效器209B的機器人208A在盒212和214及濕式清洗腔室201與後處理室204之間傳送基板。在一個實施例中,專用的乾端效器209B可設以在超臨界流體腔室203和後處理室204之間傳送基板。處理設備200A內的腔室可以被放置在容納基板移送室206的水平平台上。
在處理設備200A的一些配置中,機器人208A可以沿著線性軌道220行進。腔室可以被依序排列在線性軌道220的一側或兩側上。為了進行濕式基板傳送,可以從基板去除過量的液體,例如藉由旋轉基板,同時仍在腔室中,所以在機器人208A傳送基板之前只有薄的濕層仍保持在基板表面上。在機器人208A上提供兩個或更多個端效器的實施例中,至少一個端效器可以專用於濕式基板傳送,而另一個端效器可以專用於乾燥基板傳送。更多個腔室可以被安裝在可延伸的線性配置中,用於大量生產。
在前面的實施例中提及的配置大大地減少了每個腔室的設計複雜性,使得敏感的處理步驟之間的等候時間能夠受到控制,並在具有可調節腔室模組計數的連續生產中最適化產量來平均每個關鍵步驟的處理時間。
參照回第2A圖,處理設備200的清洗和乾燥處理在進行時間可最佳化產量的程序中藉由使用可用的腔室空間和濕式機器人208進行。一種可以用於清洗和乾燥上面具有一或更多個膜形成的基板的處理程序包括:乾式機器人216從基板盒212或214取出未清洗的基板並將基板安裝在濕式清洗腔室201中,濕式機器人208從濕式清洗腔室201移出基板並將基板安裝在溶劑交換腔室202中,濕式機器人208從溶劑交換腔室202移出基板並將基板安裝在超臨界流體腔室203中,乾式機器人216或濕式機器人208的專用乾端效器從超臨界流體腔室203移出基板並將基板放在後處理室204中,以及乾式機器人216從後處理室204移出基板並將清洗和乾燥過的基板放入其中一個基板盒212或214中。基板在處理設備200中的移動可以最佳化基板清洗和乾燥時間。可以使用其他的程序變化來選擇最佳的基板清洗和乾燥循環時間。
在一個實施例中,基板最初可在配置於處理設備(例如處理設備200)中的預處理室中進行處理,該預處理室例如膜沉積室(未圖示),以在基板上形成一個膜或多個膜,或是該預處理室例如可從基板去除材料的膜蝕刻室。盒212和214可以將基板輸送到處理設備200,然後可以藉由機器人將基板放在第一處理室中,該第一處理室例如濕式清洗腔室201。在這種配置中,機器人從盒212和214其中一者將基板輸送到第一處理室。然後可以在濕式清洗腔室201中使基板曝露於清洗溶液,以去除污染物,例如存在於基板上的材料殘餘物/顆粒或液體。在一個實施例中,該清洗溶液可以包含去離子水、清洗溶劑或上述之組合。
接著,可以藉由濕式機器人208將基板從濕式清洗腔室201傳送到溶劑交換室202。在溶劑交換腔室202中,可以藉由使基板曝露於溶劑來以溶劑置換預先配置的清洗溶液。在一個實施例中,用於置換清洗溶液的溶劑可以是單一化學品,該化學品可以處於液體或超臨界相,或是處於液體或超臨界相中的一序列各種化學品或化學品之混合物。用於置換的化學品或混合物之狀態和相可以由去離子水、溶劑及化學品或選擇的化學品之混合物之間的相對溶解度、混溶性以及液體置換特性來決定。
在一個實施例中,可以使基板曝露於溶劑以置換仍留在基板上的殘餘液體。該溶劑可以以足夠置換基本上所有從先前處理步驟殘留在基板表面上的液體的量被提供到基板的頂表面。溶劑交換可以藉由填充和淨化處理來進行。例如,諸如上述的那些溶劑可以被引入溶劑交換室202,並且溶劑交換室202可被溶劑填充到至少覆蓋基板。在溶劑交換已經進行了一段所需的時間量之後,可以藉由從溶劑交換室202去除一或更多個溶劑來淨化腔室。
在一個實施例中,用於溶劑交換的適當溶劑包括丙酮、異丙醇、乙醇、甲醇及其他非極性溶劑。據信,藉由去除水並用溶於超臨界流體的溶劑來交換水,可以在去除過程中由於防止溶劑和超臨界流體之間的相分離而改良隨後的超臨界沖洗和乾燥。
在另一個實施例中,用於溶劑交換處理的適當溶劑包括N-甲基-2-吡咯啶酮、N-甲基甲醯胺、1,3-二甲基-2-咪唑啉酮、二甲基乙醯胺及二甲亞碸。這些極性溶劑(例如有機極性溶劑)置換了水,而且通常理想的是溶劑擁有高介電常數(大於30)和低的蒸發速率(相對於乙酸正丁酯小於0.5)。有機極性溶劑通常還可以混溶於水中,並抑制氧化矽顆粒從矽水反應沉澱出。
在一個實施例中,溶劑交換處理包含依序提供複數種溶劑到基板,以置換殘留在基板表面上的殘餘液體。在處理程序的一個實施例中,可以藉由輸送極性溶劑然後輸送非極性溶劑到基板的表面來進行多步驟的溶劑交換處理,以去除殘餘液體。在一個實例中,處理程序包括提供第一溶劑然後提供第二溶劑,該第一溶劑包含極性溶劑,該極性溶劑設以從基板置換去離子水,該第二溶劑包含非極性溶劑,例如約90%或更多的液體異丙醇(IPA),液體異丙醇可以在溶劑交換室202中在室溫下被用來置換基板上的極性溶劑。使用極性溶劑可以有效地幫助去除任何殘留的顆粒,這在提供非極性溶劑(例如異丙醇)到基板表面之前是重要的。據信使用極性和隨後的非極性溶劑能夠更有效地從基板去除殘留液體和任何殘留顆粒或類似的污染物。
然而,由於大多數極性溶劑的結構,在極性溶劑取代了清洗流體(即水溶液)之後,極性溶劑(例如在超臨界二氧化碳中具有低溶解度的有機極性溶劑)需要被非極性溶劑置換,以確保極性溶劑可以在隨後的處理步驟中輕易地被從基板的表面去除。在非極性溶劑(例如IPA)已取代有機極性溶劑之後,可以進行後續使用超臨界流體(例如超臨界CO2 )置換非極性溶劑。在較佳的實施例中,該有機極性溶劑包含N-甲基-2-吡咯啶酮或N-甲基甲醯胺或上述之組合。因此,在一個實例中,該溶劑交換處理可以包含使用有機極性溶劑置換清洗溶液,然後使用非極性有機溶劑置換有機極性溶劑。
接著,可以進行相變處理,以使基板準備好進行隨後的超臨界清洗和乾燥處理。相變處理可以以兩種方式之其中一種方式或上述方式的組合進行。在一個實施例中,在使用溶劑(例如非極性溶劑)置換基板表面上的殘留材料已經進行之後,可以使用超臨界流體(例如純的超臨界CO2 或C3 H8 )直接置換溶劑。在此實施例中,純的超臨界流體可以被提供到超臨界流體室203。因此,可以調整超臨界流體腔室203中保持的溫度和壓力,以將流體保持在超臨界狀態下。在另一個實施例中,假使用於上述置換處理的化學品或化學混合物是液體(例如液體二氧化碳)而且可以被轉變成超臨界相,則可以使用相變處理來將化學品或化學混合物轉變成超臨界流體。例如,在約5℃至8℃和約50巴下有90%或更多的液體IPA可以被液體二氧化碳置換。在一個實施例中,上述約5℃至8℃和約50巴的液體CO2 可以在超臨界流體室203中被加熱到約40℃及約95巴的壓力。形成超臨界相的結果是,由於液-氣和液-固表面張力之間的差異所造成的毛細壓力被消除了。毛細壓力的消除防止了清潔表面的彎曲和相互作用,這可以降低黏附發生在高深寬比特徵中的可能性。
在一個實施例中,包含如前所述相同類型的化學品或化學混合物的超臨界流體可以被形成並引入超臨界流體腔室203,以在超臨界沖洗處理中清洗和沖洗掉殘留在基板表面上的顆粒和殘餘物。在一個實施例中,可以使用CO2 來形成超臨界流體。也可以在超臨界流體腔室203的外部形成超臨界CO2 ,然後將超臨界CO2 引入超臨界流體腔室203。在一個實施例中,可以在超臨界流體腔室203的外部形成位在超臨界點之上的點(例如約40℃和約95巴)的超臨界CO2 ,然後再將超臨界CO2 引入超臨界流體腔室203。
在另一個實施例中,可以將液體CO2 提供到腔室,並於隨後藉由提高腔室中的溫度和壓力而將液體CO2 轉化成超臨界CO2 。在此實施例中,液體CO2 以第一速率被提供到超臨界流體腔室203,其中該第一速率設以避免干擾存在於基板表面上的溶劑。在已經將所需量的液體CO2 提供到超臨界流體腔室203之後,將更多的液體CO2 以高於該第一速率的第二速率提供到超臨界流體腔室203,直到超臨界流體腔室203已經大致上充滿了液體CO2 。該第二速率設以形成紊流,以促進基板上的溶劑和液體CO2 之間的相互作用。據信,致使液體CO2 與溶劑材料產生相互作用(對比超臨界CO2 流體)極大地改良了從基板表面置換和去除溶劑材料的處理。可以使用各種的設備(例如噴頭或在腔室壁中成一定角度的通道)來與以第二速率增加的流量組合,以增強紊流及液體CO2 與位在超臨界流體腔室203內的基板表面的相互作用。
在兩個實施例中,超臨界CO2 表現出介於氣體和液體之間的中間性質,並且由於具有像氣體的運輸行為,超臨界CO2 具有良好地穿透複雜奈米幾何形狀的能力,這在顆粒和殘餘物去除上會是有效的,因為超臨界CO2 的優異質傳能力通常與流動的液體相關聯。在一個實施例中,該超臨界沖洗處理可以進行約30秒至約60秒。
超臨界沖洗處理可以以幾種不同的方式實現。在一個實施例中,可以將超臨界流體引入腔室203中基板上方的空間,並允許超臨界流體停滯。在一個實例中,在基板表面上方的純超臨界CO2 在基板上方的空間和基板表面之間形成了濃度梯度,其中基板上方的空間中存在純的超臨界CO2 ,並且基板表面上可能存在溶劑、殘餘物及顆粒。據信,由於流體傾向於存在熱力學平衡中,故溶劑、殘餘物及顆粒會藉由擴散而被從基板表面上的高深寬比特徵拉引到基板上方的CO2 空間中。
在另一個實施例中,可以藉由使超臨界流體機械式地流過腔室203來進行超臨界沖洗。可以輸送足以流遍整個基板表面的超臨界流體,以使流動的超臨界流體滲透基板表面上的高深寬比特徵,並沖洗掉可能存在於高深寬比特徵中的溶劑、殘餘物和顆粒。在一些實施例中,機械式的流動也可以與腔室203中存在的週期性停滯流體(例如CO2 )協同工作,以改良沖洗處理。在這個實例中,序列的週期性機械流動穿過基板表面,然後可以使用流動停滯的期間來改良超臨界沖洗處理,並減少可能需要被回收或排放的超臨界流體(例如超臨界CO2 )之量。
接著,基板可以接受超臨界乾燥處理。可以藉由調整腔室203內的溫度和壓力來控制這個處理,以確保化學品或化學混合物從超臨界相轉移到氣態而不進入液態。第6圖繪示在相對溫度和壓力下可能的CO2 相。該處理確保超臨界流體(虛線之外的區域)變化到氣態而不變成液態,例如藉由跨過分離液相和氣相的線,如第6圖所圖示。由於超臨界流體的特性,在超臨界乾燥處理過程中從高深寬比的溝槽排出的流體可以表現出可忽略的表面張力,從而導致線黏附減少或消除。在一個實施例中,在約40℃和約95巴的超臨界CO2 在約40℃下進行等溫減壓,直到壓力下降到約21巴。在一個實施例中,仍留在超臨界流體腔室203中的氣體可以從腔室被排到排放區。
然後可以由機器人208將基板從超臨界流體腔室203傳送到後處理室204。可以藉由使基板曝露於用於最終處理的低功率電漿而在後處理室204中進行基板的後處理,以完成乾燥黏附去除處理。後處理可以去除任何崩塌溝槽的黏附及/或鈍化基板的表面。在一個實施例中,可以藉由使腔室中的氣體曝露於約75 W的RF能量而將氧氣(O2 )或鹵素氣體電漿施加於基板,以在基板表面上形成電漿持續約10秒。在另一個實施例中,在後處理室204中形成的RF電漿可以包含氟碳化合物,例如C2 F6 或CF4 。基板的後處理可以釋放由局部雜質造成的輕微或暫時黏附(若有的話),該局部雜質係由先前的清洗處理中使用的化學品或化學混合物所產生的。後處理可以進一步釋放由清洗過的元件特徵表面之間的原子相互作用所導致的輕微或暫時黏附,該原子相互作用例如原子軌道重疊、凡得瓦力或處於高能量狀態的相鄰元件特徵中存在的懸鍵彼此鍵結以實現更穩定的較低能量狀態。
後處理若在超臨界乾燥處理之後立即實施可以是最有效的,因為如上所述的雜質或原子相互作用還沒有來得及「固定」或變成更固定性的。超臨界乾燥步驟之後立即實施後處理甚至可以在原子轉移或共用電子而鍵結成穩定的較低能量狀態之前防止清潔表面的原子相互作用,例如防止懸鍵重新排列成較低的能量狀態。在另一個實施例中,殘留在基板上的任何有機污染物可以曝露於偏壓電漿而被去除。
第3A圖圖示依據一個實施例的基板處理程序。處理設備300包含多個腔室,例如類似於先前結合第2A圖至第2B圖所描述的濕式清洗腔室301、溶劑交換腔室302、超臨界流體腔室303及電漿處理室304。基板處理程序通過處理設備300進行,如隨後參照第8圖的方法所描述的。第3圖的處理設備可以進一步包含配置在工廠介面310中的乾式機器人316。乾式機器人316可以類似於配置在處理設備300的移送室306中的濕式機器人308。乾式機器人316可設以傳送基板到盒及從盒傳送基板到處理設備300。在一個實施例中,乾式機器人316可設以從盒312傳送基板通過工廠介面310並到達濕式清洗腔室301。乾式機器人316的端效器可以從盒中取出未清洗的基板,並將未清洗的基板傳送到濕式清洗腔室301,其中乾式機器人316可以將未清洗的基板輸送到濕式清洗腔室301的內部體積。乾式機器人316還可設以從超臨界流體腔室303傳送基板到電漿處理室304,並從電漿處理室304傳送基板通過工廠介面310到達一或更多個盒312。乾式機器人316的端效器可以從電漿處理室304的內部體積取出清潔的基板,並將清潔的基板輸送到盒312。應當認可的是,處理設備300中可以有多種的腔室安排,同時該等腔室安排仍可實現本發明。
第3B圖圖示依據另一個實施例的基板處理流程。處理設備300可以類似於第3A圖的處理設備。在一個實施例中,濕式機器人308可以包含複數個​​配置在移送室306中的端效器320A、320B及322,該等端效器可以在各種的處理室之間傳送基板。
在一個實施例中,端效器320A和320B可以專用於濕式處理傳送步驟。例如,基板可以在濕室清洗腔室301或溶劑交換腔室302中進行處理,並且液體薄膜可以留在基板的表面上,以在傳送過程中保護基板的表面,並保持基板免於變乾,從而減少一個基板與另一個基板的等候時間上的任何變化。濕式處理端效器320A和320B可以分別專用於僅在兩個腔室之間傳送基板,以防止在後續的處理步驟過程中污染基板。濕式處理端效器320A可以將基板從濕式清洗腔室301傳送到溶劑交換腔室302。濕式處理端效器320A在濕式清洗腔室301和溶劑交換腔室302之間的路徑行進,其中濕式處理端效器320A可以從濕式清洗腔室301取出基板,濕式處理端效器320A將基板插入溶劑交換腔室302。濕式處理端效器320A可以沿著相同的路徑返回,並為每個已在濕式清洗腔室301中進行過處理的新基板重複該處理。
在一個實施例中,濕式處理端效器320B類似於濕式處理端效器320A。然而,濕式處理端效器320B可以將基板從溶劑交換腔室302傳送到超臨界流體腔室303。濕式處理端效器320B可以在溶劑交換腔室302與超臨界流體腔室303之間的路徑行進。在操作過程中,濕式處理端效器320B可以從溶劑交換腔室302取出基板、將基板傳送到超臨界流體腔室303以及將基板插入超臨界流體腔室303中。在一個配置中,濕式處理端效器320B可以沿著相同的路徑返回,並為每個已在溶劑交換腔室302中進行過處理的新基板重複該處理。
在另一個實施例中,濕式機器人進一步包含乾式處理端效器322。乾式處理端效器322可以專用於超臨界流體腔室303和電漿腔室304之間的基板傳送。乾式處理端效器322可以在超臨界流體腔室303和電漿腔室304之間的路徑行進。在操作過程中,乾式處理端效器322可以從超臨界流體腔室303取出基板,並將基板傳送到電漿腔室304,其中乾式處理端效器322將基板插入電漿腔室304。在一個配置中,乾式處理端效器322可以沿著相同的路徑返回,並為每個已在超臨界流體腔室303中進行過處理的新基板重複該處理。
第4A圖圖示依據一個實施例的濕式處理室之剖面視圖。構思的是,第4A圖中繪示的濕式處理室可被用作濕式清洗腔室201及/或作為溶劑交換腔室202。在一個實施例中,濕式處理室400可以是單一基板處理室。在一個實施例中,基板406的底側(基板底表面414)可以被曝露於清洗、沖洗及乾燥溶液412,而基板406的頂側(基板頂表面416)可以不曝露於任何溶液。基板底表面414(基板底表面414可以是基板的非元件側)可以面向下而被曝露於溶液412。在另一個實施例中,基板頂表面416和基板底表面414可以皆被曝露於一或多種清洗或溶劑交換溶液。
在一個實施例中,腔室400包括可旋轉的基板固持托架(bracket)448,托架448沿著旋轉裝置449的軸平移。旋轉裝置449可以進一步被耦接到電子馬達(未圖示),該電子馬達可以旋轉托架448。腔室400還包括進出門(未圖示),固持基板406的機械臂(未圖示)可以通過該進出門進入而將基板放在托架448中。在一個實施例中,當被放在托架448中時,基板406可以靜置在托架448中包括的支撐夾410和垂直支柱上。托架448連同支柱可以將基板升高或降低到理想的位置。
在一個實施例中,托架448可以在清洗週期期間、在從下方分配溶液的同時旋轉基板406。在另一個實施例中,托架448可以在處理週期(例如清洗週期)期間、在溶液412被從另一個噴嘴分配到基板406的頂部及/或底表面上的同時旋轉基板406。在另一個實施例中,托架448可以在清洗過程中、在水平平面上旋轉基板406。
在一個實施例中,腔室400還包括連接到通孔(進料口)442的管428。在清洗週期期間,可以從清洗化學品來源428A導引清洗流體或化學品通過管428。基板406旋轉(自旋)的結果是,溶液412可以被施加到基板底表面414。位於基板406上方的噴嘴可以從流體源416A將溶液分配到基板406的頂表面416。在基板406以高速旋轉時,存在於基板406上需要被清洗掉的殘餘物及/或液體被去除了。
在另一個實施例中,腔室400進一步包括過濾器411,例如高效率微粒捕捉(High Efficiency Particulate Arresting, HEPA)過濾器或超低滲透空氣(Ultra Low Penetration Air, ULPA)過濾器。來自過濾器411的空氣向下流動423和重力可以作用來將基板406保持定位於垂直支柱。
在另一個實施例中,腔室400還可以包括其他的噴嘴(未圖示),以允許溶液被輸送到基板頂表面416。因此,第一組溶液可以被傳送到基板底表面414,同時來自不同來源(第二組溶液)的溶液可以被傳送到基板頂表面416。可以被施加到基板的任一表面的溶液可以包括水或其他的清洗溶液,該清洗溶液例如溶劑,該溶劑可以包含丙酮、異丙醇、乙醇、甲醇,而且該溶液可以進一步包含有機極性溶劑,該有機極性溶劑例如甲酸、N-甲基-2-吡咯啶酮、N-甲基甲醯胺、1,3-二甲基-2-咪唑啉酮、二甲基乙醯胺及二甲亞碸或上述之序列、組合及混合物。也可以在各種實施例中使用其他包含三個或更少個碳原子的溶劑。所用的溶劑可以是可混溶於CO2 中的及/或在至少被液體CO2 或超臨界CO2 接觸時可以被溶合的。
在另一個實施例中,腔室可被用於在每個濕式清洗週期之後旋轉乾燥基板406。例如,在濕式清洗週期之後,旋轉裝置449繼續轉動托架448,從而旋轉基板406。基板406的旋轉去除了用於清洗基板406的液體(或試劑)。在另一個實施例中,濕式處理室400可被用作溶劑交換腔室,該溶劑交換腔室可以經由管428引入溶劑,該溶劑例如有機極性溶劑及/或非極性溶劑,以促進陷於形成在基板406上的特徵中的清洗流體內的溶劑交換。
第4B圖為依據本文所述的某些實施例的溶劑過濾系統450之示意圖。例如,在溶劑交換處理的過程中,溶劑過濾系統450可被用於與濕式處理室400組合。溶劑過濾系統450包含流體源460、流體排洩口461、第一槽462、第二槽464、第一幫浦466、第一過濾器468、第二幫浦467及第二過濾器469。過濾系統450可以包括第一再循環路徑470、第二再循環路徑472及第三再循環路徑474,該等路徑圖示出通過溶劑過濾系統450的各種流體流動路徑。雖然圖示為沿著第二再循環路徑472被耦接在第一槽462和第二槽464之間,但可以構思的是,濕式處理室400(第4A圖)可在各種其他位置被耦接到系統450。
系統450內的流體分別從第一槽462或第二槽464任一者流過第一幫浦466和第一過濾器468而到達第二槽464或第一槽462。例如,存在腔室400中的流體沿著路徑475B流過第一閥483並通過第二再循環路徑472到達第二槽464。在第二槽464中的流體沿著路徑471A經由第一再循環路徑470流過第二閥481。沿著第一再循環路徑470流動的流體流過第一幫浦466和第一過濾器468並沿著路徑471B通過第三閥482到達第一槽462。然後流體從第一槽462經由第三再循環路徑474流過第二幫浦467和第二過濾器469到達第四閥489。第四閥489可以沿著路徑477A將流體導引到第二槽464或沿著路徑473B將流體導引到第二閥481。可以使流體沿著第一再循環路徑470和選擇性結合第二槽464的第三再循環路徑474再循環,直到流體內的污染物計數已經達到理想的水平。當需要時,流體可以沿著第一再循環路徑470通過第一幫浦466和第一過濾器468、沿著路徑473C通過第三閥482到達第一閥483、沿著路徑475A到達腔室400而被輸送到腔室400。若有需要,流體可以沿著路徑473C流過第一閥483而繞過腔室400並返回到第二槽464。
因此,系統450中使用的流體在濕式處理室400中被再次利用之前被再循環了至少兩次或更多次。第二槽464通常將接收污染的流體,而第一槽永遠只含有已被過濾至少一次的流體。據信,當與通常在從流體去除污染物中表現出指數性衰減的單一槽、幫浦及過濾系統相比時,利用具有多個再循環路徑(結合多個槽、幫浦及過濾器)的系統450提供了在流體內基本上線性的污染物衰減。若有需要,可以從來源460提供新的流體到系統450,或者可以藉由流體排洩口461從系統450移除流體。
在一些配置中,可以在系統450中所含的流體上進行流體「清洗」處理。在一個實例中,第二槽464中的流體可以被「清洗」,例如藉由沿著路徑471A和471B傳送第二槽464的內容物到第一槽462、然後遵循路徑473B和473C返回到第二槽464而使第二槽464的流體中所含的顆粒濃度降低。在這個處理中,輸送自第二槽464的流體在到達第一槽462之前通過過濾器468時被過濾一次,然後在被輸送回到第二槽464之前被第二次傳送通過過濾器468時被過濾第二次(例如選擇性的幫浦467和過濾器469不存在於系統450中)。將注意到的是,可以完成這個處理程序一或更多次,直到流體中達到所需的顆粒濃度。在溶劑過濾系統450中使用過濾器469的配置中,假使原始流體遵循路徑471A和471B被從第二槽464輸送到第一槽462,則在處理程序中流體可以被過濾3次,其中當流體通過過濾器468時被過濾一次,然後當過濾後的流體在遵循路徑473B和473C回到第二槽464的途中分別通過過濾器469和468時,流體被過濾第二和第三次。或者,在一些配置中,假使原始的流體遵循路徑471A和471B被從第二槽464輸送到第一槽462時被過濾一次、然後遵循路徑477A通過過濾器469到達第二槽464時被過濾第二次,則流體可以被過濾兩次。在進行了清洗處理之後,可以使用路徑475A將「清洗過的」流體輸送到處理室400,如上文所討論。
第5A圖圖示依據一個實施例的超臨界流體腔室500之剖面示意圖。超臨界流體腔室500中的氣體可以是在某些適當的條件下(例如壓力和溫度)能夠轉變成超臨界狀態以成為超臨界流體的物質。超臨界流體腔室500中使用的超臨界流體可以是擁有類似液體的溶合性質和類似氣體的擴散和黏度的物質,從而使超臨界流體能夠快速地滲透進入空隙、氣孔、間隙、裂縫或開口,並徹底清除或溶解任何的液體、殘餘物或污染物。這樣的氣體的一個實例是二氧化碳(CO2 )。這樣的氣體的另一個實例是C3 H8 。雖然可以使用其他市售可得的氣體來形成超臨界流體,但由於CO2 的惰性、無毒、不可燃的特性和CO2 在自然界中的豐富性,CO2 是最常被使用的。將CO2 轉化成超臨界流體CO2 的適當條件包括約1200 psi(磅/平方英吋)或更大的壓力及約31℃或更高的溫度,如第6圖的CO2 相變圖所圖示。將C3 H8 轉化成超臨界流體C3 H8 的適當條件包括約620 psi(磅/平方英吋)或更大的壓力及約100℃或更高的溫度。
超臨界流體腔室500可設以使超臨界流體曝露於位在超臨界流體腔室500的處理空間505內的基板W。可以在超臨界流體腔室500中形成超臨界流體,或者可以在超臨界流體室500的外部形成超臨界流體,並將超臨界流體輸送進入超臨界流體腔室500。在一個配置中,腔室500可以位在移送室206上並耦接至移送室206。腔室500包含腔室主體501,腔室主體501界定處理空間505。腔室500可以由不銹鋼或其他適當的結構材料所製成,該適當的結構材料使腔室500能夠承受足以將氣體保持在氣體超臨界狀態的操作溫度和壓力。在一個實施例中,腔室主體501上鄰接並界定處理空間505的表面504可以被電化學拋光,以形成具有低表面粗糙度(例如光滑表面)的表面。在另一個實施例中,表面504可以塗覆有一種材料,例如Teflon® 塗層或鉻。
基板支座510可被配置在處理空間505中並耦接到腔室主體501。基板支座510進一步包含設以接收基板W(例如半導體基板W)的支撐表面510A。支撐表面510A還可設以在基板W被放在支撐表面510A上之後主動地限制基板W。例如,基板支座510可以利用靜電或真空吸盤、邊緣環或定位銷等來防止基板W在處理過程中在支撐表面510A上移動。在另一個實施例中,基板支座510可以包含複數個支撐銷(未圖示)。在一個實施例中,基板支座510可設以在處理過程中轉動半導體基板W。
在一個實施例中,處理空間505包含小的空間,以減少填滿外殼所需的超臨界流體量。腔室500進一步包含流量閥門502,流量閥門502包含耦接到流量閥門502的一或更多個O形環540。O形環540可以由彈性材料所形成,該彈性材料例如橡膠或矽氧烷。流量閥開口503為位在移送室206中的濕式機器人208提供進出口,以沿著基板傳送路徑545傳送基板,並從處理空間505接收基板。
據信,在溶劑交換腔室202中進行處理之後添加更多的溶劑到基板W將可在超臨界沖洗和乾燥處理過程中防止「乾燥點」形成,並進一步促進顆粒去除及減少特徵之間的黏附。溶劑分配設備592可以被設置在靠近流量閥開口503。溶劑分配設備592(例如噴霧棒)設以在基板W經由流量閥開口503進入腔室500之前輸送液體到基板W。溶劑分配設備592可被耦接至腔室主體501或移送室206。溶劑源590被耦接到溶劑分配設備592,並設以經由溶劑分配設備592提供液體溶劑,例如異丙醇,以便將該液體溶劑輸送到基板W的頂表面。溶劑分配設備592設以輸送少量的溶劑到基板W,使得一層溶劑完全覆蓋基板W的頂表面。在另一個實施例中,構思的是,在基板W位在腔室500的內部之後,可以提供另外的溶劑到基板W。
腔室500可以進一步包含一或更多個加熱元件550,加熱元件550設以在形成超臨界二氧化碳的過程中及/或在腔室500減壓的過程中加熱腔室500。加熱元件550可以被設置在靠近腔室主體501的處理空間505或處理空間505內。加熱元件550可以包含電阻加熱元件、設以接收熱控制流體的流體通道及/或其他類似的加熱裝置。加熱元件550可以在處理空間505中將流體或氣體加熱到所需的溫度。在另一個實施例中,腔室500可以包括一或更多個聲換能器552,例如壓電換能器(例如超音波結晶),聲換能器552被耦接到腔室主體501在處理空間505中的表面504或嵌入腔室主體501內,以產生用於清洗腔室500的聲波。在另一個實施例中,換能器552可以被設置在腔室主體501外部並被定位,以將聲能導引至腔室主體501的處理空間505。換能器552可以被耦接到電源554,電源554適以提供足以進行超音波清洗處理的電力。換能器552還可以將波導向基板W,以在超臨界流體處理過程中攪拌腔室500內的超臨界流體。
超臨界流體輸送系統520包含耦接到流體源555的第一流體輸送管線524及形成在腔室500中的第一流體入口512,流體源555例如CO2 供應或C3 H8 供應。幫浦522可被耦接到第一流體入口512和流體源555之間的第一流體輸送管線524,用於從流體源555輸送加壓流體進入腔室500的處理空間505。此外,入口閥門523可以被設置在幫浦522和第一流體入口512之間的第一流體輸送管線524上,以控制超臨界流體到處理空間505的流量。
第5E圖示意性圖示依據本文所述的另一個實施例的超臨界流體輸送系統520。超臨界流體輸送系統520包含流體源555、幫浦522、加熱元件531、過濾器530及冷凝器535。流體輸送系統520過濾處在超臨界狀態的流體,但隨後將該流體以液體輸送至腔室500。例如,一定量的流體(例如液體CO2 )被從流體源555提供至幫浦522,幫浦522可以加壓該流體。然後該流體被加熱元件531加熱,以將該液體轉變成該液體的超臨界狀態。然後超臨界流體通過過濾器,該過濾器可以是高壓氣體過濾器,以純化超臨界流體。據信,相對於使用液體過濾器,使用氣體過濾器可以實現遠較高的過濾效率。然後超臨界流體被冷凝器535冷凝,而使超臨界流體返回液體,然後該液體被提供到腔室500。在下面更詳細描述的某些實施例中,冷凝器535可以是可選擇的,以允許處在超臨界狀態的超臨界流體被直接提供到腔室。
參照回第5A圖,腔室500可以進一步包含選擇性的迴路519,用以將流體再循環進出腔室500。迴路519可以進一步包括過濾器(未圖示),例如活性炭過濾器,以純化流體。迴路519有助於在處理空間505內產生超臨界流體的流動,例如層流,以幫助防止超臨界流體浴的停滯。
流體出口513可被耦接到腔室500,用以從處理空間505移除超臨界流體。流體出口513可以將超臨界流體釋放到大氣、可以將用過的超臨界流體導引到排放裝置527A和儲槽或者可以回收超臨界流體進行再利用(迴路519)。如圖示,流體出口513可以藉由流體返回管線525和幫浦返回管線526被耦接到幫浦522。排放閥528耦接流體返回管線525和幫浦返回管線526。排放閥528將流體返回管線525中的超臨界流體或氣體導引到排放裝置527(或儲槽)或幫浦522,以回收超臨界流體進行再利用。選擇性地,可以將冷凝器(未圖示)耦接於流體出口513和流體源555之間,以在流體被導引到流體源555之前冷凝流體中的污染物。
第一流體入口512和流體出口513可以被設置通過腔室主體501的底壁。然而,構思的是,第一流體入口512和流體出口513可以被設置在其他區域通過腔室主體501的牆壁,例如通過腔室主體501的頂壁。第一流體入口512可適以接收氣體,例如CO2 。在某些實施例中,第一流體入口512可被耦接到噴嘴、噴頭或其他的流體輸送裝置,以將流體導向基板。
在某些實施例中,腔室500可以包含淨化氣源560。淨化氣源560可以經由第二流體輸送管線564被耦接到第二流體入口562。淨化氣源560可適以提供淨化氣體到處理空間505,該淨化氣體例如純氮氣(N2 )、氬氣(Ar)、氦氣(He)或其他高純度氣體。腔室500可以進一步包含適以加壓腔室500之處理空間505的加壓設備570,例如壓縮機。加壓設備570​​可以經由壓力閥572被耦接到腔室。在一個實施例中,加壓設備570可以在氣體被提供到處理空間505之後加壓氣體,例如淨化氣體。在另一個實施例中,加壓設備570​​可被耦接到淨化氣源560,並且可適以在淨化氣體被輸送到腔室500之前加壓淨化氣體。在操作中,加壓設備570可以在超臨界流體被引入處理空間505之前在腔室500中將淨化氣體加壓至約1100 psi和約2000 psi之間。
腔室500可以進一步包含清洗流體源580。清洗流體源580可適以提供一或多種清洗液或該等清洗液之混合物到腔室500。雖然圖示為被耦接到第二流體輸送管線564,但清洗流體源580可以在任何方便的位置被耦接到腔室500,以減少任何相關管道的複雜性。在一個實施例中,由清洗流體源580供應的流體包含水或N-甲基甲醯胺。在另一個實施例中,由清洗流體源580供應的流體包含丙酮((CH3 )2 CO)。
可以藉由提供清洗流體到腔室500並啟動換能器552來超音波攪拌腔室表面504、其他腔室組件及處理空間505內的流體,而在腔室500上進行原位清洗處理,該清洗流體例如水或N-甲基甲醯胺。清洗流體可以以具有紊流的液體形式提供,該紊流設以接觸所有的腔室表面504和腔室500的組件。例如,第二流體入口562可以成某一角度或設以提供腔室500內的紊流。超音波攪拌之後,可以使用溶劑(例如丙酮)沖洗腔室500,以置換超音波攪拌過程中使用的水或N-甲基甲醯胺。最後,可以從淨化氣源560提供淨化氣體(例如N2 )到腔室,以進一步乾燥腔室500內部體積。當腔室500被充分乾燥時,可以從腔室500排放N2 。在N2 淨化過程中或之後,還可以藉由加熱元件550提供熱到腔室500,以進一步幫助乾燥腔室500。在某些實施例中,可以在大氣壓力下進行原位清洗處理。可以視需要進行原位清洗處理,以確保腔室500的最佳性能。
以上的實施例描述了一種提供超臨界流體的超臨界流體腔室,可以在供應非超臨界流體到腔室500之後在處理空間505內部形成該超臨界流體。在已經處在超臨界相的超臨界流體被輸送到腔室500的實施例中,超臨界流體輸送系統可以進一步包含相變設備521。相變設備521可以被設置在幫浦522和第一流體入口512之間的第一流體輸送管線524上。過濾器530可以被設置在第一流體入口512和相變設備521之間的第一流體輸送管線524上。過濾器530可以是能夠在約3000 psi或以下的壓力下操作的,以在超臨界流體進入腔室500之前過濾該超臨界流體,並去除可能存在超臨界流體中的雜質。過濾器530可以包括具有約3奈米(nm)孔徑的過濾介質,並且可以由氧化鋁(Al2 O3 )材料形成。
相變設備521包含處理區533、壓縮裝置532及加熱元件531。在一個實施例中,幫浦522從流體源555供應CO2 氣體到相變設備521的處理區533。CO2 氣體可以藉由壓縮裝置532加壓及/或藉由加熱元件531加熱到預定的水平,以在處理區533中形成超臨界流體。在一個實施例中,可以將CO2 加熱到約40℃並加壓到約95巴。在另一個實施例中,可以將C3 H8 加熱到約100℃並加壓到約620 psi(43巴)。然後可以將所產生的超臨界CO2 或C3 H8 輸送通過第一流體輸送管線524並通過第一流體入口512到達腔室500。
當腔室500中的基板W已經被用超臨界CO2 處理過後,腔室中發生減壓處理。在一個實施例中,在殘留在腔室500中的氣體被從腔室500排出之前,腔室500的等溫減壓需要將腔室500保持在所需的溫度,例如約40℃,同時將壓力降低到所需的壓力,例如約21巴。在一個實例中,減壓處理以約200 psi/分鐘的速率進行,直到腔室內的壓力為約400 psi。當壓力為約400 psi時,可以使用更大的減壓速率來進一步將腔室500減壓。受控制的減壓處理係在等溫環境中進行,該等溫環境需要來自加熱元件550的能量輸入,以在腔室的減壓過程中保持所需的溫度。腔室500的壓力係藉由通過流體出口513釋放超臨界流體及/或氣體到達排放裝置527或形成在幫浦522內的槽(未圖示)而減低。從腔室500移出到排放裝置527A的氣體行進通過流體返回管線525而到排放閥528,可以將排放閥528選擇到排放裝置527A或通過幫浦返回管線526使氣體返回到幫浦522。可以在排放過程中選擇性地加熱基板W,以防止基板冷卻,並防止水分吸收或沉積。
第5B圖示依據一個實施例的第5A圖超臨界流體腔室500之示意性側視圖。流量閥門502可被耦接到軸542,軸542可被耦接到線性致動器541。在一個實施例中,兩個軸542可以被耦接到流量閥門502,第一軸可被耦接到線性致動器541,而第二軸可被耦接到線性軸承543。線性致動器可以包含可被耦接到流體源(未圖示)的馬達或氣缸,該流體源例如液源或氣源,以伸出和縮回軸542來定位流量閥門502,進而密封和打開流量閥開口503。當線性致動器541將軸542縮回並對著腔室主體501的側壁壓縮耦接到流量閥門502的O形環(未圖示)時,流量閥門502可以被關閉。在一個實施例中,流量閥門502使用足夠的力對著腔室主體501的側壁壓縮O形環,以形成氣密的密封,進而承受處理過程中超臨界流體腔室500內部產生的壓力。
第5C圖圖示第5A圖的超臨界流體腔室500之局部剖視圖。與腔室500類似,包含一或更多個O形環540的流量閥門502可以沿著基板傳送路徑打開和關閉流量閥503。然而,在圖示的實施例中,流量閥門502可以被設置在處理空間505的外部,而且可以接觸腔室主體501的外表面。當流量閥門502被設置在處理空間505的外部時,處理空間505的容積可以被減小。處理空間505的減小可以對溫度和壓力提供更好的控制,並減少將處理空間505保持在超臨界處理所需的條件下需要的能量之量。
第5D圖示依據一個實施例的第5C圖超臨界流體腔室500之示意性側視圖。流量閥門502可被耦接到軸542,軸542可被耦接到線性致動器541。在一個實施例中,兩個軸542可以被耦接到流量閥門502,第一軸可被耦接到線性致動器541,而第二軸可被耦接到線性軸承543。線性致動器可以包含可被耦接到流體源(未圖示)的馬達或氣缸,該流體源例如液源或氣源,以伸出和縮回軸542來定位流量閥門502,進而密封和打開流量閥開口503。當線性致動器541將軸542縮回並對著腔室主體501的外部壓縮耦接到流量閥門502的O形環(未圖示)時,流量閥門502可以被關閉。在一個實施例中,流量閥門502使用足夠的力對著腔室主體501的外部壓縮O形環,以形成氣密的密封,進而承受處理過程中超臨界流體腔室500內部產生的壓力。如圖所示,流量閥門502可以被設置在處理空間505的外部,這可以進一步減小腔室500進行超臨界流體處理所需的處理空間505容積。另外,設置在處理空間505外部的流量閥門502可以降低腔室500內產生顆粒的可能性。
第7圖圖示依據一個實施例的電漿腔室之剖面圖。更具體來說,第7圖提供電漿產生腔室700。腔室700通常包括包圍處理空間706的側壁702和底部704。氣體分配板710和基板支座組件730可以被設置在處理空間706中。處理空間706可以經由穿過側壁702所形成的流量閥開口708進入,這使得基板740能夠被傳送進出腔室700。雖然圖示為電漿腔室,但腔室700也可適用於以電磁能量照射基板,例如以含有一或多種紫外線波長的光照射基板。
基板支撐組件730包括基板接收表面732,用以將基板740支撐在基板接收表面732上。桿734將支撐組件730耦接到升舉系統736,升舉系統736在基板傳送和處理位置之間升高和降低基板支撐組件730。在處理時遮蔽框架733可以被選擇性地放在基板740的外圍上,以防止沉積在基板740的邊緣上。升降銷738可以被可移動地設置穿過基板支撐組件730,並且可設以將基板740與基板接收表面732隔開,以便利使用機器人葉片調換基板。基板支撐組件730還可以包括加熱及或冷卻元件739,用以將基板支撐組件730保持在所需的溫度。
氣體分配板710可以藉由懸吊714被耦接到背板712和背板712的周邊。氣體分配板710也可以藉由一或更多個中心支座716被耦接到背板712,以幫助防止及/或控制氣體分配板710的平直度/曲率。在一個實施例中,氣體分配板710可以在不同配置中具有不同的尺寸。分配板710可以包含複數個設置在分配板710的上表面798和下表面750之間的穿孔711。氣源720可以被耦接到背板712,以提供氣體到氣體分配板710和背板712之間所界定的氣室。來自來源720的氣體從形成在氣體分配板710中的穿孔711流到處理空間706。
真空幫浦709可以被耦接到腔室700,以將處理空間706保持在所需的壓力。RF電源722可以被耦接到背板712及/或氣體分配板710,以提供RF功率來在氣體分配板710與基板支座組件730之間產生電場,使得可以從存在於氣體分配板710與基板支撐組件730之間的氣體產生電漿。可以使用各種的頻率(例如13.56 MHz的頻率)來在處理空間706中形成電漿。在一個實施例中,可以在約75 W將O2 電漿施加到基板740持續約10秒鐘。另外的電漿處理可以是能夠釋放高深寬比溝槽中的輕微或暫時黏附。據信,O2 電漿對於去除可能存在於溝槽中的有機雜質尤其有用。
還可以在氣源720和背板712之間耦接遠端電漿源724,例如感應耦合遠端電漿源。可以使用RPS 724來在處理空間706中形成電漿。電漿行進通過處理空間706而到達基板740的頂表面718。電漿藉由去除可能存在於元件特徵之間的黏附來處理基板740。在一個實施例中,可以將電磁輻射源760(例如紫外線光源)耦接到腔室700。電磁輻射源760可以被耦接到電源(未圖示)並且可以被定位在腔室700內部或腔室700外部的任何方便的位置。在一個配置中,電磁輻射源760位在腔室700的外部,使得電磁輻射源760可以將產生的電磁能量傳輸通過窗口(未圖示)而到達基板的表面,該窗口可以被耦接到腔室700的一部分側壁702。可以定位電磁輻射源760,以照射基板740的頂表面718。電磁輻射源760可適以提供具有介於約50 nm和約500 nm之間的波長的紫外光到基板740。
第8圖圖示依據一個實施例包含用於清洗基板的方法步驟的處理流程圖。基板首先可以在膜沉積或蝕刻腔室中進行處理,以在基板上形成膜或特徵。方法800開始於操作810,其中基板可以被放在濕式清洗腔室中。可以藉由乾式機器人將基板提供到濕式清洗腔室,乾式機器人從盒中取出待清洗的基板。在操作820,可以使基板曝露於清洗溶液,以去除存在於基板上的殘餘物或液體。在一個實施例中,該清洗溶液可以包含去離子水、溶劑或上述之組合。
在操作830,可以藉由濕式機器人將基板傳送到溶劑交換腔室。在操作840,先前施加的清洗溶液可以藉由使基板曝露於輸送到基板的溶劑而被溶劑置換,基板係位在溶劑交換腔室中。在一個實施例中,用以置換清洗溶液的溶劑可以是可以處在液相或超臨界相的化學品,或是處在液相或超臨界相的序列化學品或該等化學品之混合物。用於置換基板上的殘餘材料的化學品或混合物之狀態和相可以藉由清洗溶液組分(例如去離子水)、溶劑及選擇的化學品或化學品混合物之間的相對溶解度和混溶性來決定。在一個實例中,溶劑可以選自於由丙酮、異丙醇、乙醇、甲醇、N-甲基-2-吡咯啶酮、N-甲基甲醯胺、1,3-二甲基-2-咪唑啉酮、二甲基乙醯胺及二甲亞碸或上述之組合及/或序列所組成之群組。在一個實施例中,可以提供足以置換殘留在基板表面上的清洗溶液的量的有機極性溶劑到基板的表面。在隨後的操作中,可以提供非極性溶劑來置換該有機極性溶劑。在一個實施例中,該有機極性溶劑係選自N-甲基-2-吡咯啶酮及/或N-甲基甲醯胺,而該非極性溶劑係選自丙酮及/或IPA。在另一個實施例中,溶劑交換可以藉由提供溶劑來填充腔室的填充和淨化處理來進行,並且在溶劑交換已經在基板上進行所需的時間量之後,從溶劑交換腔室清除溶劑。
在另一個實施例中,如上文所述,用於溶劑交換的適當溶劑包括N-甲基-2-吡咯啶酮、N-甲基甲醯胺、1,3-二甲基-2-咪唑啉酮、二甲基乙醯胺和二甲亞碸。可以使用這些有機極性溶劑來取代水,而且理想的溶劑通常將具有高的介電常數(大於30)和低的蒸發速率(相對於乙酸正丁酯小於0.5)。有機極性溶劑通常也可混溶於水及抑制來自矽-水相互作用的氧化矽沉澱。選擇性地,在溶劑交換的過程中,有機極性溶劑可以與溶解的O2 氣體結合,以增強粒子的去除。在較佳的實施例中,有機極性溶劑包含N-甲基-2-吡咯啶酮或N-甲基甲醯胺或上述溶劑之組合。
在一個實施例中,假使在置換處理中使用的化學品或化學混合物是液體,則可以使用相轉變處理來將化學品或化學混合物轉變成超臨界流體。在一個實施例中,用以置換有機極性溶劑的90%或更濃的液體IPA可以被在約5℃至8℃和約50巴的液體二氧化碳取代。上述約5℃至8℃和約50巴的液體二氧化碳可以在溶劑交換腔室中被加熱到約40℃和約95巴。超臨界相的結果是,由於液-氣和液-固表面張力之間的差異所產生的毛細壓力被消除了。消除毛細壓力防止了在進行濕式清洗處理(操作810)之後經常發生的高深寬比特徵的彎曲和黏附。
在操作850,然後藉由濕式機器人將基板從溶劑交換腔室傳送到超臨界流體腔室。選擇性地,在操作860,可以在超臨界流體腔室中進行加壓淨化氣體處理。可以將淨化氣體(例如純氮氣或氬氣)提供到可被加壓的超臨界流體腔室。超臨界流體腔室可以被加壓到約1100 psi和約2000 psi之間。
在操作870,形成了包含如先前上述相同類型的化學品或化學混合物的超臨界流體,並將該超臨界流體引入超臨界流體腔室,以在超臨界沖洗處理中清洗和沖洗掉殘留在基板的表面上的顆粒和殘餘物。假使已經進行了選擇性的操作860,則當提供超臨界流體到超臨界流體腔室時,可以將可能存在超臨界流體腔室中的淨化氣體排出。在此實施例中,在淨化氣體處理和超臨界沖洗處理之間,可以在超臨界流體腔室中實現無關緊要的壓力變化。在操作860和操作870之間在超臨界流體腔室中保持大致上相同的壓力可以防止顆粒在超臨界流體腔室中形成。如此一來,可以將在選擇性的操作860中的超臨界流體腔室壓力選擇為匹配在操作870中保持腔室內的超臨界流體所需的壓力。
在一個實施例中,可以使用CO2 來形成操作870期間所使用的超臨界流體。在另一個實施例中,可以使用C3 H8 來形成超臨界流體。超臨界CO2 或C3 H8 可以在超臨界流體腔室中形成,或者可以在超臨界流體腔室外部形成然後再引入超臨界流體腔室。在一個實施例中,在約40℃和約95巴的超臨界CO2 係在超臨界流體腔室外部形成,然後被引入超臨界流體腔室,以便保持超臨界CO2 的超臨界流體性質。在一個實施例中,在約100℃和約620 psi(43巴)的超臨界C3 H8 係在超臨界流體腔室外部形成,然後被引入超臨界流體腔室,以便保持超臨界C3 H8 的超臨界流體性質。在一個實施例中,超臨界流體在被引入超臨界腔室的整個過程中保持是超臨界流體。在另一個實施例中,超臨界流體在引入過程的部分路徑上或僅在引入過程末端是超臨界流體。
假使在置換處理中使用的化學品或化學混合物是液體,則可以使用相轉變處理來將化學品或化學混合物轉化成超臨界流體。在一個實施例中,用以置換有機極性溶劑的90%或更濃的液體IPA可以被在約5℃至8℃和約50巴的液體二氧化碳取代。上述約5℃至8℃和約50巴的液體二氧化碳可以在超臨界流體腔室中被加熱到約40℃和約95巴。超臨界相的結果是,由於液-氣和液-固表面張力之間的差異所產生的毛細壓力被消除了。消除毛細壓力防止了高深寬比特徵的彎曲和黏附。還構思的是,可以使用C3 H8 來將化學品或化學混合物轉化為超臨界流體。
超臨界流體表現出介於氣體和液體之間的中間性質,並且由於類氣體的運輸行為,超臨界流體通常具有良好地穿透複雜奈米幾何形狀的能力,而且由於一般與液體相關聯的優異質傳能力,超臨界流體在顆粒和殘餘物去除上是有效的。超臨界沖洗處理可以以幾種方式進行,其中有兩種方式可從元件特徵去除污染物。第一種污染物去除方式牽涉到超臨界流體藉由機械作用而物理性地從元件特徵去除污染物,該機械作用例如超臨界流體和污染物之間的物理相互作用。超臨界流體滲透元件結構中的空間(高深寬比結構、通孔、空隙、孔洞等),並提供從元件結構沖洗掉污染物的流體流動,該污染物例如溶劑、殘餘物及顆粒。由流動的流體產生的機械或物理作用係獲益於超臨界流體表現出的類液體質傳性能。
去除污染物的另一種方式是藉由使用含有超臨界流體的非污染物來形成濃度梯度。存在於基板表面上方的處理空間中的超臨界流體具有比存在於元件結構中的超臨界流體更低的污染物濃度。在一個實施例中,允許純的超臨界CO2 或C3 H8 停滯在或甚至流過基板表面上方的空間。已知流體有存在熱力學平衡的渴望,故靠近元件結構、含有污染物的超臨界流體會擴散到基板上方的區域,從而減少了存在於元件結構中的污染物濃度。還有可能的是,兩種污染物去除方式可以同時作用,例如藉由使用擴散質傳處理和物理性相互作用,以從元件結構去除污染物。在兩種污染物去除方式該等方式之組合中,污染物可以被有效地從元件結構中去除。
接著,對基板進行超臨界乾燥處理。可以控制該處理,以確保化學品或化學混合物從超臨界到氣態的相轉移不通過液相區。第6圖圖示CO2 的相變化。該處理確保超臨界流體(虛線以外的區域)改變為氣態而不通過分離液相和氣相的線。在超臨界乾燥處理中從高深寬比的溝槽排出的流體表現出可以忽略的表面張力,從而導致線黏附的減少或消除。在一個實施例中,在約40℃和約95巴的超臨界CO2 在約40℃進行等溫減壓,直到壓力下降到約21巴。在另一個實施例中,在約100℃和約620 psi(43巴)的超臨界C3 H8 在約100℃進行等溫減壓,直至壓力下降到約20巴。殘留在超臨界流體腔室中的氣體被從腔室排出。從腔室排出的氣體攜帶著從高深寬比的溝槽和基板的其他表面取出的顆粒和殘餘物。
在步驟880,藉由濕式機器人將基板從超臨界流體腔室傳送到後處理室。在步驟890,藉由使基板曝露於用於最終處理的低功率電漿來對基板進行後處理,以完成乾燥處理。在一個實施例中,可以以約75 W的RF功率及13.56 MHz的頻率將RF氧(O2 )電漿施加於基板持續約10秒鐘。基板的後處理釋放了由在先前的清洗處理中使用的化學品或化學混合物產生的局部雜質所引起的輕微或暫時性黏附(或有的話)。後處理若在超臨界乾燥處理之後立即實施會是最有效的。在另一個實施例中,可以使用偏壓電漿來去除殘留在基板上的有機污染物。還相信的是,在基板的表面上沉積或形成鈍化層可以減低存在於基板表面上的高深寬比特徵之間發生黏附的可能性。
在替代的實施例中,步驟890可以包含藉由使基板曝露於一或多種電磁能波長而對基板進行後處理,該電磁能例如紫外(UV)光。在一個實例中,可以基於存在於基板上的材料來選擇UV處理。可以使基板曝露於UV光持續一時間量,該時間量適以釋放由在先前的清洗處理中使用的化學品或化學混合物產生的局部雜質所引起的任何殘餘的輕微或暫時性黏附(若有的話)。在一個實施例中,可以使基板曝露於具有約50 nm和約500 nm之間的波長的UV光,例如約150 nm和約350 nm之間,例如約172 nm和約300 nm之間。與上述的電漿處理類似,UV處理若在超臨界乾燥處理之後立即實施可能是最有效的。在另一個實施例中,步驟890可以包含電漿和UV處理的組合,以對基板進行後處理,並去除基板上可能存在的任何殘餘黏附或有機污染物。
前述的發明提供了一種在超臨界清洗和乾燥處理過程中增加基板產量的設備。利用轉盤結構和多個機器人提高了處理設備的效率,這應可減少基板的超臨界清洗和乾燥的成本。此外,利用相互組合的置換、相變、超臨界沖洗和乾燥以及電漿後處理在濕式清洗之後提供了線黏附的消除,尤其是對於具有高深寬比溝槽的基板而言。
雖然前述係針對本發明之實施例,但在不偏離本發明之基本範圍下,亦可設計出本發明之其他與深一層的實施例,而且本發明之範圍係由以下的申請專利範圍所決定。
100‧‧‧半導體元件
102‧‧‧元件結構
104‧‧‧開口
106‧‧‧壁
200‧‧‧處理設備
200A‧‧‧處理設備
201‧‧‧濕式清洗腔室
202‧‧‧溶劑交換腔室
203‧‧‧超臨界流體腔室
204‧‧‧後處理室
205‧‧‧檢查室
206‧‧‧移送室
208‧‧‧濕式機器人
208A‧‧‧機器人
209‧‧‧端效器
209A‧‧‧端效器
209B‧‧‧端效器
212‧‧‧基板盒
214‧‧‧基板盒
216‧‧‧乾式機器人
218‧‧‧工廠介面
220‧‧‧線性軌道
300‧‧‧處理設備
301‧‧‧濕式清洗腔室
302‧‧‧溶劑交換腔室
303‧‧‧超臨界流體腔室
304‧‧‧電漿處理室
306‧‧‧移送室
308‧‧‧濕式機器人
310‧‧‧工廠介面
312‧‧‧盒
316‧‧‧乾式機器人
320A‧‧‧端效器
320B‧‧‧端效器
322‧‧‧端效器
400‧‧‧濕式處理室
406‧‧‧基板
410‧‧‧支撐夾
411‧‧‧過濾器
412‧‧‧溶液
414‧‧‧基板底表面
416‧‧‧基板頂表面
416A‧‧‧流體源
423‧‧‧空氣向下流動
428‧‧‧管
442‧‧‧通孔
448‧‧‧托架
449‧‧‧旋轉裝置
450‧‧‧溶劑過濾系統
460‧‧‧流體源
461‧‧‧流體排洩口
462‧‧‧第一槽
464‧‧‧第二槽
466‧‧‧第一幫浦
467‧‧‧第二幫浦
468‧‧‧第一過濾器
469‧‧‧第二過濾器
470‧‧‧第一再循環路徑
471A‧‧‧路徑
471B‧‧‧路徑
472‧‧‧第二再循環路徑
473B‧‧‧路徑
473C‧‧‧路徑
474‧‧‧第三再循環路徑
475A‧‧‧路徑
475B‧‧‧路徑
477A‧‧‧路徑
481‧‧‧第二閥
482‧‧‧第三閥
483‧‧‧第一閥
489‧‧‧第四閥
500‧‧‧超臨界流體腔室
501‧‧‧腔室主體
502‧‧‧流量閥門
503‧‧‧流量閥開口
504‧‧‧表面
505‧‧‧處理空間
510‧‧‧基板支座
510A‧‧‧支撐表面
512‧‧‧第一流體入口
513‧‧‧流體出口
519‧‧‧迴路
520‧‧‧超臨界流體輸送系統
521‧‧‧相變設備
522‧‧‧幫浦
523‧‧‧入口閥門
524‧‧‧第一流體輸送管線
525‧‧‧流體返回管線
526‧‧‧幫浦返回管線
527‧‧‧排放裝置
527A‧‧‧排放裝置
528‧‧‧排放閥
530‧‧‧過濾器
531‧‧‧加熱元件
532‧‧‧壓縮裝置
533‧‧‧處理區
535‧‧‧冷凝器
540‧‧‧O形環
541‧‧‧線性致動器
542‧‧‧軸
543‧‧‧線性軸承
545‧‧‧基板傳送路徑
550‧‧‧加熱元件
552‧‧‧聲換能器
554‧‧‧電源
555‧‧‧流體源
560‧‧‧淨化氣源
562‧‧‧第二流體入口
564‧‧‧第二流體輸送管線
570‧‧‧加壓設備
572‧‧‧壓力閥
580‧‧‧清洗流體源
590‧‧‧溶劑源
592‧‧‧溶劑分配設備
700‧‧‧腔室
702‧‧‧側壁
704‧‧‧底部
706‧‧‧處理空間
708‧‧‧流量閥開口
709‧‧‧真空幫浦
710‧‧‧氣體分配板
711‧‧‧穿孔
712‧‧‧背板
714‧‧‧懸吊
716‧‧‧中心支座
718‧‧‧頂表面
720‧‧‧氣源
722‧‧‧RF電源
724‧‧‧遠端電漿源
730‧‧‧基板支撐組件
732‧‧‧基板接收表面
733‧‧‧遮蔽框架
734‧‧‧桿
736‧‧‧升舉系統
738‧‧‧升降銷
739‧‧‧加熱及或冷卻元件
740‧‧‧基板
750‧‧‧下表面
760‧‧‧電磁輻射源
798‧‧‧上表面
800‧‧‧方法
810‧‧‧操作
820‧‧‧操作
830‧‧‧操作
840‧‧‧操作
850‧‧‧操作
860‧‧‧操作
870‧‧‧操作
880‧‧‧步驟
890‧‧‧步驟
W‧‧‧基板
為詳細瞭解上述本發明的特徵,可參照實施例及附圖而對以上簡單概述的本發明作更特定的描述。然而應注意,附圖說明的只是本發明的典型實施例,因而不應將附圖說明視為是對本發明範圍作限制,因本發明可認可其他同樣有效的實施例。
第1圖圖示濕式處理之後在乾燥過程中形成在基板上的半導體元件結構內形成的特徵之間由於產生毛細力所產生的黏附效應;
第2A圖圖示依據本文提供的某些實施例的基板處理設備;
第2B圖圖示依據本文提供的某些實施例的基板處理設備;
第3A圖圖示在依據本文提供的某些實施例的處理設備中的基板處理流程;
第3B圖圖示在依據本文提供的某些實施例的處理設備中的基板處理流程;
第4A圖圖示依據本文提供的某些實施例的濕式處理室之剖視圖;
第4B圖圖示依據本文提供的某些實施例的溶劑過濾系統之示意圖;
第5A圖圖示依據本文提供的某些實施例的超臨界流體腔室之剖面示意圖;
第5B圖圖示依據本文提供的某些實施例的第5A圖超臨界流體腔室之示意側視圖;
第5C圖圖示依據本文提供的某些實施例的第5A圖超臨界流體腔室之部分剖面示意圖;
第5D圖圖示依據本文提供的某些實施例的第5C圖超臨界流體腔室之示意側視圖;
第5E圖示意性圖示依據本文提供的某些實施例的超臨界流體輸送系統;
第6圖圖示表示CO2 的相變圖;
第7圖圖示依據本文提供的某些實施例的電漿腔室之剖視圖;以及
第8圖圖示依據本文提供的某些實施例用於清洗基板的方法步驟之流程圖。
為了便於瞭解,已在可能處使用相同的元件符號來指稱對於圖式為相同的元件。構思的是,可以將一個實施例中揭示的元件有益地使用於其他實施例中而無需具體詳述。不應將本文所參照的圖式理解為依比例繪製,除非有特別說明。另外,時常將圖式簡化,並且為了清晰地說明和解釋之故,將圖式的細節或部件省略。圖式和討論係用以解釋以下討論的原理,其中相同的標號表示相同的元件。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種處理一基板之方法,包含以下步驟: 使一基板暴露於一第一溶劑,以去除位於該基板的一表面上之一數量的一殘餘清洗溶液,其中該基板上形成有高深寬比特徵;使該基板暴露於一第二溶劑,以去除位於該基板的該表面上之該第一溶劑;以及使該基板暴露於一超臨界流體,以去除位於該基板的該表面上之該第二溶劑,其中使該基板暴露於該超臨界流體之步驟包含:將處於液態之一氣體輸送至該基板之一表面,以去除位於該基板的該表面上之該第二溶劑的至少一部分,其中該基板設置於一第一處理室的一處理空間中;使位於該處理空間內之該氣體從液態相變為超臨界態;以及使位於該處理空間中之該氣體從超臨界態等溫減壓成氣態。
  2. 如請求項1所述之方法,其中該氣體包含二氧化碳(CO2 )。
  3. 如請求項1所述之方法,其中該第二溶劑包含一非極性溶劑,當該氣體處於液態時,該非極性溶劑與該氣體混溶。
  4. 如請求項3所述之方法,其中該第二溶劑係選自由丙酮、乙醇及甲醇所組成之群組。
  5. 如請求項1所述之方法,其中該第一溶劑包含一極性溶劑,且該第二溶劑包含丙酮。
  6. 如請求項1所述之方法,其中使該基板暴露於一第二溶劑之該步驟係於一第二處理室中進行,該第二處理室經由一移送室耦接至該第一處理室。
  7. 如請求項1所述之方法,其中將處於液態之該氣體輸送至該基板的該表面之步驟進一步包含: 以一第一速率,將一第一量之處於液態之該氣體輸送至該處理空間;以及 接著以一第二速率,將一第二量之處於液態之該氣體輸送至該處理空間,其中該第二速率大於該第一速率。
  8. 如請求項1所述之方法,其中使該基板暴露於該超臨界流體的步驟進一步包含:在使位於該處理空間中之該氣體等溫減壓之前,使該超臨界流體以一第一流動速率流過該基板的一表面。
  9. 如請求項1所述之方法,其中該氣體包含二氧化碳(CO2 ),且使位於該處理空間中之該氣體從超臨界態等溫減壓成氣態之步驟係在約40°C之一溫度下進行。
  10. 如請求項1所述之方法,其中將處於液態之該氣體輸送至該基板的該表面之步驟進一步包含:將處於液態之該氣體輸送至該處理空間,直到達成約50巴之一壓力。
  11. 一種處理一基板之方法,包含以下步驟: 使一基板暴露於一第一溶劑,以去除位於該基板的一表面上之一數量的一殘餘清洗溶液,其中該基板上形成有高深寬比特徵; 使該基板暴露於一第二溶劑,以去除位於該基板的該表面上之該第一溶劑;以及 使該基板暴露於一超臨界流體,以去除位於該基板的該表面上之該第二溶劑,其中使該基板暴露於該超臨界流體之步驟包含: 將處於液態之一二氧化碳(CO2 )氣體輸送至該基板的一表面,以去除位於該基板的該表面上之該第二溶劑的至少一部分,其中該基板設置於一第一處理室的一處理空間中;以及 使位於該處理空間內之該二氧化碳(CO2 )氣體從液態相變為超臨界態。
  12. 如請求項11所述之方法,其中該第二溶劑係選自由丙酮、乙醇及甲醇所組成之群組。
  13. 如請求項12所述之方法,其中該第一溶劑包含一極性溶劑,且該第二溶劑包含丙酮。
  14. 如請求項11所述之方法,其中使該基板暴露於該第一溶劑之該步驟和使該基板暴露於該第二溶劑之該步驟係於一第二處理室中進行,該第二處理室經由一移送室耦接至該第一處理室。
  15. 如請求項11所述之方法,其中將處於液態之該氣體輸送至該基板的該表面之步驟進一步包含: 以一第一速率,將一第一量之處於液態之該氣體輸送至該處理空間;以及 接著以一第二速率,將一第二量之處於液態之該氣體輸送至該處理空間。
  16. 如請求項15所述之方法,其中該第二速率大於該第一速率。
  17. 如請求項11所述之方法,進一步包含:使位於該處理空間中之該氣體從超臨界態等溫減壓成氣態。
  18. 如請求項17所述之方法,其中使該基板暴露於該超臨界流體之步驟進一步包含:在使位於該處理空間內之該氣體等溫減壓之前,使該超臨界流體以一第一流動速率流過該基板的一表面。
  19. 如請求項11所述之方法,其中將處於液態之該氣體輸送至該基板的該表面之步驟進一步包含:將處於液態之該氣體輸送至該處理空間,直到達成約50巴之一壓力。
  20. 一種用於處理一基板之系統,包含: 一移送室; 一第一處理室,該第一處理室耦接至該移送室並包含一第一基板支座及一第一流體源,其中該第一流體源適以在高深寬比特徵上分配一第一溶劑,該等高深寬比特徵形成一基板的一表面,而該基板設置於該第一基板支座上; 一第二處理室,該第二處理室耦接至該移送室並包含一第二基板支座及一第二流體源,其中該第二流體源適以在形成該基板的該表面之該等高深寬比特徵上分配一第二溶劑,而該基板設置於該第二基板支座上;以及 一第三處理室,該第三處理室耦接至該移送室移送室,其中該第三處理室包含: 一第三基板支座,該第三基板支座設置於該第三處理室的一處理空間中; 一冷凝器,該冷凝器流通地耦接至該處理空間並經配置以將一氣體從氣態冷凝成液態; 一幫浦,該幫浦經配置以將處於液態之一氣體從該冷凝器輸送至處理空間;以及 一加熱元件,該加熱元件與該處理空間及該第三基板支座熱連通。
TW107115524A 2012-11-26 2013-11-07 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理 TWI689004B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261729965P 2012-11-26 2012-11-26
US61/729,965 2012-11-26
US201361841779P 2013-07-01 2013-07-01
US61/841,779 2013-07-01

Publications (2)

Publication Number Publication Date
TW201842570A true TW201842570A (zh) 2018-12-01
TWI689004B TWI689004B (zh) 2020-03-21

Family

ID=50772189

Family Applications (3)

Application Number Title Priority Date Filing Date
TW107115524A TWI689004B (zh) 2012-11-26 2013-11-07 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
TW102140520A TWI627667B (zh) 2012-11-26 2013-11-07 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
TW109105114A TWI826650B (zh) 2012-11-26 2013-11-07 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW102140520A TWI627667B (zh) 2012-11-26 2013-11-07 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
TW109105114A TWI826650B (zh) 2012-11-26 2013-11-07 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理

Country Status (6)

Country Link
US (3) US10354892B2 (zh)
JP (3) JP6408477B2 (zh)
KR (3) KR102161253B1 (zh)
CN (2) CN107799391B (zh)
TW (3) TWI689004B (zh)
WO (1) WO2014081966A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI784545B (zh) * 2021-05-26 2022-11-21 國立中山大學 晶圓常溫乾燥方法

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101874901B1 (ko) * 2011-12-07 2018-07-06 삼성전자주식회사 기판 건조 장치 및 방법
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
JP5835195B2 (ja) * 2012-11-29 2015-12-24 東京エレクトロン株式会社 乾燥処理用の高圧容器の製造方法及び基板処理装置の製造方法
WO2016007874A1 (en) 2014-07-11 2016-01-14 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
JP6244324B2 (ja) * 2015-03-24 2017-12-06 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6461749B2 (ja) * 2015-08-26 2019-01-30 東芝メモリ株式会社 基板処理方法および基板処理装置
KR102440321B1 (ko) * 2015-09-04 2022-09-06 삼성전자주식회사 기판 처리 방법
JP6498573B2 (ja) * 2015-09-15 2019-04-10 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
TWI623968B (zh) * 2015-09-30 2018-05-11 東京威力科創股份有限公司 使用液態二氧化碳以使半導體基板乾燥的方法及設備
CN108140549B (zh) * 2015-10-04 2022-12-20 应用材料公司 缩减空间的处理腔室
JP6639657B2 (ja) * 2015-10-04 2020-02-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 熱質量が小さい加圧チャンバ
CN108140603B (zh) 2015-10-04 2023-02-28 应用材料公司 基板支撑件和挡板设备
WO2017062135A1 (en) * 2015-10-04 2017-04-13 Applied Materials, Inc. Drying process for high aspect ratio features
US10192751B2 (en) * 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
JP6559087B2 (ja) * 2016-03-31 2019-08-14 東京エレクトロン株式会社 基板処理装置
KR102008566B1 (ko) * 2016-05-24 2019-08-07 가부시키가이샤 스크린 홀딩스 기판 처리 장치 및 기판 처리 방법
JP6736989B2 (ja) * 2016-06-07 2020-08-05 東京エレクトロン株式会社 処理液供給装置、機器ユニット、処理液供給方法及び記憶媒体
KR20180006716A (ko) * 2016-07-11 2018-01-19 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
TWI767920B (zh) * 2016-07-15 2022-06-21 美商應用材料股份有限公司 乾燥高深寬比特徵
US10971354B2 (en) * 2016-07-15 2021-04-06 Applied Materials, Inc. Drying high aspect ratio features
JP6708963B2 (ja) * 2016-08-04 2020-06-10 日産自動車株式会社 半導体コンデンサの製造方法
EP3282474B1 (en) 2016-08-11 2021-08-04 IMEC vzw Method for performing a wet treatment of a substrate
US10546762B2 (en) * 2016-11-18 2020-01-28 Applied Materials, Inc. Drying high aspect ratio features
JP6876417B2 (ja) * 2016-12-02 2021-05-26 東京エレクトロン株式会社 基板処理装置の洗浄方法および基板処理装置の洗浄システム
JP6836939B2 (ja) * 2017-03-14 2021-03-03 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR102030056B1 (ko) * 2017-05-02 2019-11-11 세메스 주식회사 챔버 세정 방법, 기판 처리 방법, 그리고 기판 처리 장치
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US20190070639A1 (en) * 2017-09-07 2019-03-07 Applied Materials, Inc. Automatic cleaning machine for cleaning process kits
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US11037779B2 (en) 2017-12-19 2021-06-15 Micron Technology, Inc. Gas residue removal
US10784101B2 (en) 2017-12-19 2020-09-22 Micron Technology, Inc. Using sacrificial solids in semiconductor processing
US10475656B2 (en) 2017-12-19 2019-11-12 Micron Technology, Inc. Hydrosilylation in semiconductor processing
US10957530B2 (en) 2017-12-19 2021-03-23 Micron Technology, Inc. Freezing a sacrificial material in forming a semiconductor
US10964525B2 (en) 2017-12-19 2021-03-30 Micron Technology, Inc. Removing a sacrificial material via sublimation in forming a semiconductor
US10695804B2 (en) * 2018-01-25 2020-06-30 Applied Materials, Inc. Equipment cleaning apparatus and method
US10497558B2 (en) 2018-02-26 2019-12-03 Micron Technology, Inc. Using sacrificial polymer materials in semiconductor processing
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
KR102573280B1 (ko) * 2018-03-21 2023-09-01 삼성전자주식회사 기판 세정 방법, 기판 세정 장치 및 그를 이용한 반도체 소자의 제조방법
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
JP7301575B2 (ja) * 2018-05-15 2023-07-03 東京エレクトロン株式会社 基板処理方法、記憶媒体及び基板処理装置
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP7080134B2 (ja) * 2018-08-07 2022-06-03 東京エレクトロン株式会社 基板処理装置のパーティクル除去方法および基板処理装置
JP7147444B2 (ja) * 2018-10-03 2022-10-05 株式会社島津製作所 試料注入装置および試料注入システム
US11094527B2 (en) 2018-10-10 2021-08-17 International Business Machines Corporation Wet clean solutions to prevent pattern collapse
KR102195007B1 (ko) * 2018-10-11 2020-12-29 세메스 주식회사 기판 세정 조성물, 이를 이용한 기판 처리 방법 및 기판 처리 장치
KR20210086712A (ko) * 2018-11-28 2021-07-08 램 리써치 코포레이션 기판 프로세싱 시스템들을 위한 증기 챔버를 포함하는 페데스탈
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR102262113B1 (ko) * 2018-12-18 2021-06-11 세메스 주식회사 기판 처리 장치 및 방법
US11127588B2 (en) 2019-04-12 2021-09-21 Micron Technology, Inc. Semiconductor processing applying supercritical drying
KR102636979B1 (ko) * 2019-04-26 2024-02-14 삼성전자주식회사 멀티 챔버 장치
KR102623544B1 (ko) * 2019-06-10 2024-01-10 삼성전자주식회사 광 조사 기반 웨이퍼 세정 장치 및 그 세정 장치를 포함한 웨이퍼 세정 시스템
KR102433558B1 (ko) * 2019-07-11 2022-08-19 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102378330B1 (ko) * 2019-10-11 2022-03-24 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11515178B2 (en) * 2020-03-16 2022-11-29 Tokyo Electron Limited System and methods for wafer drying
JP2022124622A (ja) * 2021-02-16 2022-08-26 株式会社Screenホールディングス 基板処理装置
JP2022147217A (ja) * 2021-03-23 2022-10-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP2022155713A (ja) * 2021-03-31 2022-10-14 芝浦メカトロニクス株式会社 基板乾燥装置及び基板処理装置に関する。
KR102458839B1 (ko) 2022-06-17 2022-10-25 (주)네온테크 열풍 또는 플라즈마를 사용하여 반도체 패키지 기판을 건조하는 방법 및 이를 위한 건조 장치
KR102441255B1 (ko) 2022-02-21 2022-09-07 (주)네온테크 대기압 플라즈마 처리를 통한 기판의 드라이 방법
US11761344B1 (en) * 2022-04-19 2023-09-19 General Electric Company Thermal management system
US20240096664A1 (en) * 2022-09-15 2024-03-21 Applied Materials, Inc. On-board cleaning of tooling parts in hybrid bonding tool
KR102575765B1 (ko) 2023-03-10 2023-09-06 (주)네온테크 수세기 및 이를 사용한 수세 방법

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0244738A (ja) * 1988-08-05 1990-02-14 Semiconductor Energy Lab Co Ltd 電子装置作製方法
US5417826A (en) * 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
TW386235B (en) * 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
JPH08330266A (ja) 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
KR0171945B1 (ko) 1995-09-29 1999-03-30 김주용 반도체소자의 금속배선 형성방법
JPH09275085A (ja) * 1996-04-05 1997-10-21 Hitachi Ltd 半導体基板の洗浄方法ならびに洗浄装置および半導体基板製造用成膜方法および成膜装置
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6090217A (en) 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
US6508259B1 (en) 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6496648B1 (en) 1999-08-19 2002-12-17 Prodeo Technologies, Inc. Apparatus and method for rapid thermal processing
US6858089B2 (en) * 1999-10-29 2005-02-22 Paul P. Castrucci Apparatus and method for semiconductor wafer cleaning
US6576066B1 (en) * 1999-12-06 2003-06-10 Nippon Telegraph And Telephone Corporation Supercritical drying method and supercritical drying apparatus
US6286231B1 (en) 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20030026677A1 (en) 2001-08-03 2003-02-06 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) High-pressure process apparatus
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
JP3944368B2 (ja) 2001-09-05 2007-07-11 株式会社荏原製作所 基板処理装置及び基板処理方法
US6666928B2 (en) * 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
US20030084918A1 (en) * 2001-11-07 2003-05-08 Kim Yong Bae Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes
JP4011900B2 (ja) 2001-12-04 2007-11-21 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6843855B2 (en) * 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
JP3782366B2 (ja) 2002-03-20 2006-06-07 日本電信電話株式会社 超臨界処理方法及び超臨界処理装置
WO2003082486A1 (en) 2002-03-22 2003-10-09 Supercritical Systems Inc. Removal of contaminants using supercritical processing
TW554075B (en) 2002-04-17 2003-09-21 Grand Plastic Technology Corp Puddle etching method of thin film using spin processor
US6764552B1 (en) 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US8003587B2 (en) * 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US20030228755A1 (en) * 2002-06-07 2003-12-11 Esry Thomas Craig Method for metal patterning and improved linewidth control
US20040171260A1 (en) 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7384484B2 (en) * 2002-11-18 2008-06-10 Dainippon Screen Mfg. Co., Ltd. Substrate processing method, substrate processing apparatus and substrate processing system
JP4000052B2 (ja) * 2002-12-05 2007-10-31 大日本スクリーン製造株式会社 基板処理装置
JP2004249189A (ja) 2003-02-19 2004-09-09 Sony Corp 洗浄方法
US20040198066A1 (en) * 2003-03-21 2004-10-07 Applied Materials, Inc. Using supercritical fluids and/or dense fluids in semiconductor applications
KR100597656B1 (ko) 2003-10-02 2006-07-07 그린텍이십일 주식회사 반도체의 제조를 위한 세정방법 및 세정장치
JP4247087B2 (ja) 2003-10-07 2009-04-02 株式会社日立ハイテクサイエンスシステムズ 微細構造乾燥処理方法及びその装置
JP2005138063A (ja) 2003-11-10 2005-06-02 Mitsubishi Materials Corp 超臨界二酸化炭素とオゾンによる洗浄方法
JP2007536730A (ja) * 2004-05-07 2007-12-13 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 集積回路製品を製造する間にパターン形成されたウエハーを乾燥させるための組成物及び方法
JP4393268B2 (ja) * 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050274396A1 (en) 2004-06-09 2005-12-15 Hong Shih Methods for wet cleaning quartz surfaces of components for plasma processing chambers
JP2006024692A (ja) 2004-07-07 2006-01-26 Toshiba Corp レジストパターン形成方法
US20060065189A1 (en) * 2004-09-30 2006-03-30 Darko Babic Method and system for homogenization of supercritical fluid in a high pressure processing system
US20060130966A1 (en) 2004-12-20 2006-06-22 Darko Babic Method and system for flowing a supercritical fluid in a high pressure processing system
US7365016B2 (en) * 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
US20060254612A1 (en) * 2005-05-16 2006-11-16 Micron Technology, Inc. Polar fluid removal from surfaces using supercritical fluids
KR100730348B1 (ko) 2005-10-04 2007-06-19 삼성전자주식회사 미세 구조물의 제조 방법
US20070093406A1 (en) * 2005-10-24 2007-04-26 Omoregie Henryson Novel cleaning process for masks and mask blanks
JP2007142335A (ja) 2005-11-22 2007-06-07 Dainippon Screen Mfg Co Ltd 高圧処理方法
JP2007206344A (ja) 2006-02-01 2007-08-16 Ricoh Co Ltd 画像形成装置
KR100837325B1 (ko) 2006-05-24 2008-06-11 삼성전자주식회사 초임계 유체를 이용한 식각, 세정 및 건조 방법들 및 이를위한 챔버 시스템
US8084367B2 (en) 2006-05-24 2011-12-27 Samsung Electronics Co., Ltd Etching, cleaning and drying methods using supercritical fluid and chamber systems using these methods
US7772128B2 (en) * 2006-06-09 2010-08-10 Lam Research Corporation Semiconductor system with surface modification
JP2008034779A (ja) * 2006-06-27 2008-02-14 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
KR100902330B1 (ko) 2006-06-29 2009-06-12 주식회사 아이피에스 반도체공정장치
KR100744145B1 (ko) * 2006-08-07 2007-08-01 삼성전자주식회사 초임계 유체를 이용하는 웨이퍼 처리 장치 및 웨이퍼 처리방법
KR100822373B1 (ko) 2006-09-12 2008-04-17 세메스 주식회사 초임계 유체를 이용한 기판 건조 장치, 이를 구비한 기판처리 설비 및 기판 처리 방법
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP5138916B2 (ja) * 2006-09-28 2013-02-06 東京応化工業株式会社 パターン形成方法
KR100876758B1 (ko) * 2006-12-26 2009-01-08 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7753353B2 (en) 2007-03-14 2010-07-13 Xerox Corporation Vertical sheet compiling apparatus and methods of vertically compiling sheets
US8057601B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20080302303A1 (en) 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US8551880B2 (en) * 2007-11-01 2013-10-08 Applied Materials, Inc. Ammonia-based plasma treatment for metal fill in narrow features
US8226775B2 (en) * 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
US8153533B2 (en) * 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US20100184301A1 (en) 2009-01-20 2010-07-22 Lam Research Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process
JP2011040572A (ja) 2009-08-11 2011-02-24 Toshiba Corp 基板処理装置および基板処理方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP2012049446A (ja) * 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
JP5685918B2 (ja) 2010-12-10 2015-03-18 富士通株式会社 半導体装置の製造方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP5843277B2 (ja) 2011-07-19 2016-01-13 株式会社東芝 半導体基板の超臨界乾燥方法及び装置
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101329317B1 (ko) 2011-12-07 2013-11-25 한국과학기술연구원 기판건조장치 및 기판건조방법
US8534659B2 (en) 2011-12-13 2013-09-17 United Microelectronics Corp. Substrate carrier and applications thereof
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
US9587880B2 (en) * 2012-05-31 2017-03-07 Semes Co., Ltd. Apparatus and method for drying substrate
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
JP6020233B2 (ja) 2013-02-14 2016-11-02 三菱自動車エンジニアリング株式会社 ピラートリム
KR101451244B1 (ko) 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US10391526B2 (en) 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
WO2015138094A1 (en) 2014-03-12 2015-09-17 Applied Materials, Inc. Wafer rotation in a semiconductor chamber
JP6005702B2 (ja) 2014-09-18 2016-10-12 株式会社東芝 半導体基板の超臨界乾燥方法および基板処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI784545B (zh) * 2021-05-26 2022-11-21 國立中山大學 晶圓常溫乾燥方法

Also Published As

Publication number Publication date
US10354892B2 (en) 2019-07-16
CN107799391B (zh) 2021-11-26
KR20210114429A (ko) 2021-09-23
JP6662977B2 (ja) 2020-03-11
TWI826650B (zh) 2023-12-21
JP2016503588A (ja) 2016-02-04
JP2019024104A (ja) 2019-02-14
CN104919574A (zh) 2015-09-16
WO2014081966A1 (en) 2014-05-30
TWI627667B (zh) 2018-06-21
US20140144462A1 (en) 2014-05-29
JP2020098933A (ja) 2020-06-25
US20170250094A1 (en) 2017-08-31
KR102284839B1 (ko) 2021-07-30
TWI689004B (zh) 2020-03-21
KR102397871B1 (ko) 2022-05-12
TW202034397A (zh) 2020-09-16
TW201426850A (zh) 2014-07-01
KR20200111835A (ko) 2020-09-29
US20190287823A1 (en) 2019-09-19
KR20150088829A (ko) 2015-08-03
JP6408477B2 (ja) 2018-10-17
US10347511B2 (en) 2019-07-09
CN107799391A (zh) 2018-03-13
US11011392B2 (en) 2021-05-18
KR102161253B1 (ko) 2020-09-29
JP6929981B2 (ja) 2021-09-01
CN104919574B (zh) 2018-02-16

Similar Documents

Publication Publication Date Title
JP6929981B2 (ja) 高アスペクト比半導体デバイス構造のための、汚染物質除去を伴うスティクションフリー乾燥処理
US10283344B2 (en) Supercritical carbon dioxide process for low-k thin films
TWI762868B (zh) 小熱質量的加壓腔室中的基板處理方法
TWI670793B (zh) 基板支撐及擋板設備
TWI677041B (zh) 減低容積的處理腔室
KR102054605B1 (ko) 고 종횡비 피처들을 위한 건조 프로세스
JP2018531511A6 (ja) 高アスペクト比フィーチャ向けの乾燥プロセス