KR102161253B1 - 고 종횡비 반도체 디바이스 구조들에 대한 오염물 제거를 갖는 무-스틱션 건조 프로세스 - Google Patents

고 종횡비 반도체 디바이스 구조들에 대한 오염물 제거를 갖는 무-스틱션 건조 프로세스 Download PDF

Info

Publication number
KR102161253B1
KR102161253B1 KR1020157016291A KR20157016291A KR102161253B1 KR 102161253 B1 KR102161253 B1 KR 102161253B1 KR 1020157016291 A KR1020157016291 A KR 1020157016291A KR 20157016291 A KR20157016291 A KR 20157016291A KR 102161253 B1 KR102161253 B1 KR 102161253B1
Authority
KR
South Korea
Prior art keywords
substrate
chamber
solvent
supercritical fluid
exposing
Prior art date
Application number
KR1020157016291A
Other languages
English (en)
Other versions
KR20150088829A (ko
Inventor
스티븐 베르하버베케
한-웬 첸
로만 구크
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020207027347A priority Critical patent/KR102284839B1/ko
Publication of KR20150088829A publication Critical patent/KR20150088829A/ko
Application granted granted Critical
Publication of KR102161253B1 publication Critical patent/KR102161253B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Abstract

본 발명의 실시예들은 일반적으로, 기판을 세정하는 방법, 및 기판을 세정하는 방법을 수행하도록 구성되는 기판 프로세싱 장치에 관한 것이다. 보다 구체적으로, 본 발명의 실시예들은, 반도체 디바이스 피쳐들 간의 라인 스틱션(line stiction)의 부정적인 효과들을 감소시키거나 없애는 방식으로 기판을 세정하는 방법에 관한 것이다. 본 발명의 다른 실시예들은, 기판 상에 형성된 반도체 디바이스 피쳐들 간의 라인 스틱션을 감소시키거나 없애는 방식으로 기판을 세정하는 것을 허용하는 기판 프로세싱 장치에 관한 것이다.

Description

고 종횡비 반도체 디바이스 구조들에 대한 오염물 제거를 갖는 무-스틱션 건조 프로세스{STICTION-FREE DRYING PROCESS WITH CONTAMINANT REMOVAL FOR HIGH-ASPECT RATIO SEMICONDUCTOR DEVICE STRUCTURES}
[0001] 본 발명의 실시예들은 일반적으로, 반도체 기판들을 세정하기 위한 방법 및 장치에 관한 것이고, 보다 구체적으로, 고 종횡비 반도체 디바이스 구조들에 대한 무-스틱션(stiction-free) 세정 및/또는 건조 프로세스(drying process)에 관한 것이다.
[0002] 반도체 디바이스들의 세정시, 기판의 표면들로부터 액체 및 고체 오염물(contaminant)들을 제거하여 깨끗한 표면들을 남기는 것이 필요하다. 습식 세정 프로세스들은 일반적으로, 수성 세정 용액(aqueous cleaning solution)들 같은 세정 액체들의 사용을 수반한다. 기판을 습식 세정한 후, 세정 챔버에서 기판의 표면으로부터 세정 액체를 제거하는 것이 필요하다.
[0003] 현재의 대부분의 습식 세정 기술들은 기판을 세정하기 위하여 액체 스프레잉(spraying) 또는 액침(immersion) 단계를 활용한다. 세정 액체의 적용 이후에, 보이드(void)들 또는 기공(pore)들을 가지는 저(low)-k 재료들 또는 고 종횡비 피쳐들을 갖는 기판들을 건조하는 것은 매우 난제이다. 세정 액체의 모세관력(capillary force)들은 종종, 원하지 않는 스틱션을 생성할 수 있는, 이들 구조들에서의 재료들의 변형을 유발하는 바, 이는 이용되는 세정 용액으로부터의 잔류물을 기판 상에 남길 뿐만 아니라, 반도체 기판을 손상시킬 수 있다. 상기 언급된 단점들은, 기판의 이후의 건조 동안, 고 종횡비 반도체 디바이스 구조들을 갖는 기판들 상에서 특히 분명하게 드러난다. 라인 스틱션(line stiction) 또는 라인 붕괴(line collapse)는, 습식 세정 프로세스(들) 동안 트렌치(trench) 또는 비아(via) 내에 트랩되는(trapped) 액체 위에서의 액체-공기 인터페이스(liquid-air interface)에 걸친 모세관 압력(capillary pressure)으로 인하여, 고 종횡비 트렌치 또는 비아를 형성하는 측벽들의 서로를 향한 구부러짐(bending)으로부터 발생한다. 좁은 선폭 및 고 종횡비들을 갖는 피쳐들은, 또한 모세관력이라고도 때때로 지칭되는 모세관 압력으로 인해 액체-공기 인터페이스와 액체-벽 인터페이스 사이에 생성되는 표면 장력의 차이에 특히 민감하다. 현재 이용가능한(workable) 건조 실행(drying practice)들은, 급속한 디바이스 스케일링(device scaling) 진보들의 결과로서, 라인 스틱션을 방지함에 있어서 가파르게 상승하는 난제(challenge)에 직면하고 있다.
[0004] 결과적으로, 기판 상에서의 반도체 디바이스 수율을 감소시킬 수 있는 라인 스틱션을 감소시키거나 없애는 건조 프로세스들에 대한 필요가 본 기술 분야에서 존재한다.
[0005] 본원에서 제공되는 실시예들은 일반적으로, 기판을 세정하는 방법 및 기판 프로세싱 장치에 관한 것이다. 보다 구체적으로, 실시예들은, 반도체 디바이스 피쳐들 간의 라인 스틱션의 부정적인 효과들을 감소시키거나 없애는 방식으로 기판을 세정하는 방법에 관한 것이다. 다른 실시예들은, 반도체 디바이스 피쳐들 간의 라인 스틱션을 감소시키거나 없애는 방식으로 기판을 세정하는 것을 허용하는 기판 프로세싱 장치에 관한 것이다.
[0006] 일 실시예는 일반적으로, 기판을 세정하는 방법에 관한 것이다. 이 방법은, 기판의 표면 상에 배치된 일정량(an amount)의 잔류물 세정 용액(residual cleaning solution)을 제거하기 위해, 기판을 용매(solvent)에 노출시키는 단계; 기판의 표면 상에 배치된 용매를 제거하기 위해, 기판을 초임계 유체(supercritical fluid)에 노출시키는 단계; 및 기판을 플라즈마에 노출시키는 단계를 포함한다.
[0007] 다른 실시예는 기판 프로세싱 장치를 제공한다. 이 장치는, 로봇이 내부에 배치되어 있는 이송 챔버를 갖는다. 로봇은, 이송 챔버에 커플링된 복수의 프로세싱 챔버들 사이에서 하나 또는 그 초과의 기판들을 이송하도록 적응된다. 몇몇 구성들에서, 기판 프로세싱 장치는, 이송 챔버에 커플링된 습식 세정 챔버(wet clean chamber)를 포함할 수 있다. 습식 세정 챔버는, 기판 지지부, 및 습식 세정 챔버의 프로세싱 영역에 세정 용액을 제공하도록 적응되는 세정 용액 전달 장치를 갖는다. 용매 교환 프로세싱 챔버(solvent exchange processing chamber)가 이송 챔버에 커플링된다. 용매 교환 챔버(solvent exchange chamber)는 기판 지지부를 가지며, 그리고 용매 교환 챔버에 액체 용매(liquid solvent)를 제공하도록 적응되는 액체 용매 전달 장치에 커플링된다. 초임계 유체 챔버(supercritical fluid chamber)가 이송 챔버에 커플링된다. 초임계 유체 챔버는, 기판 지지부, 가열 엘리먼트, 기체 또는 액체 CO2를 수용하도록 적응되는 포트, 및 가압 장치(pressurization apparatus)를 갖는다. 플라즈마 챔버가 이송 챔버에 커플링된다. 플라즈마 챔버는, 기판 지지부, 샤워헤드, 할로겐 또는 O2 가스를 수용하도록 적응되는 포트, 및 플라즈마 챔버의 프로세싱 영역에서 플라즈마를 생성하도록 적응되는 RF 전력 소스를 갖는다.
[0008] 다른 실시예는 기판 프로세싱 장치를 제공한다. 이 장치는, 이송 챔버에 커플링된 습식 세정 챔버를 갖는다. 습식 세정 챔버는 기판 지지부 및 프로세싱 영역을 가지며, 이러한 프로세싱 영역은, 습식 세정 챔버의 그러한 프로세싱 영역에 세정 용액을 제공하도록 적응되는 세정 용액 전달 장치에 커플링된다. 용매 교환 프로세싱 챔버가 이송 챔버에 커플링된다. 용매 교환 챔버는 기판 지지부를 가지며, 그리고 그러한 용매 교환 챔버에 액체 용매를 제공하도록 적응되는 액체 용매 전달 장치에 커플링된다. 초임계 유체 챔버가 이송 챔버에 커플링된다. 초임계 유체 챔버는, 기판 지지부, 가열 엘리먼트, 기체 또는 액체 CO2를 수용하도록 적응되는 포트, 및 가압 장치를 갖는다. 플라즈마 챔버가 이송 챔버에 커플링된다. 플라즈마 챔버는, 기판 지지부, 샤워헤드, 할로겐 또는 O2 가스를 수용하도록 적응되는 포트, 및 플라즈마 챔버의 프로세싱 영역에서 플라즈마를 생성하도록 적응되는 RF 전력 소스를 갖는다. 이송 챔버는, 습식 세정 챔버, 용매 교환 프로세싱 챔버, 초임계 유체 챔버 및 플라즈마 챔버 사이에서 하나 또는 그 초과의 기판들을 이송하도록 적응되는 제 1 로봇을 갖는다.
[0009] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은 습식 프로세싱 이후 건조 동안의 모세관력의 생성으로 인해, 기판 상에 형성된 반도체 디바이스 구조 내에 형성된 피쳐들 사이에 생성되는 스틱션의 효과를 예시한다.
[0011] 도 2a는 본원에서 제공되는 특정 실시예들에 따른 기판 프로세싱 장치를 예시한다.
[0012] 도 2b는 본원에서 제공되는 특정 실시예들에 따른 기판 프로세싱 장치를 예시한다.
[0013] 도 3a는 본원에서 제공되는 특정 실시예들에 따른 프로세싱 장치에서의 기판 프로세스 흐름을 예시한다.
[0014] 도 3b는 본원에서 제공되는 특정 실시예들에 따른 프로세싱 장치에서의 기판 프로세스 흐름을 예시한다.
[0015] 도 4a는 본원에서 제공되는 특정 실시예들에 따른 습식 프로세싱 챔버의 단면도를 예시한다.
[0016] 도 4b는 본원에서 제공되는 특정 실시예들에 따른 용매 여과 시스템(solvent filtration system)의 개략도를 예시한다.
[0017] 도 5a는 본원에서 제공되는 특정 실시예들에 따른 초임계 유체 챔버의 개략적 단면도를 예시한다.
[0018] 도 5b는 본원에서 제공되는 특정 실시예들에 따른, 도 5a의 초임계 유체 챔버의 개략적 측면도를 예시한다.
[0019] 도 5c는 본원에서 제공되는 특정 실시예들에 따른, 도 5a의 초임계 유체 챔버의 부분적인 개략적 단면도를 예시한다.
[0020] 도 5d는 본원에서 제공되는 특정 실시예들에 따른, 도 5c의 초임계 유체 챔버의 개략적 측면도를 예시한다.
[0021] 도 5e는 본원에서 제공되는 특정 실시예들에 따른 초임계 유체 전달 시스템을 개략적으로 예시한다.
[0022] 도 6은 C02를 나타내는 상 변화도(phase change diagram)를 예시한다:
[0023] 도 7은 본원에서 제공되는 특정 실시예들에 따른 플라즈마 챔버의 단면도를 예시한다; 그리고
[0024] 도 8은 본원에서 제공되는 특정 실시예들에 따른, 기판을 세정하기 위한 방법 단계들의 흐름도를 예시한다.
[0025] 이해를 촉진시키기 위해, 도면들에 대해 공통적인 동일한 엘리먼트들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 일 실시예에 개시되는 엘리먼트들은 추가의 언급없이 다른 실시예들에 유리하게 활용될 수 있음이 고려된다. 본원에서 언급되는 도면들은, 특별히 주지되지 않는다면, 실척대로 도시된 것으로 이해되지 않아야 한다. 또한, 도면들은 대개(often) 간략화되었으며 상세사항들 또는 컴포넌트들은 제시 및 설명의 명확화를 위해 생략되었다. 도면들 및 논의는 아래에서 논의되는 원리들을 설명하는 역할을 하며, 여기서 동일한 표기들은 동일한 엘리먼트들 나타낸다.
[0026] 본원에서 제공되는 실시예들은 일반적으로, 기판을 세정하는데 이용되는 방법 및 장치에 관한 것이다. 보다 구체적으로, 실시예들은, 습식 세정 프로세스가 기판 상에서 수행된 이후, 반도체 디바이스 피쳐들 사이에 생성되는 라인 스틱션을 감소시키거나 없애는 방식으로 기판을 세정하는 방법에 관한 것이다. 다른 실시예들은, 반도체 디바이스 피쳐들 사이의 라인 스틱션을 감소시키거나 없애는 방식으로 기판을 세정하는 것을 허용하는 기판 프로세싱 장치에 관한 것이다.
[0027] 하기의 설명에서는, 설명의 목적들로, 본원에서 제공되는 실시예들의 철저한 이해를 제공하기 위해 다수의 특정 상세사항들이 설명되었다. 그러나, 이들 특정 상세사항들 없이도 본 발명이 실시될 수 있다는 것이 당업자들에게 명백할 것이다. 다른 경우(instance)들에서는, 설명되는 실시예들을 모호하게 하지 않도록, 특정의 장치 구조들은 설명되지 않았다. 하기의 설명 및 도면들은 실시예들을 예시하는 것이며, 본 발명을 제한하는 것으로는 해석되지 않는다.
[0028] 도 1은 반도체 디바이스(100)의 일부를 예시하는 개략적 단면도이며, 이러한 반도체 디바이스(100)에서는, 반도체 디바이스(100) 내의 2개의 피쳐들 사이에서 라인 스틱션이 발생하였다. 도시된 바와 같이, 고 종횡비 디바이스 구조들이 기판의 표면 상에 형성된다. 프로세싱 동안, 디바이스 구조들(102)은 수직 배향으로 유지되어야 하며, 그리고 벽들(106)은 개구들(openings)(104)을 가로질러서(cross) 디바이스 구조들(102)의 인접 벽들(106)을 접촉(contact)해서는 안된다. 반도체 디바이스(100)가 습식 케미스트리들(wet chemistries)로 세정된 이후에 건조되고 있을 때, 디바이스 구조들(102)의 벽들(106)은 개구(104) 내에 배치된 세정 액체에 의해 생성되는 공기-액체 인터페이스로 인해 모세관력들을 받게 되는데, 이는 인접한 디바이스 구조들(102)의 벽들(106)이 서로를 향하여 구부러지게 하고 서로 접촉하게 한다. 인접한 디바이스 구조들(102)의 벽들(106) 사이의 접촉으로부터 라인 스틱션이 발생하며, 궁극적으로는, 개구들(104)의 폐쇄(closure)를 야기한다. 라인 스틱션은 일반적으로 바람직하지 않은데, 왜냐하면 라인 스틱션은 이후의 기판 프로세싱 단계들, 예컨대 추가의 증착 단계들 동안 개구들(104)에 액세스(access)하는 것을 방해하기 때문이다.
[0029] 라인 스틱션을 방지하기 위해, 본원에서 제공되는 방법에 따르면, 기판은 습식 세정 챔버 내에서 수성 세정 용액, 이를 테면 탈이온수(de-ionized water) 또는 세정 화학물질들(chemicals)에 노출될 수 있다. 이러한 기판은, 상부에 전자 디바이스들이 배치되거나 형성되어 있는 반도체 기판을 포함한다. 습식 세정 챔버 내에서 기판 상에서 수성 세정 용액들을 사용하게 되면, 습식 세정 프로세스들이 수행된 이후, 기판 상에 남아있는 잔류물들(residues)을 제거한다. 일부 구성들에서, 습식 세정 챔버는 단일 웨이퍼 세정 챔버 및/또는 수평 스피닝 챔버(horizontal spinning chamber)일 수 있다. 부가적으로, 습식 세정 챔버는, 기판의 비-디바이스측(non-device side) 상으로 지향되는 음향 에너지(acoustic energy)를 생성하도록 적응된 메가소닉 플레이트(megasonic plate)를 가질 수 있다.
[0030] 기판을 습식 세정한 후, 기판은 습식 세정 챔버에서 사용된 임의의 이전에 사용된 수성 세정 용액들을 대체(displace)하기 위해 용매 교환 챔버로 이송될 수 있다. 이후, 기판은 기판 상에서 수행될 추가 세정 및 건조 단계들을 위해 초임계 유체 챔버로 이송될 수 있다. 일 실시예에서, 기판을 건조하는 것은, 기판의 표면에 초임계 유체를 전달하는 것을 수반할 수 있다. 초임계 프로세싱 챔버에서 달성되거나 유지되는 특정 압력 및 온도 구성들에 영향을 받을 때에 초임계 상태로 전이(transition)하도록 건조 가스(drying gas)가 선택될 수 있다. 이러한 건조 가스의 일 예는 이산화탄소(CO2)를 포함한다. 건조 가스의 다른 예로는 프로판(C3H8)이 있다. 도 6은 CO2에 대한 상 변화도를 예시한다. 초임계 CO2는 초임계 가스(supercritical gas)이기 때문에, 이는, 그 표면 장력이 기체와 유사하지만, 액체와 유사한 밀도들을 갖는다는 점에서, 어떠한 표면 장력도 갖지 않는다. 도 6은, 초임계 CO2가 약 73.0 atm의 압력 및 약 31.1℃의 온도에서 임계점(critical point)을 갖는다는 것을 예시한다. CO2와 같은 초임계 유체의 고유한 하나의 특성은, 초임계 압력 초과의 임의의 압력 및 초임계점(supercritical point)(예를 들어, CO2에 대해 31.1℃ 및 73 atm) 초과의 온도들에서 응결(condensation)이 발생하지 않을 것이라는 것이다. 임계 온도 오른쪽 및 임계 압력(예를 들어, CO2에 대해 73 atm) 위의 영역은 CO2 건조 가스의 초임계 상태를 정의한다.
[0031] 초임계 유체는, 그 고유한 특성들로 인해, 기판 내의 실질적으로 모든 기공들 또는 보이드들에 침투하여(penetrate), 개구들(104) 내에 존재할 수 있는 임의의 남아있는 액체들 또는 입자들을 제거할 수 있다. 일 실시예에서, 입자들 및 잔류물들을 제거하기 위해, 요구되는 시간 기간 동안 초임계 프로세싱이 진행된 이후, 챔버의 압력은 거의 일정한 온도에서 감소되어, 초임계 유체가 개구들(104) 내에서 기체 상으로 바로 전이할 수 있게 한다. 초임계 유체 처리 전에 개구들(104) 내에 전형적으로 존재하는 액체들은, 용매 교환 챔버로부터의 대체 용매들(displacement solvents)일 수 있다. 개구들(104) 내에 전형적으로 존재하는 입자들은 임의의 고체 미립자 물질(solid particulate matter), 이를 테면 유기 종(organic species)(즉, 탄소), 무기 종(inorganic species)(즉, 실리콘), 및/또는 금속들일 수 있다. 초임계 유체에 의해 건조될 수 있는 개구들(104)의 예들은, 유전체 층 내의 기공들 또는 보이드들, 저-k 유전체 재료 내의 기공들 또는 보이드들, 및 세정 유체들 및 입자들을 트랩할 수 있는, 기판 내의 다른 타입들의 갭들을 포함한다. 또한, 초임계 건조(supercritical drying)는, 상 전이(phase transition) 동안 액체 상태를 바이패스(bypassing)함으로써, 그리고 초임계 CO2와 같은 초임계 유체의 무시할 정도의 표면 장력으로 인해, 디바이스 구조(102)의 벽들(106) 사이에 생성되는 모세관력들을 없앰으로써, 라인 스틱션을 막을 수 있다
[0032] 이후, 기판은 초임계 유체 챔버로부터 포스트 프로세싱(post processing) 챔버로 이송될 수 있다. 포스트 프로세싱 챔버는 플라즈마 프로세싱 챔버일 수 있으며, 이러한 플라즈마 프로세싱 챔버에서, 기판 상에 존재할 수 있는 오염물들이 제거될 수 있다. 기판을 포스트 프로세싱하는 것은 또한, 디바이스 구조들 내에 존재하는 임의의 라인 스틱션을 추가적으로 제거할(release) 수 있다. 본원에서 설명되는 프로세스들은, 고 종횡비들, 이를 테면, 약 10:1 또는 그 초과, 20:1 또는 그 초과, 또는 30:1 또는 그 초과의 종횡비들을 갖는 디바이스 구조들을 세정하는 데에 유용하다. 특정 실시예들에서, 본원에서 설명되는 프로세스들은, 3D/수직(vertical) NAND 플래시 디바이스 구조들을 세정하는 데에 특히 유용하다.
[0033] 도 2a는 본 발명의 일 실시예에 따른, 상기 설명된 단계들 중 하나 또는 그 초과의 단계들을 수행하도록 적응될 수 있는 기판 프로세싱 장치를 예시한다. 일 실시예에서, 프로세싱 장치(200)는 습식 세정 챔버(201), 용매 교환 챔버(202), 초임계 유체 챔버(203), 포스트 프로세싱 챔버(204), 이송 챔버(206) 및 습식 로봇(wet robot)(208)을 포함한다. 기판을 프로세싱하는 것은, 한정되는 것은 아니지만, 이를 테면 트랜지스터들, 캐패시터들 또는 레지스터들과 같은 전기 디바이스들(electrical devices)을 형성하는 것을 포함할 수 있으며, 상기 전기 디바이스들은, 기판 상에서 층간 유전체들(interlayer dielectrics)에 의해 절연되는 금속 라인들에 의해 서로 연결된다. 이들 프로세스들은, 기판을 세정하는 것, 기판 상에 형성된 필름들을 세정하는 것, 기판을 건조하는 것, 및 기판 상에 형성된 필름들을 건조하는 것을 포함할 수 있다. 다른 실시예에서, 프로세싱 장치(200)는 검사 챔버(inspection chamber)(205)를 포함하며, 검사 챔버(205)는 프로세싱 장치(200)에서 프로세싱된 기판들을 검사하기 위한 툴들(미도시)을 포함할 수 있다.
[0034] 일 실시예에서, 기판 프로세싱 장치(200)는, 몇 개의 기판 프로세싱 챔버들, 이를 테면, 습식 세정 챔버(201), 용매 교환 챔버(202), 초임계 유체 챔버(203), 포스트 프로세싱 챔버(204) 및 이송 챔버(206)를 포함하는 클러스터 툴(cluster tool)이다. 프로세싱 장치(200)는 또한 필름 증착 챔버들(미도시), 이를 테면, 화학 기상 증착(CVD) 챔버, 원자 층 증착(ALD) 챔버 및/또는 물리 기상 증착(PVD) 챔버를 포함할 수 있다. 챔버들은, 이송 챔버(206) 내에 배치될 수 있는 습식 로봇(208) 주위에 위치될 수 있다. 습식 로봇(208)은, 모터, 베이스(base), 암(arm), 및 챔버들 사이에서 기판들을 이송시키도록 구성된 엔드 이펙터(end effector)(209)를 포함한다. 선택적으로, 습식 로봇(208)은 프로세싱 장치(200)의 처리량을 증가시키기 위해, 다수의 암들 및 다수의 엔드 이펙터들을 가질 수 있다. 일 실시예에서, 습식 로봇(208)은 전술한 챔버들 사이에서 기판들을 이송한다. 다른 실시예에서, 습식 로봇(208)의 엔드 이펙터들 중 적어도 하나는 (예를 들어, 건식 웨이퍼들(dry wafers)를 핸들링하도록 적응된) 전용 건식 엔드 이펙터(dedicated dry end effector)이며, 그리고 습식 로봇(208)의 엔드 이펙터들 중 적어도 하나는 (예를 들어, 습식 웨이퍼들(wet wafers)를 핸들링하도록 적응된) 전용 습식 엔드 이펙터(dedicated wet end effector)이다. 전용 건식 엔드 이펙터는 초임계 유체 챔버(203)와 포스트 프로세싱 챔버(204) 사이에서 기판들을 이송하는 데 사용될 수 있다. 프로세싱 장치(200)는 또한, 프로세싱 장치(200) 및 복수의 기판 카세트들(212 및 214)에 커플링될 수 있는 팩토리 인터페이스(218)에 배치된 건식 로봇(dry robot)(216)을 포함할 수 있으며, 기판 카세트들 각각은 세정 또는 건조될, 또는 세정 또는 건조된 복수의 기판들을 홀딩한다. 건식 로봇(216)은 카세트들(212 및 214), 습식 세정 챔버(201) 및 포스트 프로세싱 챔버(204) 사이에서 기판들을 이송하도록 구성될 수 있다. 다른 실시예에서, 건식 로봇(216)은 초임계 유체 챔버(203)와 포스트 프로세싱 챔버(204) 사이에서 기판들을 이송하도록 구성될 수 있다. 프로세싱 장치(200) 내의 프로세싱 챔버들은, 기판 이송 챔버(206)를 하우징하는 수평 플랫폼 상에 배치될 수 있다.
[0035] 대안적인 실시예에서, 도 2b에 도시된 바와 같이, 프로세싱 장치(200a)는, 몇 개의 기판 프로세싱 챔버들, 이를 테면, 습식 세정 챔버(201), 용매 교환 챔버(202), 초임계 유체 챔버(203), 포스트 프로세싱 챔버(204), 및 이송 챔버(206)를 포함하는 선형 장치일 수 있다. 예를 들어, 프로세싱 장치(200a)는 캘리포니아 산타 클라라에 소재하는 Applied Materials로부터 입수가능한 Raider® GT일 수 있지만, 다른 제조업자들로부터의 다른 프로세싱 장치들이, 본원에서 설명되는 실시예들을 수행하도록 적응될 수 있음이 고려된다. 프로세싱 장치(200a)는 또한, 필름 증착 챔버들(미도시), 이를 테면, CVD 챔버, ALD 챔버 및/또는 PVD 챔버를 포함할 수 있다. 챔버들은, 이송 챔버(206) 내에 배치될 수 있는 로봇(208a) 주위에 위치될 수 있다. 로봇(208a)은 모터, 베이스, 암, 및 챔버들 사이에서 기판들을 이송하도록 구성된 엔드 이펙터들(209a 및 209b)을 포함한다. 로봇(208a)은, 프로세싱 장치(200a)의 처리량을 증가시키기 위해, 다수의 암들 및 다수의 엔드 이펙터들을 가질 수 있다. 일 실시예에서, 전용 습식 엔드 이펙터(209a)를 갖는 로봇(208a)은 전술한 챔버들 사이에서 기판들을 이송한다. 프로세싱 장치(200a)는 또한, 프로세싱 장치(200a) 및 복수의 기판 카세트들(212 및 214)에 커플링될 수 있는 팩토리 인터페이스(218)를 포함할 수 있으며, 기판 카세트들 각각은 세정 또는 건조될, 또는 세정 또는 건조된 복수의 기판들을 홀딩한다. 전용 건식 엔드 이펙터(209b)를 갖는 로봇(208a)은, 카세트들(212 및 214), 습식 세정 챔버(201) 및 포스트 프로세싱 챔버(204) 사이에서 기판들을 이송한다. 일 실시예에서, 전용 건식 엔드 이펙터(209b)는 초임계 유체 챔버(203)와 포스트 프로세싱 챔버(204) 사이에서 기판들을 이송하도록 구성될 수 있다. 프로세싱 장치(200a) 내의 챔버들은, 기판 이송 챔버(206)를 하우징하는 수평 플랫폼 상에 배치될 수 있다.
[0036] 프로세싱 장치(200a)의 일부 구성들에서, 로봇(208a)은 선형 트랙(220)을 따라 이동할 수 있다. 챔버들은 선형 트랙(220)의 일측 또는 양측에 차례로 배열될 수 있다. 습식 기판 이송을 수행하기 위해, 기판이 여전히 챔버에 있는 동안, 이를 테면 기판을 회전함으로써 과량의 액체가 기판으로부터 제거될 수 있어서, 로봇(208a)이 기판을 이송하기 전에 단지 얇은 습식 층 만이 기판 표면 상에 남게 된다. 로봇(208a)에 둘 또는 그 초과의 엔드 이펙터들을 제공하는 실시예들에서, 적어도 하나는 습식 기판 이송에 전용될 수 있고, 다른 하나는 건식 기판 이송에 전용될 수 있다. 대량 생산을 위해, 확장가능한 선형 구성으로 더 많은 챔버들이 설치될(installed) 수 있다.
[0037] 이전의 실시예들에서 언급된 구성들은, 각 챔버의 설계 복잡도(design complexities)를 크게 감소시키며, 민감한 프로세스 단계들 사이의 큐 시간(queue time) 제어를 가능하게 하며, 그리고 각각의 핵심(key) 단계의 프로세스 지속 기간을 균등하게 하기 위해, 조절가능한 챔버 모듈 카운트를 이용하여 연속 생산에서의 처리량을 최적화한다.
[0038] 다시 도 2a를 참조하면, 프로세싱 장치(200)의 세정 및 건조 프로세스들은, 이용가능한 챔버 공간 및 습식 로봇(208)의 사용에 의해 처리량을 최적화하도록 타이밍된(timed) 시퀀스로 진행된다. 상부에 필름(들)이 형성되어 있는 기판들을 세정 및 건조하기 위한 하나의 가능한 프로세싱 시퀀스는: 건식 로봇(216)이 기판 카세트(212 또는 214)로부터 세정되지 않은(unclean) 기판을 취해서(take) 이 기판을 습식 세정 챔버(201) 내에 설치하고; 습식 로봇(208)이 습식 세정 챔버(201)로부터 기판을 꺼내서(remove) 이 기판을 용매 교환 챔버(202) 내에 설치하고; 습식 로봇(208)이 용매 교환 챔버(202)로부터 기판을 꺼내서 이 기판을 초임계 유체 챔버(203)에 내에 설치하고; 건식 로봇(216), 또는 습식 로봇(208)의 전용 건식 엔드 이펙터가 초임계 유체 챔버(203)로부터 기판을 꺼내서 이를 포스트 프로세싱 챔버(204)에 배치하고; 그리고 건식 로봇(216)이 포스트 프로세싱 챔버(204)로부터 기판을 꺼내서, 세정되고 건조된 기판을 기판 카세트들(212 또는 214) 중 하나에 넣는(deposit) 것을 포함한다. 프로세싱 장치(200)에서의 기판의 이동은 기판 세정 및 건조 시간(times)을 최적화할 수 있다. 다른 시퀀스 변화들이 최적의 기판 세정 및 건조 사이클 시간을 선택하기 위해 사용될 수 있다.
[0039] 일 실시예에서, 기판은 처음에, 기판 상에 필름 또는 필름들을 형성하기 위한 필름 증착 챔버(미도시) 또는 기판으로부터 재료를 제거할 수 있는 필름 에칭 챔버와 같은, 프로세싱 장치(예를 들어, 프로세싱 장치(200))에 배치된 프리-프로세싱 챔버에서 프로세싱될 수 있다. 카세트들(212 및 214)이 기판을 프로세싱 장치(200)에 전달할 수 있고, 기판은 그런 다음, 로봇에 의해, 습식 세정 챔버(201)와 같은 제 1 프로세싱 챔버에 배치될 수 있다. 이러한 구성에서, 로봇은 카세트들(212 및 214) 중 하나로부터 제 1 프로세싱 챔버로 기판을 전달한다. 그런 다음, 기판은, 습식 세정 챔버(201)에서, 오염물들, 이를 테면, 기판 상에 존재하는, 재료 잔류물들/입자들 또는 액체들을 제거하기 위해, 세정 용액에 노출될 수 있다. 일 실시예에서, 세정 용액은 탈이온수, 세정 용매들, 또는 이들의 결합을 포함할 수 있다.
[0040] 다음에, 기판은 습식 로봇(208)에 의해 습식 세정 챔버(201)로부터 용매 교환 챔버(202)로 이송될 수 있다. 용매 교환 챔버(202)에서는, 기판을 용매에 노출시킴으로써, 이전에 배치된(disposed) 세정 용액이 용매로 대체될 수 있다. 일 실시예에서, 세정 용액을 대체하는 데에 사용되는 용매는, 액체 또는 초임계 상(supercritical phase)일 수 있는 단일 화학물질, 또는 액체 또는 초임계 상의, 다양한 화학물질들 또는 이들의 혼합물들의 시퀀스일 수 있다. 대체를 위한 화학물질들 또는 혼합물들의 조건(condition) 및 상태(phase)는, 탈이온수, 용매들, 및 선택된 화학물질들 또는 이들의 혼합물들 사이에서의, 상대적 용해도, 혼화성, 및 액체 대체(liquid displacement) 특성들에 의해 결정될 수 있다.
[0041] 일 실시예에서는, 기판 상에 남아있는 잔류 액체를 대체하기 위해, 기판이 용매에 노출될 수 있다. 용매는, 이전 프로세싱 단계로부터 기판의 표면 상에 남아있는 실질적으로 모든 액체를 대체하기에 충분한 양으로 기판의 상단 표면에 제공될 수 있다. 용매 교환은 필 앤 퍼지(fill and purge) 프로세스에 의해 수행될 수 있다. 예를 들어, 앞서 설명한 것들과 같은 용매(들)가 용매 교환 챔버(202)에 도입될 수 있고, 용매 교환 챔버(202)는 기판을 적어도 덮도록 용매로 채워질 수 있다. 원하는 양의 시간 동안 용매 교환이 진행된 후, 용매 교환 챔버(202)로부터 용매(들)를 제거함으로써 챔버가 퍼지될 수 있다.
[0042] 일 실시예에서, 용매 교환을 위한 적절한 용매들은 아세톤, 이소프로필 알코올, 에탄올, 메탄올 및 다른 무극성 용매들(non-polar solvents)을 포함한다. 물을 제거하고 물을 초임계 유체에서 용해될 수 있는 용매로 교환함으로써, 물의 제거 동안의 용매와 초임계 유체 사이의 상 분리(phase separation)의 방지로 인해 이후의 초임계 플러싱(flushing) 및 건조가 개선될 수 있는 것으로 여겨진다.
[0043] 다른 실시예에서, 용매 교환 프로세스를 위한 적절한 용매들은 N-메틸-2-피롤리돈, N-메틸포름아미드, 1,3-디메틸-2-이미다졸리디논, 디메틸아세트아미드 및 디메틸 술폭시드를 포함한다. 유기 극성 용매들(organic polar solvents)과 같은 이러한 극성 용매들은 물을 대체하며, 그리고 일반적으로, 용매들은 (30 초과의) 높은 유전율 및 낮은 증발률(n-부틸 아세테이트에 대해서는 0.5 미만)을 갖는 것이 바람직하다. 유기 극성 용매들은 또한 일반적으로, 물에서 혼화성이 있고 실리콘-물 반응으로부터의 실리카 입자들의 침전(precipitation)을 억제할 수 있다.
[0044] 일 실시예에서, 용매 교환 프로세스는, 복수의 용매들을 기판에 순차적으로 제공하여, 기판 표면 상에 남아있는 잔류 액체를 대체하는 것을 포함한다. 프로세스 시퀀스의 일 실시예에서, 극성 용매 그리고 다음에 무극성 용매를 기판의 표면에 전달하여 잔류 액체를 제거함으로써, 다단계(multiple step) 용매 교환 프로세스가 수행될 수 있다. 일 예에서, 프로세스 시퀀스는, 용매 교환 챔버(202)에서, 기판으로부터 탈이온수를 대체하도록 구성된 극성 용매를 포함하는 제 1 용매, 및 이후, 기판 상에서 극성 용매를 대체하도록 실온에서 이용될 수 있는, 약 90 퍼센트 또는 그 초과의 액체 이소프로필 알코올(IPA)과 같은 무극성 용매를 포함하는 제 2 용매를 제공하는 것을 포함한다. 극성 용매의 사용은 임의의 잔류 입자들을 제거하는 것을 돕는 데에 효과적일 수 있으며, 이는 IPA와 같은 무극성 용매를 기판 표면에 제공하기 전에 중요하다. 극성 그리고 이후 무극성 용매의 사용은, 기판으로부터 잔류 액체 및 임의의 잔류 입자들 또는 비슷한 오염물들을 보다 효과적으로 제거하는 것으로 여겨진다.
[0045] 그러나, 대부분의 극성 용매들의 구조로 인해, 극성 용매가 세정 유체(즉, 수용액)을 대체한 후, 초임계 CO2에서 낮은 용해도를 갖는 유기 극성 용매와 같은 극성 용매는, 이후의 프로세스 단계들 동안 극성 용매가 기판의 표면으로부터 쉽게 제거될 수 있도록 보장하기 위해, 무극성 용매로 교체될(replaced) 필요가 있다. IPA와 같은 무극성 용매가 유기 극성 용매를 대체한 후, 초임계 유체들(예를 들면, 초임계 CO2)에 의한 무극성 용매의 후속 대체가 수행될 수 있다. 바람직한 실시예에서, 유기 극성 용매들은 N-메틸-2-피롤리돈 또는 N-메틸포름아미드 또는 이들의 조합들을 포함한다. 따라서, 일 예에서, 용매 교환 프로세스는, 세정 용액을 유기 극성 용매로 대체한 다음, 유기 극성 용매를 무극성 유기 용매로 대체하는 것을 포함할 수 있다.
[0046] 다음으로, 이후의 초임계 세정 및 건조 프로세스들을 위해 기판을 준비하기 위하여, 상 전이 프로세스(phase transition process)가 수행될 수 있다. 상 변화 프로세스(phase change process)는 2가지 방식들 중 하나 또는 그 조합으로 진행될 수 있다. 일 실시예에서, 기판의 표면 상의 잔류 재료를, 이를 테면 무극성 용매와 같은 용매로 대체하는 것을 수행한 후, 이러한 용매는, 이를 테면 순수한(pure) 초임계 CO2 또는 C3H8과 같은 초임계 유체에 의해 직접적으로 대체될 수 있다. 이러한 실시예에서, 순수한 초임계 유체는 초임계 유체 챔버(203)에 제공될 수 있다. 따라서, 초임계 유체 챔버(203) 내에서 유지되는 온도 및 압력은 유체를 초임계 상태로 유지하도록 조정될 수 있다. 다른 실시예에서, 전술된 대체 프로세스에서 사용된 화학물질들 또는 화학 혼합물들(chemical mixtures)이, 이를 테면 액체 CO2와 같은 액체이고, 초임계 상으로 전이될 수 있다면, 그러한 화학물질들 또는 화학 혼합물들을 초임계 유체로 변환시키기 위해 상 전이 프로세스가 이용될 수 있다. 예를 들면, 90 퍼센트 또는 그 초과의 액체 IPA는, 약 5-8℃ 및 약 50 bar에서의 액체 CO2에 의해 대체될 수 있다. 일 실시예에서, 전술한 약 5-8℃ 및 약 50 bar의 액체 CO2는 초임계 유체 챔버(203)에서 약 40℃ 및 약 95 bar의 압력으로 가열될 수 있다. 초임계 상의 생성의 결과로서, 액체-기체 표면 장력과 액체-고체 표면 장력 간의 차이로 인한 모세관 압력이 제거된다. 모세관 압력의 제거는 세정 표면들의 상호작용(interaction) 및 구부러짐을 방지하며, 이는 고 종횡비 피쳐들에서 스틱션이 발생할 가능성을 감소시킬 수 있다.
[0047] 일 실시예에서, 초임계 플러싱 프로세스(supercritical flushing process)에서, 전술된 것과 동일한 타입들의 화학물질들 또는 화학 혼합물들을 포함하는 초임계 유체가 형성되어 초임계 유체 챔버(203)에 도입됨으로써, 기판의 표면 상에 남아있는 입자들 및 잔류물들을 세정하고 세척할(flush away) 수 있다. 일 실시예에서, CO2가 초임계 유체를 형성하는 데에 이용될 수 있다. 초임계 CO2는 초임계 유체 챔버(203) 외부에서 형성된 다음 초임계 유체 챔버(203)에 도입될 수 있다. 일 실시예에서, 초임계점 위의 포인트(point), 이를 테면 약 40℃ 및 약 95 bar에서의 초임계 CO2는, 초임계 유체 챔버(203) 외부에서 형성된 다음 초임계 유체 챔버(203)에 도입될 수 있다.
[0048] 다른 실시예에서, 액체 CO2가 챔버에 제공될 수 있으며, 이후, 챔버 내에서의 온도 및 압력을 증가시킴으로써 초임계 CO2로 변환될 수 있다. 이러한 실시예에서, 액체 CO2는 제 1 레이트로 초임계 유체 챔버(203)에 제공되며, 여기서, 제 1 레이트는 기판의 표면 상에 존재하는 용매를 디스터빙(disturbing)하는 것을 피하도록 구성된다. 요구되는 양의 액체 CO2가 초임계 유체 챔버(203)에 제공된 후, 초임계 유체 챔버(203)가 액체 CO2로 실질적으로 채워질 때 까지, 더 많은 액체 CO2가 제 1 레이트보다 더 큰 제 2 레이트로 초임계 유체 챔버(203)에 제공된다. 제 2 레이트는 기판 상의 용매와 액체 CO2 사이의 상호작용을 촉진하기 위해 난류(turbulent flow)을 생성하도록 구성된다. 초임계 CO2 유체에 비해, 용매 재료와 액체 CO2의 상호작용을 야기하는 것은, 기판의 표면으로부터의 용매 재료의 대체 및 제거의 프로세스를 크게 개선하는 것으로 여겨진다. 챔버 벽(들) 내의 각을 이룬(angled) 통로들 또는 샤워헤드와 같은 다양한 장치들이, 제 2 레이트에서의 증가된 유동과 함께 이용되어, 초임계 유체 챔버(203) 내에 배치된 기판의 표면들과 액체 CO2의 상호작용 및 난류(turbulence)를 향상시킬 수 있다.
[0049] 실시예들 둘 모두에서, 초임계 CO2는 기체와 액체 사이의 중간적 특성들을 나타내고, 초임계 CO2의 기체형(gas-like) 전송 거동들(transport behaviors)로 인해, 복잡한 나노-기하형상들 내로 잘 침투하는 능력을 갖고, 이것은, 유동하는 액체들과 통상적으로 연관된 초임계 CO2의 탁월한 물질-이동(mass-transfer) 능력들로 인해, 입자 및 잔류물 제거에 있어서 효과적일 수 있다. 일 실시예에서, 초임계 플러싱 프로세스는 약 30초 내지 약 60초 동안 진행될 수 있다.
[0050] 초임계 플러싱 프로세스는 몇몇 상이한 방식들로 달성될 수 있다. 일 실시예에서, 초임계 유체는 챔버(203) 내의 기판 위의 용적 내에 도입될 수 있고, 침체되게(stagnate) 허용될 수 있다. 일 예에서, 기판의 표면 위쪽의 순수한 초임계 CO2는, 기판 위쪽의 용적(여기에서는, 순수한 초임계 CO2가 존재함)과 기판의 표면(여기에서는, 용매들, 잔류물들 및 입자들이 존재할 수 있음) 사이에 농도 구배를 생성한다. 용매들, 잔류물들 및 입자들은, 열역학적 평형상태로 존재하려는 유체들의 성향(desire)으로 인해, 기판의 표면 상의 고 종횡비 피쳐들로부터 기판 상의 CO2의 용적으로 확산(diffusion)에 의해 당겨지는(drawn) 것으로 여겨진다.
[0051] 다른 실시예에서, 초임계 플러싱은, 초임계 유체를 챔버(203)를 통해 기계적으로 유동시킴으로써 수행될 수 있다. 초임계 유체는 충분한 유동으로 기판의 표면에 걸쳐 전달되어, 유동하는 초임계 유체가 기판의 표면 상의 고 종횡비 피쳐들에 침투하게 하고, 고 종횡비 피쳐들에 존재할 수 있는, 용매들, 잔류물들 및 입자들을 세척하게 할 수 있게 한다. 일부 실시예들에서, 기계적인 유동은 또한, 플러싱 프로세스를 개선하기 위해, 챔버(203)에 존재하는 침체 유체(stagnant fluid)(예를 들어, CO2)의 기간들과 협력하여 작용할 수 있다. 이 예에서, 기판 표면에 걸친 기계적 유동의 기간들 및 그 후 유동이 침체되는 기간들의 시퀀스들은, 초임계 플러싱 프로세스를 개선시키고, 회수될(reclaimed) 또는 배기될 필요가 있을 수 있는 초임계 CO2와 같은 초임계 유체의 양을 감소시키기 위해 이용될 수 있다.
[0052] 다음으로, 기판은 초임계 건조 프로세스를 받을 수 있다. 이 프로세스는, 액체 상태에 진입함이 없이, 화학물질들 또는 화학 혼합물들의 초임계 상태로부터 기체 상태로의 상 전이를 보장하기 위해, 챔버(203) 내의 온도 및 압력을 조정함으로써 제어될 수 있다. 도 6은, 상대 온도들 및 압력들에서 가능한 CO2 상들을 도시한다. 프로세스는, 초임계 유체(파선을 넘은 영역)가, 액체로 변하지 않으면서, 예를 들어, 도 6에 도시된 바와 같이 액체 상과 기체 상을 분리시키는 라인을 넘어가지(crossing over) 않으면서, 기체 상태로 변하는 것을 보장한다. 초임계 건조 프로세스 동안 고 종횡비 트렌치들로부터 방출되는(expelled) 유체들은, 초임계 유체의 특성들로 인해 무시할 정도의 표면 장력을 나타낼 수 있으며, 이는 라인 스틱션의 감소 또는 제거를 초래한다. 일 실시예에서, 약 40 ℃ 및 약 95 bar에서의 초임계 CO2는, 압력이 약 21 bar로 떨어질 때까지 약 40 ℃ 에서 등온 감압을 겪는다. 일 실시예에서, 초임계 유체 챔버(203)에 남아있는 가스들은 챔버로부터 배기 영역으로 배출될 수 있다.
[0053] 그 다음, 기판은 로봇(208)에 의해 초임계 유체 챔버(203)로부터 포스트 프로세싱 챔버(204)로 이송될 수 있다. 기판은, 드라잉 스틱션 제거 프로세스(drying stiction removal process)를 완료하기 위한 최종 처리를 위해 낮은 전력에서 기판을 플라즈마에 노출시킴으로써, 포스트 프로세싱 챔버(204)에서 포스트 프로세싱될 수 있다. 포스트 프로세싱은, 임의의 붕괴된 트렌치들을 언스틱(un-stick)할 수 있고 그리고/또는 기판의 표면들을 패시베이팅할 수 있다. 일 실시예에서, 기판의 표면 상에 플라즈마를 형성하기 위해 약 10초 동안 약 75W의 RF 에너지에 챔버의 가스를 노출시킴으로써, 산소(O2) 또는 할로겐 가스 플라즈마가 기판에 적용될(applied) 수 있다. 다른 실시예에서, 포스트 프로세싱 챔버(204)에서 형성되는 RF 플라즈마는 C2F6 또는 CF4와 같은 플루오로카본을 포함할 수 있다. 기판의 포스트 프로세싱은, 이전의 세정 프로세스들에서 이용되었던 화학물질들 또는 화학 혼합물들에 의해 생성된 국부적 불순물들에 의해 유발되는 사소한(minor) 또는 일시적인(temporary) 스틱션들을 (존재하는 경우) 제거할(release) 수 있다. 포스트 프로세싱은, 더 안정되고 더 낮은 에너지 상태를 달성하기 위해 서로 결합하는, 원자 궤도 중첩, 반데르발스 힘, 또는 높은 에너지 상태들에서 인접한 디바이스 피쳐들의 댕글링 결합들의 존재와 같은, 디바이스 피쳐들의 세정된 표면들 사이의 원자적 상호작용들에 의해 유발되는 사소한 또는 일시적인 스틱션들을 추가로 제거할 수 있다.
[0054] 포스트 프로세싱은, 초임계 건조 프로세스 직후에 구현되는 경우에 가장 효과적일 수 있는데, 왜냐하면 상기 설명된, 불순물들 또는 원자 상호작용들이 "세팅(set)"되거나 보다 영구적으로 되는 시간을 갖지 않기 때문이다. 초임계 건조 단계 직후에 구현되는 포스트 프로세싱은, 원자들이, 안정적이고 더 낮은 에너지 상태들에서 본딩하기 위해 전자들을 전송하거나 공유하기 전에, 댕글링 본드들과 같은 세정 표면 원자 상호작용들이 더 낮은 에너지 상태들로 재배열하는 것 조차도 방지할 수 있다. 다른 실시예에서, 기판 상에 남아있는 임의의 유기 오염물들은 바이어싱된 플라즈마로의 노출을 통해 제거될 수 있다.
[0055] 도 3a는 일 실시예에 따른 기판 프로세싱 시퀀스를 예시한다. 프로세싱 장치(300)는, 도 2a-2b와 관련하여 이전에 설명된 것들과 유사한, 습식 세정 챔버(301), 용매 교환 챔버(302), 초임계 유체 챔버(303) 및 플라즈마 프로세싱 챔버(304)와 같은 다수의 챔버들을 포함한다. 기판 프로세싱 시퀀스는 도 8의 방법을 참조하여 후속으로 설명되는 바와 같이 프로세싱 장치(300)를 통해 진행된다. 도 3의 프로세싱 장치는 팩토리 인터페이스(310)에 배치된 건식 로봇(316)을 더 포함할 수 있다. 건식 로봇(316)은 프로세싱 장치(300)의 이송 챔버(306)에 배치된 습식 로봇(308)과 유사할 수 있다. 건식 로봇(316)은, 기판들을 카세트(들)로 이송하고, 카세트(들)로부터 프로세싱 장치(300)로 이송하도록 구성될 수 있다. 일 실시예에서, 건식 로봇(316)은, 기판들을 카세트(들)(312)로부터 팩토리 인터페이스(310)를 통해 습식 세정 챔버(301)로 이송하도록 구성될 수 있다. 건식 로봇(316)의 엔드 이펙터는, 카세트로부터 세정되지 않은 기판을 꺼내고, 그러한 세정되지 않은 기판을 습식 세정 챔버(301)로 이송할 수 있고, 여기서, 건식 로봇(316)은 세정되지 않은 기판을 습식 세정 챔버(301)의 내부로 전달할 수 있다. 건식 로봇(316)은 또한, 기판들을 초임계 유체 챔버(303)로부터 플라즈마 프로세싱 챔버(304)로 그리고 플라즈마 프로세싱 챔버(304)로부터 팩토리 인터페이스(310)를 통해 카세트(들)(312)로 이송하도록 구성될 수 있다. 건식 로봇(316)의 엔드 이펙터는, 세정된(clean) 기판을 플라즈마 프로세싱 챔버(304)의 내부로부터 제거하고, 그러한 세정된 기판을 카세트(312)로 전달할 수 있다. 본 발명을 여전히 실행하면서, 프로세싱 장치(300) 내의 챔버들의 다수의 배열들이 가능할 수 있다는 것이 인정되어야 한다.
[0056] 도 3b는 다른 실시예에 따른 기판 프로세스 흐름을 예시한다. 프로세싱 장치(300)는 도 3a의 프로세싱 장치와 유사할 수 있다. 일 실시예에서, 습식 로봇(308)은, 다양한 프로세싱 챔버들 사이에서 기판들을 이송할 수 있는 이송 챔버(306)에 배치된 복수의 엔드 이펙터들(320a, 320b 및 322)을 포함할 수 있다.
[0057] 일 실시예에서, 엔드 이펙터들(320a 및 320b)은 습식 프로세싱 이송 단계들에 전용될 수 있다. 예를 들면, 기판은 습식 세정 챔버(301) 또는 용매 교환 챔버(302)에서 프로세싱될 수 있으며, 그리고 기판의 표면 상에 얇은 액체 필름이 남아서, 이송 동안에 기판의 표면을 보호하고 그리고 기판이 건조되는 것을 막음으로써, 하나의 기판으로부터 다른 기판으로의 큐 시간에서의 임의의 변동을 감소시킬 수 있다. 습식 프로세싱 엔드 이펙터들(320a 및 320b)은, 후속 프로세싱 단계들 동안에 기판의 오염을 막기 위해, 단지 2개의 챔버들 사이에서만 기판들을 각각 이송하는 데에 전용될 수 있다. 습식 프로세싱 엔드 이펙터(320a)는 기판들을 습식 세정 챔버(301)로부터 용매 교환 챔버(302)로 이송할 수 있다. 습식 프로세싱 엔드 이펙터(320a)는, 습식 세정 챔버(301) ― 여기서, 습식 프로세싱 엔드 이펙터(320a)는 습식 세정 챔버(301)로부터 기판을 꺼낼 수 있음 ― 와 용매 교환 챔버(302) ― 여기서, 습식 프로세싱 엔드 이펙터(320a)는 기판을 용매 교환 챔버(302) 내로 삽입함 ― 사이의 경로에서 이동한다. 습식 프로세싱 엔드 이펙터(320a)는 동일한 경로를 따라 복귀하고, 습식 세정 챔버(301)에서 프로세싱된 각각의 새로운 기판에 대해 프로세스를 반복할 수 있다.
[0058] 일 실시예에서, 습식 프로세싱 엔드 이펙터(320b)는 습식 프로세싱 엔드 이펙터(320a)와 유사하다. 그러나, 습식 프로세싱 엔드 이펙터(320b)는 용매 교환 챔버(302)로부터 초임계 유체 챔버(303)로 기판들을 이송할 수 있다. 습식 프로세싱 엔드 이펙터(320b)는 용매 교환 챔버(302)와 초임계 유체 챔버(303) 사이의 경로에서 이동할 수 있다. 동작 동안, 습식 프로세싱 엔드 이펙터(320b)는 용매 교환 챔버(302)로부터 기판을 꺼내고, 기판을 초임계 유체 챔버(303)로 이송하고, 기판을 초임계 유체 챔버(303) 내에 삽입한다. 일 구성에서, 습식 프로세싱 엔드 이펙터(320b)는 동일한 경로를 따라 복귀하고, 용매 교환 챔버(302)에서 프로세싱된 각각의 새로운 기판에 대해 프로세스를 반복할 수 있다.
[0059] 다른 실시예에서, 습식 로봇은 추가로 건식 프로세싱 엔드 이펙터(322)를 포함한다. 건식 프로세싱 엔드 이펙터(322)는 초임계 유체 챔버(303)와 플라즈마 챔버(304) 간의 기판 이송에 전용될 수 있다. 건식 프로세싱 엔드 이펙터(322)는 초임계 유체 챔버(303)와 플라즈마 챔버(304) 간의 경로에서 이동할 수 있다. 동작 동안, 건식 프로세싱 엔드 이펙터(322)는 초임계 유체 챔버(303)로부터 기판을 꺼내고, 기판을 플라즈마 챔버(304)로 이송하며, 여기서, 건식 프로세싱 엔드 이펙터(322)는 기판을 플라즈마 챔버(304) 내로 삽입한다. 일 구성에서, 건식 프로세싱 엔드 이펙터(322)는 동일한 경로를 따라 복귀하고, 초임계 유체 챔버(303)에서 프로세싱된 각각의 새로운 기판에 대해 프로세스를 반복할 수 있다.
[0060] 도 4a는 일 실시예에 따른 습식 프로세싱 챔버의 단면도를 예시한다. 도 4a에 도시된 습식 프로세싱 챔버는 습식 세정 챔버(201)로서 그리고/또는 용매 교환 챔버(202)로서 활용될 수 있다는 것이 고려된다. 일 실시예에서, 습식 프로세싱 챔버(400)는 단일 기판 프로세싱 챔버일 수 있다. 일 실시예에서, 기판(406)의 바닥측(기판 바닥 표면(414))은 세정, 린싱(rinsing) 및 건조 용액들(412)에 노출될 수 있는 반면, 기판(406)의 상단측(기판 상단 표면(416))은 어떠한 용액들에도 노출되지 않을 수 있다. (기판 비-디바이스측(substrate non-device side)일 수 있는) 기판 바닥 표면(414)은 용액들(412)에 노출되도록 아래를 향할(facing down) 수 있다. 다른 실시예에서, 기판 상단 표면(416) 및 기판 바닥 표면(414) 둘 모두가 하나 또는 그 초과의 세정 또는 용매 교환 용액들에 노출될 수 있다.
[0061] 일 실시예에서, 챔버(400)는 회전 디바이스(449)의 축을 따라 병진운동하는(translate) 회전가능한 기판 홀딩 브래킷(bracket)(448)을 포함한다. 회전 디바이스(449)는 추가로, 브래킷(448)을 회전시킬 수 있는 전자 모터(미도시)에 커플링될 수 있다. 챔버(400)는 또한 액세스 도어(미도시)를 포함하며, 이러한 액세스 도어를 통해, 기판(406)을 홀딩하는 로봇 암(미도시)이 브래킷(448)에 기판을 배치하기 위해 들어갈 수 있다. 일 실시예에서, 기판(406)이 브래킷(448) 내에 위치될 때, 이러한 기판(406)은 브래킷(448)에 포함되는 지지 클립들(support clips)(410) 및 수직 지지 포스트(vertical support post) 상에 놓일 수 있다. 브래킷(448)은, 지지 포스트들과 함께, 기판을 바람직한 위치로 상승 또는 하강시킬 수 있다.
[0062] 일 실시예에서, 세정 사이클 동안 아래로부터 용액들이 분사(dispense)되는 동안, 브래킷(448)은 기판(406)을 회전시킬 수 있다. 다른 실시예에서, 세정 사이클과 같은 프로세싱 사이클 동안 기판(406)의 상단 표면 및/또는 바닥 표면 상의 다른 노즐로부터 용액들(412)이 분사되는 동안, 브래킷(448)은 기판(406)을 회전시킬 수 있다. 다른 실시예에서, 브래킷(448)은 세정 동안 수평면에서 기판(406)을 회전시킬 수 있다.
[0063] 일 실시예에서, 챔버(400)는 또한, 관통 홀(피드 포트)(442)에 연결되는 튜브(428)를 포함한다. 세정 사이클 동안, 세정 유체들 또는 화학물질들이 세정 화학물질 소스(cleaning chemical source)(428a)로부터 튜브(428)를 통해 도입될 수 있다. 기판(406) 회전(스핀)의 결과로서, 용액(412)은 기판 바닥 표면(414)에 적용될(applied) 수 있다. 기판(406) 위에 위치되는 노즐이, 유체 소스(416a)로부터 기판(406)의 상단 표면(416)에 용액들을 분사할 수 있다. 세정되어 제거될(cleaned off) 필요가 있는, 기판(406) 상에 존재하는 잔류물들 및/또는 액체들은, 기판(406)이 고속으로 회전될 때에 제거된다.
[0064] 다른 실시예에서, 챔버(400)는, HEPA(High Efficiency Particulate Arresting) 필터 또는 ULPA(Ultra Low Penetration Air) 필터와 같은 필터(411)를 추가로 포함한다. 중력 및 필터(411)로부터의 공기(423)의 하향 유동은 기판(406)이 수직 지지 포스트들에 대해 위치된 채로 유지되도록 작용할 수 있다.
[0065] 다른 실시예에서, 챔버(400)는 또한, 용액이 기판 상단 표면(416)에 전달될 수 있게 하는 다른 노즐들(미도시)을 포함할 수 있다. 따라서, 제 1 그룹의 용액들이 기판 바닥 표면(414)에 전달될 수 있는 한편, 상이한 소스로부터의 용액들(제 2 그룹의 용액들)이 기판 상단 표면(416)에 전달될 수 있다. 기판의 양 표면(either surface)에 적용될 수 있는 용액들은, 물 또는 다른 세정 용액들, 이를 테면, 아세톤, 이소프로필 알코올, 에탄올, 메탄올을 포함할 수 있는 용매들을 포함할 수 있으며, 이러한 용매들은 유기 극성 용매들, 이를 테면, 포름산, N-메틸-2- 피롤리돈, N-메틸포름아미드, 1,3-디메틸-2-이미다졸리디논, 디메틸 아세트아미드, 및 디메틸 설폭사이드, 또는 이들의 시퀀스들(sequences), 조합들 및 혼합물들을 더 포함할 수 있다. 또한, 세 개 또는 그보다 적은 탄소 원자들을 포함하는 다른 용매들이 다양한 실시예들에서 활용될 수 있다. 활용되는 용매들은, CO2에서 혼화성일 수 있으며 그리고/또는 적어도 액체 CO2 또는 초임계 CO2에 의해 접촉되는 경우 용매화될(solvated) 수 있다.
[0066] 다른 실시예에서, 챔버는 각각의 습식 세정 사이클 이후 기판(406)을 스핀 건조(spin dry)시키는 데에 이용될 수 있다. 예를 들어, 습식 세정 사이클 이후, 회전 디바이스(449)는 브래킷(448)을 계속해서 회전시키며, 그에 의해 기판(406)을 스피닝(spinning)한다. 기판(406)의 스피닝은, 기판(406)을 세정하기 위해 사용되는 액체들(또는 시약들)을 제거한다. 다른 실시예에서, 습식 프로세싱 챔버(400)는, 유기 극성 용매 및/또는 무극성 용매와 같은 용매를 튜브(428)를 통해 도입시킬 수 있는 용매 교환 챔버로서 이용되어, 기판(406) 상에 형성된 피쳐들 내에 트랩되는 세정 유체들 내에서의 용매 교환을 촉진할 수 있다.
[0067] 도 4b는 본원에서 설명되는 특정 실시예들에 따른 용매 여과 시스템(450)의 개략적인 예시이다. 예를 들어, 용매 여과 시스템(450)은 용매 교환 프로세스 동안 습식 프로세싱 챔버(400)와 결합하여 사용될 수 있다. 용매 여과 시스템(450)은, 유체 소스(460), 유체 드레인(461), 제 1 탱크(462), 제 2 탱크(464), 제 1 펌프(466), 제 1 필터(468), 제 2 펌프(467) 및 제 2 필터(469)를 포함한다. 여과 시스템(450)은 제 1 재순환 경로(470), 제 2 재순환 경로(472), 및 제 3 재순환 경로(474)를 포함할 수 있으며, 이들은 용매 여과 시스템(450)을 통한 다양한 유체 유동 경로들을 예시한다. 제 2 재순환 경로(472)를 따라 제 1 탱크(462)와 제 2 탱크(464) 사이에 결합되는 것으로 예시되었지만, 습식 프로세싱 챔버(400)(도 4a)는 다양한 다른 위치들에서 시스템(450)에 커플링될 수 있는 것으로 고려된다.
[0068] 시스템(450) 내의 유체는, 제 1 탱크(462) 또는 제 2 탱크(464) 중 어느 하나로부터, 제 1 펌프(466) 및 제 1 필터(468)를 통과하여, 각각 제 2 탱크(464) 또는 제 1 탱크(462)로 유동한다. 예를 들어, 챔버(400) 내에 존재하는 유체는, 경로(475b)를 따라 제 1 밸브(483)를 통과하고 제 2 재순환 경로(472)를 통과하여 제 2 탱크(464)로 유동한다. 제 2 탱크(464) 내의 유체는 경로(471a)를 따라 제 2 밸브(481)를 통과하고 제 1 재순환 경로(470)를 경유하여 유동한다. 제 1 재순환 경로(470)를 따라 유동하는 유체는, 제 1 펌프(466) 및 제 1 필터(468)을 통과하고 경로(471b)를 따라 제 3 밸브(482)를 통과하여 제 1 탱크(462)로 유동한다. 이후, 유체는 제 1 탱크(462)로부터 제 3 재순환 경로(474)를 경유하여 제 2 펌프(467) 및 제 2 필터(469)를 통과하여 제 4 밸브(489)로 유동한다. 제 4 밸브(489)는 유체를 경로(477a)를 따라 제 2 탱크(464)로 지향시키거나, 또는 경로(473b)를 따라 제 2 밸브(481)로 지향시킬 수 있다. 유체 내의 오염물 카운트(contaminant count)가 바람직한 레벨에 도달할 때까지, 유체는, 제 2 탱크(464)를 선택적으로 포함하여, 제 1 재순환 경로(470) 및 제 3 재순환 경로(474)를 따라 재순환될 수 있다. 요구되는 경우, 유체는 챔버(400)에 전달될 수 있는 바, 제 1 재순환 경로(470)를 따라 제 1 펌프(466) 및 제 1 필터(468)를 통과하고, 경로(473c)를 따라 제 3 밸브(482)를 통과하고, 경로(475a)를 따라 제 1 밸브(483) 및 챔버(400)에 전달될 수 있다. 요구되는 경우, 유체는, 경로(473c)를 따라 제 1 밸브(483)을 통해 유동함으로써 챔버(400)를 바이패스하고, 제 2 탱크(464)로 복귀할 수 있다.
[0069] 따라서, 시스템(450)에서 이용된 유체는 습식 프로세싱 챔버(400)에서 다시 활용되기 전에 적어도 2회 또는 그 초과의 횟수로 재순환된다. 제 2 탱크(464)는 일반적으로, 오염된 유체를 수용할 것이며, 그리고 제 1 탱크는 항상, 적어도 한번은 필터링된 유체 만을 포함한다. 다수의 탱크들, 펌프들, 및 필터들을 포함하는 다수의 재순환 경로들을 갖는 시스템(450)을 사용하는 것은, 유체로부터 오염물들을 제거함에 있어서 지수적 감쇠(exponential decay)를 일반적으로 나타내는, 단일 탱크, 펌프, 및 필터 시스템들과 비교하여, 유체 내의 오염물들의 실질적으로 선형적인 감쇠(linear decay)를 제공하는 것으로 여겨진다. 요구되는 경우, 새로운 유체가 소스(460)로부터 시스템(450)에 제공될 수 있거나 또는 유체 드레인(461)에 의해 시스템(450)으로부터 제거될 수 있다.
[0070] 몇몇 구성들에서, 유체 "세정" 프로세스는 시스템(450)에 포함된 유체에 대해 수행될 수 있다. 일 예에서, 제 2 탱크(464)의 내용물(contents)을 경로들(471a 및 471b)을 따라 제 1 탱크(462)로 전달하고 그리고 그 후, 경로들(473b 및 473c)을 따라 제 2 탱크(464)로 역으로 전달함으로써, 예컨대, 내부에 포함된 입자 농도를 감소시켜, 제 2 탱크(464) 내의 유체가 "세정"될 수 있다. 이러한 프로세스에서, 제 2 탱크(464)로부터 전달되는 유체는, 그 유체가 제 1 탱크(462)에 도달하기 전에 그 유체가 필터(468)를 통과할 때에 한번(once) 필터링되며, 그 후, 그 유체가 제 2 탱크(464)에 역으로 전달되기 전에 두번째로(a second time) 그 유체가 필터(468)를 통해 전달될 때에 두 번째로 필터링된다(예를 들어, 선택적인 펌프(467) 및 필터(469)는 시스템(450)에 존재하지 않음). 당업자라면, 유체에서 원하는 입자 농도에 도달할 때까지, 이러한 프로세스 시퀀스가 한번 또는 그 초과의 횟수들로 완료될 수 있음을 주목할 것이다. 필터(469)가 용매 여과 시스템(450)에서 사용되는 구성들에서, 본래의 유체가 경로들(471a 및 471b)을 따라 제 2 탱크(464)로부터 제 1 탱크(462)로 전달되고(유체가 필터(468)를 통과할 때에 그 유체를 한번 필터링함), 그 후, 필터링된 유체가 경로들(473b 및 473c)을 따라 다시 제 2 탱크(464)로의 도중에 필터들(469 및 468)을 각각 통과할 때 두번째 및 세번째로 필터링되는 경우, 유체는 프로세스 시퀀스에서 3회 필터링될 수 있다. 대안적으로, 몇몇 구성들에서, 본래의 유체가 경로들(471a 및 471b)을 따라 제 2 탱크(464)로부터 제 1 탱크(462)로 전달되고(이는 유체를 한번 필터링함), 그 후, 그 유체가 경로(477a)를 따라 필터(469)를 통과하여 제 2 탱크(464)에 전달될 때에 두번째로 필터링되는 경우, 유체는 두번 필터링될 수 있다. 세정 프로세스가 수행된 이후, "세정된" 유체는, 상기 논의된 바와 같이, 경로(475a)를 사용하여 프로세싱 챔버(400)에 전달될 수 있다.
[0071] 도 5a는 일 실시예에 따른 초임계 유체 챔버(500)의 단면 개략도를 도시한다. 초임계 유체 챔버(500) 내의 가스는, 초임계 유체가 되기 위해 특정의 적절한 조건들(예를 들어, 압력 및 온도) 하에서 초임계 상태로 전이될 수 있는 물질(substance)일 수 있다. 초임계 유체 챔버(500)에서 사용되는 초임계 유체들은, 초임계 유체가 보이드들, 기공들, 갭들, 틈들(crevices), 또는 개구들 내로 신속하게 침투하여, 임의의 액체들, 잔류물들, 또는 오염물들을 완전히 제거 또는 용해시킬 수 있게 하는, 액체형(liquid-like) 용매화 특성들(solvating properties) 및 기체형(gas-like) 확산 및 점도를 보유하는 물질일 수 있다. 그러한 가스의 일 예는 CO2이다. 그러한 가스의 다른 예는 C3H8이다. 다른 상업적으로 이용가능한 가스들이 초임계 유체를 형성하는데 사용될 수 있지만, 속성상 CO2의 비활성, 무독성, 불연성(non-flammable) 특징들 및 그의 풍부함으로 인해, CO2가 가장 일반적으로 사용된다. CO2를 초임계 유체 CO2로 변환하기 위한 적절한 조건들은, 도 6의 CO2 상 변화도에 도시된 바와 같이, 약 1200 psi 또는 그 초과의 압력 및 약 31℃ 또는 그 초과의 온도를 포함한다. C3H8을 초임계 유체 C3H8로 변환하기 위한 적절한 조건들은, 약 620 psi (lbs/in2) 또는 그 초과의 압력 및 약 100℃ 또는 그 초과의 온도를 포함한다.
[0072] 초임계 유체 챔버(500)는, 초임계 유체 챔버(500)의 프로세싱 용적(505) 내에 배치된 기판(W)에 초임계 유체를 노출시키도록 구성될 수 있다. 초임계 유체는 초임계 유체 챔버(500)에서 형성될 수 있거나, 또는 초임계 유체 챔버(500) 외부에서 형성되어 초임계 유체 챔버(500) 내로 전달될 수 있다. 일 구성에서, 챔버(500)는 이송 챔버(206) 상에 배치되고 이송 챔버(206)에 커플링될 수 있다. 챔버(500)는, 프로세싱 용적(505)을 정의하는 챔버 본체(501)를 포함한다. 챔버(500)는, 챔버(500)가 가스를 그의 초임계 상태로 유지하는데 충분한 동작 온도 및 압력을 견딜 수 있게 하는, 스테인리스 스틸 또는 다른 적절한 구조 재료들로 제조될 수 있다. 일 실시예에서, 프로세싱 용적(505)에 인접하게 배치되고 그 용적을 정의하는 챔버 본체(501)의 표면들(504)은, 낮은 표면 거칠기를 갖는 표면(예를 들어, 평활한 표면)을 형성하기 위해 전기화학적으로 폴리싱될 수 있다. 다른 실시예에서, 표면들(504)은, Teflon
Figure 112015059093129-pct00001
코팅 또는 크롬과 같은 재료로 코팅될 수 있다.
[0073] 기판 지지부(510)가 프로세싱 용적(505) 내에 배치되고 챔버 본체(501)에 커플링될 수 있다. 기판 지지부(510)는, 반도체 기판(W)과 같은 기판(W)을 수용하도록 구성된 지지 표면(510a)을 더 포함한다. 지지 표면(510a)은 또한, 기판(W)이 지지 표면(510a) 상에 배치된 이후 그 기판(W)을 능동적으로(actively) 구속하도록(restrain) 구성될 수 있다. 예를 들어, 기판 지지부(510)는, 기판(W)이 프로세싱 동안 지지 표면(510a) 상에서 이동하는 것을 방지하기 위해, 정전 또는 진공 척킹, 에지 링, 또는 유지 핀들 등을 이용할 수 있다. 다른 실시예에서, 기판 지지부(510)는 복수의 지지 핀들(미도시)을 포함할 수 있다. 일 실시예에서, 기판 지지부(510)는 프로세싱 동안 반도체 기판(W)을 회전시키도록 구성될 수 있다.
[0074] 일 실시예에서, 프로세싱 용적(505)은 인클로저(enclosure)를 채우는 데에 필요한 초임계 유체의 양을 감소시키기 위해 작은 용적(small volume)을 포함한다. 챔버(500)는 슬릿 밸브 도어(502)를 더 포함하고, 슬릿 밸브 도어(502)는, 그 슬릿 밸브 도어(502)에 커플링된 하나 또는 그 초과의 O-링들(540)을 포함한다. O-링들(540)은 탄성 중합체 재료, 이를 테면, 고무 또는 실리콘으로 형성될 수 있다. 슬릿 밸브 개구(503)는, 프로세싱 용적(505)으로부터 기판 이송 경로(545)를 따라서 기판들을 이송 및 수용하기 위해 이송 챔버(206)에 배치된 습식 로봇(208)에 대한 액세스를 제공한다.
[0075] 용매 교환 챔버(202)에서의 프로세싱 이후 기판(W)에 더 많은 용매를 추가하는 것은, 초임계 플러싱 및 건조 프로세스 동안 "건식 스팟들(dry spots)"이 형성되는 것을 막을 것이고, 그리고 추가로, 피쳐들 사이에서의 스틱션의 감소 및 입자 제거를 촉진할 것으로 여겨진다. 용매 분사(solvent dispensing) 장치(592)가 슬릿 밸브 개구(503) 가까이에 배치될 수 있다. 용매 분사 장치(592), 이를 테면 스프레이 바(spray bar)는, 기판(W)이 슬릿 밸브 개구(503)를 통해 챔버(500) 내로 들어가기 전에 그 기판(W)에 액체를 전달하도록 구성된다. 용매 분사 장치(592)는 챔버 본체(501) 또는 이송 챔버(206)에 커플링될 수 있다. 용매 소스(590)가 용매 분사 장치(592)에 커플링되며, 그리고 용매 분사 장치(592)를 통해 기판(W)의 상단 표면에 전달하기 위한 액체 용매, 이를 테면 IPA를 제공하도록 구성된다. 용매 분사 장치(592)는 소량의 용매를 기판(W)에 전달하도록 구성되고, 따라서 용매 층이 기판(W)의 상단 표면을 완전히 덮는다. 다른 실시예에서, 기판이 챔버(500)의 내부에 있게 된 이후 추가적인 용매가 기판(W)에 제공될 수 있음이 고려된다.
[0076] 챔버(500)는, 초임계 CO2의 형성 동안 및/또는 챔버(500)의 감압 동안 챔버(500)를 가열하도록 구성된 하나 또는 그 초과의 가열 엘리먼트들(550)을 더 포함할 수 있다. 가열 엘리먼트들(550)은, 챔버 본체(501)의 프로세싱 용적(505) 가까이에 또는 프로세싱 용적(505) 내부에 배치될 수 있다. 가열 엘리먼트들(550)은, 저항성 가열 엘리먼트들, 열 제어 유체를 수용하도록 구성된 유체 채널들, 및/또는 다른 유사한 가열 디바이스들을 포함할 수 있다. 가열 엘리먼트들(550)은 프로세싱 용적(505) 내의 유체 또는 가스를 원하는 온도로 가열할 수 있다. 다른 실시예에서, 챔버(500)는, 챔버(500)를 세정하기 위해 음향 또는 음파들을 생성하기 위해, 챔버 본체(501) 내에 내장되는, 또는 프로세싱 용적(505)에서 챔버 본체(501)의 표면들(504)에 커플링되는, 하나 또는 그 초과의 음향 또는 음파 트랜스듀서들(552), 이를 테면 압전 트랜스듀서들(piezoelectric transducers)(예컨대, 초음파 결정들)을 포함할 수 있다. 다른 실시예에서, 트랜스듀서들(552)은 챔버 본체(501)의 외부에 배치될 수 있고, 챔버 본체(501)의 프로세싱 용적(505)에 음파 에너지(sonic energy)를 지향시키도록 위치될 수 있다. 트랜스듀서들(552)은, 초음파 세정 프로세스를 수행하기 위한 충분한 전력을 제공하도록 적응된 전력 소스(554)에 커플링될 수 있다. 또한, 트랜스듀서들(552)은 초임계 유체 프로세싱 동안 챔버(500) 내의 초임계 유체를 교반시키기(agitate) 위해 파들을 기판(W)을 향해 지향시킬 수 있다.
[0077] 초임계 유체 전달 시스템(520)은, 유체 소스(555), 이를 테면 CO2 공급부 또는 C3H8 공급부에 커플링된 제 1 유체 전달 라인(524), 및 챔버(500) 내에 형성된 제 1 유체 유입구(fluid inlet)(512)를 포함한다. 유체 소스(555)로부터 챔버(500)의 프로세싱 용적(505) 내로 가압된 유체를 전달하기 위해, 제 1 유체 유입구 포트(512)와 유체 소스(555) 사이에서 제 1 유체 전달 라인(524)에 펌프(522)가 커플링될 수 있다. 부가적으로, 프로세싱 용적(505)으로의 초임계 유체의 유동을 제어하기 위해, 유입구 밸브(inlet valve)(523)가 펌프(522)와 제 1 유체 유입구 포트(512) 사이에서 제 1 유체 전달 라인(524) 상에 배치될 수 있다.
[0078] 도 5e는 본원에 설명된 다른 실시예에 따른 초임계 유체 전달 시스템(520)을 개략적으로 예시한다. 초임계 유체 전달 시스템(520)은 유체 소스(555), 펌프(522), 가열 엘리먼트(531), 필터(530), 및 응축기(condenser)(535)를 포함한다. 유체 전달 시스템(520)은 초임계 상태의 유체를 필터링하지만, 그 다음, 유체를 액체로서 챔버(500)에 전달한다. 예를 들어, 일정량(an amount)의 유체, 이를 테면 액체 CO2가 유체 소스(555)로부터 펌프(522)에 제공되고, 펌프(522)는 그러한 유체를 가압할 수 있다. 그 다음, 유체가 가열 엘리먼트(531)에 의해 가열되어, 그러한 액체는 자신의 초임계 상태로 변환된다. 그 다음, 초임계 유체는, 고압 가스 필터일 수 있는 필터를 통과하여, 초임계 유체를 정화시킨다(purify). 액체 필터를 활용하는 것과 대조적으로, 가스 필터를 활용하여, 훨씬 더 높은 여과 효율이 달성되는 것으로 여겨진다. 그 다음, 초임계 유체가 응축기(535)에 의해 응축되어, 초임계 유체가 다시 액체로 돌아가고, 그 다음, 액체는 챔버(500)에 제공된다. 아래에 더욱 상세히 설명되는 특정 실시예들에서, 초임계 유체가 자신의 초임계 상태로 챔버에 직접 제공될 수 있도록, 응축기(535)는 선택적일 수 있다.
[0079] 도 5a를 다시 참조하면, 챔버(500)는, 챔버(500)로 그리고 챔버(500)로부터 유체를 재순환시키기 위한 선택적 루프(519)를 더 포함할 수 있다. 루프(519)는 유체를 정화시키기 위한 필터(미도시), 이를 테면, 활성탄 필터(activated charcoal filter)를 더 포함할 수 있다. 루프(519)는, 초임계 유체 배쓰(bath)의 침체(stagnation)의 방지를 돕기 위해, 프로세싱 용적(505) 내에서의 초임계 유체의 유동, 이를 테면, 층류(laminar flow)의 생성을 돕는다.
[0080] 프로세싱 용적(505)으로부터의 초임계 유체의 제거를 위해, 유체 배출구(513)가 챔버(500)에 커플링될 수 있다. 유체 배출구(513)는, 초임계 유체를 대기로 방출할(release) 수 있거나, 이용되는 초임계 유체를 배기부(527a) 및 스토리지(storage)로 지향시킬 수 있거나, 재이용을 위해 초임계 유체를 재순환시킬 수 있다(루프 519). 도시된 바와 같이, 유체 배출구(513)는, 유체 복귀 라인(525) 및 펌프 복귀 라인(526)에 의해 펌프(522)에 커플링될 수 있다. 배기 밸브(528)는 유체 복귀 라인(525)과 펌프 복귀 라인(526)을 커플링시킨다. 배기 밸브(528)는, 재이용을 위해 초임계 유체를 재순환시키기 위하여, 유체 복귀 라인(525)에서의 초임계 유체 또는 가스를 배기부(527)(또는 스토리지)로 또는 펌프(522)로 지향시킨다. 선택적으로, 응축기(미도시)가 유체 배출구(513)와 유체 소스(555) 사이에 커플링되어, 유체 소스(555)로 지향되기 전에, 유체 내의 오염물들을 응축시킬 수 있다.
[0081] 제 1 유체 유입구 포트(512) 및 유체 배출구(513)는 챔버 본체(501)의 바닥 벽을 통해 배치될 수 있다. 그러나, 제 1 유체 유입구 포트(512) 및 유체 배출구(513)는, 챔버 본체(501)의 벽들을 통해, 이를 테면, 챔버 본체(501)의 상단 벽을 통해 다른 영역들에 배치될 수 있음이 고려된다. 제 1 유체 유입구 포트(512)는 CO2와 같은 가스를 수용하도록 적응될 수 있다. 특정 실시예들에서, 제 1 유체 유입구 포트(512)는 유체를 기판을 향해 지향시키기 위해 노즐들, 샤워헤드들 또는 다른 유체 전달 디바이스들에 커플링될 수 있다.
[0082] 특정 실시예들에서, 챔버(500)는 퍼지 가스 소스(560)를 포함할 수 있다. 퍼지 가스 소스(560)는 제 2 유체 전달 라인(564)을 통해 제 2 유체 유입구 포트(562)에 커플링될 수 있다. 퍼지 가스 소스(560)는 순수한(pure) 질소(N2), 아르곤(Ar), 헬륨(He) 또는 다른 고순도 가스들과 같은 퍼지 가스를 프로세싱 용적(505)에 제공하도록 적응될 수 있다. 챔버(500)는, 챔버(500)의 프로세싱 용적(505)을 가압하도록 적응된 가압 장치(570), 이를 테면 압축기(compressor)를 더 포함할 수 있다. 가압 장치(570)는 압력 밸브(572)를 통해 챔버에 커플링될 수 있다. 일 실시예에서, 가스가 프로세싱 용적(505)에 제공된 이후, 가압 장치(570)는 가스, 이를 테면, 퍼지 가스를 가압할 수 있다. 다른 실시예에서, 가압 장치(570)는 퍼지 가스 소스(560)에 커플링될 수 있으며, 챔버(500)에 전달하기 전에 퍼지 가스를 가압하도록 적응될 수 있다. 동작 시, 가압 장치(570)는, 프로세싱 용적(505)에 초임계 유체를 도입하기 전에, 챔버(500) 내의 퍼지 가스를 약 1100 psi 내지 약 2000 psi로 가압할 수 있다.
[0083] 챔버(500)는 세정 유체 소스(580)를 더 포함할 수 있다. 세정 유체 소스(580)는 하나 또는 그 초과의 세정 액체들 또는 이들의 혼합물들을 챔버(500)에 제공하도록 적응될 수 있다. 제 2 유체 전달 라인(564)에 커플링되는 것으로서 도시되어 있지만, 세정 유체 소스(580)는 임의의 연관된 배관(plumbing)의 복잡도를 줄이기 위해 임의의 편리한 위치에서 챔버(500)에 커플링될 수 있다. 일 실시예에서, 세정 유체 소스(580)에 의해 공급되는 유체는 물 또는 N-메틸포름아미드를 포함한다. 다른 실시예에서, 세정 유체 소스(580)에 의해 공급되는 유체는 아세톤((CH3)2CO)을 포함한다.
[0084] 세정 유체, 이를 테면, 물 또는 N-메틸포름아미드를 챔버(500)에 제공하고, 챔버 표면들(504), 다른 챔버 컴포넌트들 및 프로세싱 용적(505) 내의 유체를 초음파적으로 교반시키기 위해 트랜스듀서들(552)을 활성화시킴으로써, 챔버(500) 상에서 인시츄(in-situ) 세정 프로세스가 수행될 수 있다. 세정 유체는, 모든 챔버 표면들(504) 및 챔버(500)의 컴포넌트들을 접촉하도록 형성되는(configured) 난류를 이용하여 액체 형태로 제공될 수 있다. 예를 들어, 제 2 유체 유입구 포트(562)는 챔버(500) 내에 난류를 제공하도록 구성되거나 각을 이룰(angled) 수 있다. 초음파 교반에 후속하여, 챔버(500)는, 초음파 교반 동안 이용되는 물 또는 N-메틸포름아미드를 대체하기 위해, 용매, 이를 테면, 아세톤으로 플러싱될(flushed) 수 있다. 최종적으로, 이를 테면 N2와 같은 퍼지 가스가, 챔버(500) 내부를 추가로 건조하기 위해 퍼지 가스 소스(560)로부터 챔버에 제공될 수 있다. 챔버(500)가 충분히 건조되었을 때, N2는 챔버(500)로부터 배기될 수 있다. 챔버(500)를 건조하는 것을 추가로 보조하기 위해, N2 퍼지 동안 또는 N2 퍼지 이후, 가열 엘리먼트(550)에 의해 챔버(500)에 대한 가열이 또한 제공될 수 있다. 특정 실시예에서, 인시츄 세정 프로세스는 대기압에서 수행될 수 있다. 인시츄 세정 프로세스는 챔버(500)의 최적의 성능을 보장하기 위해 필요에 따라 수행될 수 있다.
[0085] 상기 실시예들은, 챔버(500)에 비-초임계 유체가 공급된 후에, 프로세싱 용적(505) 내부에서 형성될 수 있는 초임계 유체를 제공하는 초임계 유체 챔버를 설명한다. 초임계 유체가, 이미 그것의 초임계 상으로 챔버(500)에 전달되는 실시예에서, 초임계 유체 전달 시스템은 상 전이 장치(521)를 더 포함할 수 있다. 상 전이 장치(521)는, 제 1 유체 유입구 포트(512)와 펌프(522) 사이에서 제 1 유체 전달 라인(524) 상에 배치될 수 있다. 필터(530)는, 상 전이 장치(521)와 제 1 유체 유입구 포트(512) 사이에서 제 1 유체 전달 라인(524) 상에 배치될 수 있다. 필터(530)는, 초임계 유체가 챔버(500)에 진입하기 전에 초임계 유체를 필터링하기 위해, 그리고 초임계 유체에 존재할 수 있는 불순물들을 제거하기 위해, 약 3000 psi 또는 그 미만의 압력들 하에서 동작하는 것이 가능할 수 있다. 필터(530)는, 약 3 나노미터(nm)의 기공 크기를 갖는 필터 매체(filter medium)를 포함할 수 있고, 알루미늄 산화물(Al2O3) 재료로 형성될 수 있다.
[0086] 상 전이 장치(521)는, 프로세싱 영역(533), 압축 디바이스(532), 및 가열 엘리먼트(531)를 포함한다. 일 실시예에서, 펌프(522)는, 유체 소스(555)로부터, 상 전이 장치(521)의 프로세싱 영역(533)에 CO2 가스를 공급한다. CO2 가스는, 압축 디바이스(532)에 의해 가압되고, 그리고/또는 가열 엘리먼트(531)에 의해, 미리 결정된 레벨들로 가열되어, 상 전이 장치(521)의 프로세싱 영역(533)에서 초임계 유체가 생성될 수 있다. 일 실시예에서, CO2는 약 40 ℃로 가열될 수 있고, 약 95 bar로 가압될 수 있다. 다른 실시예에서, C3H8은 약 100 ℃로 가열될 수 있고, 약 620 psi (43 bar)로 가압될 수 있다. 결과적인 초임계 CO2 또는 C3H8은, 그 후에, 제 1 유체 전달 라인(524)을 통해 그리고 제 1 유체 유입구 포트(512)를 통하여 챔버(500)에 전달될 수 있다.
[0087] 챔버(500)에서의 기판(W)이 초임계 CO2로 프로세싱된 경우에, 챔버에서 감압 프로세스가 발생한다. 일 실시예에서, 챔버(500)의 등온 감압은, 챔버(500)에 남아있는 가스들이 챔버(500)로부터 배출되기 전에, 압력이 약 21 bar와 같은 원하는 압력으로 감소되면서, 챔버(500)가 약 40 ℃와 같은 원하는 온도로 유지될 것을 요구한다. 일 예에서, 감압 프로세스는, 챔버 내의 압력이 약 400 psi일 때까지, 약 200 psi/min의 레이트로 진행된다. 압력이 약 400 psi인 경우에, 챔버(500)를 더 감압하기 위해, 더 큰 감압 레이트가 활용될 수 있다. 챔버의 감압 동안에 원하는 온도를 유지하기 위해, 가열 엘리먼트(550)로부터 입력되는 에너지를 요구하는 제어형(controlled) 감압 프로세스가 등온 환경에서 수행된다. 챔버(500)의 압력은, 유체 배출구(513)를 통해, 펌프(522) 내에 형성된 탱크(미도시) 또는 배기부(527)에 초임계 유체 및/또는 가스들을 방출함으로써 감소된다. 챔버(500)로부터 배기부(527a)로 제거되는 가스는, 유체 복귀 라인(525)을 통하여, 펌프 복귀 라인(526)을 통해 펌프(522)로 가스를 복귀시키거나, 또는 배기부(527a)를 향하도록 선택될 수 있는 배기부 밸브(528)로, 이동한다. 기판(W)은, 수분 흡수 또는 증착을 방지하기 위해 그리고 기판의 냉각을 방지하기 위해, 배출 동안에 선택적으로 가열될 수 있다.
[0088] 도 5b는, 일 실시예에 따른, 도 5a의 초임계 유체 챔버(500)의 개략적인 측면도를 예시한다. 슬릿 밸브 도어(502)는, 선형 액추에이터(541)에 커플링될 수 있는 샤프트(542)에 커플링될 수 있다. 일 실시예에서, 2개의 샤프트들(542)이 슬릿 밸브 도어(502)에 커플링될 수 있으며, 제 1 샤프트는 선형 액추에이터(541)에 커플링될 수 있고, 제 2 샤프트는 선형 베어링(543)에 커플링될 수 있다. 선형 액추에이터는, 슬릿 밸브 개구(503)를 밀봉(seal) 및 밀봉해제(unseal)하도록, 슬릿 밸브 도어(502)를 위치시키기 위해, 샤프트(542)를 늘리고(extend) 수축(retract)시키도록, 액체 또는 가스 소스와 같은 유체 소스(미도시)에 커플링될 수 있는 모터 또는 에어 실린더를 포함할 수 있다. 슬릿 밸브 도어(502)는, 선형 액추에이터(541)가 샤프트(542)를 수축시키고, 챔버 본체(501)의 측벽에 대해 슬릿 밸브 도어(502)에 커플링된 O-링들(미도시)을 압축시키는 경우에, 폐쇄될 수 있다. 일 실시예에서, 슬릿 밸브 도어(502)는, 프로세싱 동안에 초임계 유체 챔버(500) 내부에서 생성되는 압력을 견디기 위해 기밀 밀봉(airtight seal)을 형성하도록, 챔버 본체(501)의 측벽에 대해 충분한 힘으로 O-링들을 압축시킨다.
[0089] 도 5c는, 도 5a의 초임계 유체 챔버(500)의 부분적인 단면도를 예시한다. 챔버(500)와 유사하게, 하나 또는 그 초과의 O-링들(540)을 포함하는 슬릿 밸브 도어(502)는, 기판 이송 경로를 따라, 슬릿 밸브(503)를 개방 및 폐쇄시킬 수 있다. 그러나, 도시된 실시예에서, 슬릿 밸브 도어(502)는, 프로세싱 용적(505) 외부에 배치될 수 있고, 챔버 본체(501)의 외부 표면과 접촉할 수 있다. 슬릿 밸브 도어(502)가 프로세싱 용적(505) 외부에 배치되는 경우에, 프로세싱 용적(505)의 용적은 감소될 수 있다. 프로세싱 용적(505)의 감소는, 온도 및 압력에 대한 더 우수한 제어(greater control)를 제공할 수 있고, 초임계 프로세싱을 위해 필요한 조건들 하에서 프로세싱 용적(505)을 유지하기 위해 요구되는 에너지의 양을 감소시킬 수 있다.
[0090] 도 5b는 일 실시예에 따른, 도 5c의 초임계 유체 챔버(500)의 개략적 측면도를 예시한다. 슬릿 밸브 도어(502)는 샤프트(542)에 커플링될 수 있고, 샤프트(542)는 선형 액추에이터(541)에 커플링될 수 있다. 일 실시예에서, 2개의 샤프트들(542)이 슬릿 밸브 도어(502)에 커플링될 수 있고, 제 1 샤프트는 선형 액추에이터(541)에 커플링될 수 있고, 제 2 샤프트는 선형 베어링(543)에 커플링될 수 있다. 선형 액추에이터는, 슬릿 밸브 개구(503)를 밀봉 및 밀봉해제하도록, 슬릿 밸브 도어(502)를 위치시키기 위해, 샤프트(542)를 늘리고 수축시키도록, 액체 또는 가스 소스와 같은 유체 소스(미도시)에 커플링될 수 있는 모터 또는 에어 실린더를 포함할 수 있다. 슬릿 밸브 도어(502)는, 선형 액추에이터(541)가 샤프트(542)를 수축시키고, 챔버 본체(501)의 외부에 대해 슬릿 밸브 도어(502)에 커플링된 O-링들(미도시)을 압축시키는 경우에, 폐쇄될 수 있다. 일 실시예에서, 슬릿 밸브 도어(502)는, 프로세싱 동안에 초임계 유체 챔버(500) 내부에서 생성되는 압력을 견디기 위해 기밀 밀봉을 형성하도록, 챔버 본체(501)의 외부에 대해 충분한 힘으로 O-링들을 압축시킨다. 도시된 바와 같이, 슬릿 밸브 도어(502)는 프로세싱 용적(505) 외부에 배치될 수 있고, 이는, 초임계 유체 프로세싱을 수행하기 위해 챔버(500)에 대해 필요한 프로세싱 용적(505)의 용적을 더 감소시킬 수 있다. 또한, 프로세싱 용적(505) 외부에 배치된 슬릿 밸브 도어(502)는 챔버(500) 내에서의 입자 생성에 대한 가능성(potential)을 감소시킬 수 있다.
[0091] 도 7은 일 실시예에 따른 플라즈마 챔버의 단면도를 예시한다. 보다 구체적으로, 도 7은 플라즈마 생성 챔버(700)를 제공한다. 챔버(700)는 일반적으로, 프로세스 용적(706)을 둘러싸는, 벽들(702) 및 바닥(704)을 포함한다. 가스 분배 플레이트(710) 및 기판 지지 어셈블리(730)가 프로세스 용적(706)에 배치될 수 있다. 프로세스 용적(706)은 벽(702)을 통해 형성된 슬릿 밸브 개구(708)를 통해 액세스될 수 있고, 슬릿 밸브 개구(708)는 기판(740)이 챔버(700) 내로 그리고 챔버(700)로부터 이송될 수 있게 한다. 플라즈마 챔버로서 예시적으로 도시되었지만, 챔버(700)는 또한, 전자기 에너지로 기판을 조사하도록(irradiate), 이를 테면, 자외선 파장들 중 하나 또는 그 초과의 자외선 파장들을 포함하는 광으로 기판을 조사하도록 적응될 수 있다.
[0092] 기판 지지 어셈블리(730)는, 기판(740)을 상부에 지지하기 위한 기판 수용 표면(732)을 포함한다. 스템(stem)(734)이 지지 어셈블리(730)를 리프트 시스템(736)에 커플링시키고, 리프트 시스템(736)은, 기판 이송 위치와 기판 프로세싱 위치 사이에서 기판 지지 어셈블리(730)를 상승 및 하강시킨다. 기판(740)의 에지 상에서의 증착을 방지하기 위해, 프로세싱할 때 기판(740)의 주변부에 걸쳐서 섀도우 프레임(shadow frame)(733)이 선택적으로 배치될 수 있다. 리프트 핀들(738)이 기판 지지 어셈블리(730)를 통해 이동가능하게 배치될 수 있고, 로봇 블레이드를 이용한 기판의 교환을 용이하게 하기 위해 기판(740)을 기판 수용 표면(732)으로부터 이격시키도록 구성될 수 있다. 기판 지지 어셈블리(730)는 또한, 기판 지지 어셈블리(730)를 원하는 온도로 유지하기 위해 활용되는 가열 및/또는 냉각 엘리먼트들(739)을 포함할 수 있다.
[0093] 가스 분배 플레이트(710)는 서스펜션(714)에 의해 백킹 플레이트(backing plate)(712) 및 그 백킹 플레이트(712)의 주변부에 커플링될 수 있다. 가스 분배 플레이트(710)는 또한, 가스 분배 플레이트(710)의 진직도(straightness)/곡률(curvature)을 방지 그리고/또는 제어하는 것을 돕기 위해 하나 또는 그 초과의 중앙 지지부들(716)에 의해 백킹 플레이트(712)에 커플링될 수 있다. 일 실시예에서, 가스 분배 플레이트(710)는 상이한 치수들을 갖는 상이한 구성들로 이루어질 수 있다. 분배 플레이트(710)는, 분배 플레이트(710)의 상부 표면(798)과 하부 표면(750) 사이에 배치된 복수의 천공들(711)을 포함할 수 있다. 가스 분배 플레이트(710)와 백킹 플레이트(712) 사이에 정의되는 플리넘(plenum)에 가스를 제공하기 위해, 가스 소스(720)가 백킹 플레이트(712)에 커플링될 수 있다. 소스(720)로부터의 가스는, 가스 분배 플레이트(710)에 형성된 천공들(711)로부터 프로세스 용적(706)으로 유동한다.
[0094] 프로세스 용적(706)을 원하는 압력으로 유지하기 위해, 진공 펌프(709)가 챔버(700)에 커플링될 수 있다. 가스 분배 플레이트(710)와 기판 지지 어셈블리(730) 사이에 존재하는 가스들로부터 플라즈마가 생성될 수 있도록, 가스 분배 플레이트(710)와 기판 지지 어셈블리(730) 사이에 전기장을 생성하기 위해 RF 전력을 제공하도록, RF 전력 소스(722)가 백킹 플레이트(712)에 그리고/또는 가스 분배 플레이트(710)에 커플링될 수 있다. 다양한 주파수들, 이를 테면, 13.56 MHz의 주파수가, 프로세스 용적(706)에서 플라즈마를 형성하기 위해 이용될 수 있다. 일 실시예에서, O2 플라즈마가 약 10초 동안 약 75W로 기판(740)에 적용될(applied) 수 있다. 부가적인 플라즈마 처리는 고 종횡비 트렌치들에서의 사소한 또는 일시적인 스틱션들을 제거할(release) 수 있다. O2 플라즈마는, 트렌치들 내에 존재할 수 있는 유기 불순물들을 제거하는 데에 특히 유용한 것으로 여겨진다.
[0095] 또한, 유도 결합 원격 플라즈마 소스와 같은 원격 플라즈마 소스(724)가 가스 소스(720)와 백킹 플레이트(712) 사이에 커플링될 수 있다. RPS(724)는 프로세싱 용적(706) 내에서 플라즈마를 형성하기 위해 이용될 수 있다. 플라즈마는 프로세싱 용적(706)을 통해서 기판(740)의 상단 표면(718)으로 이동한다. 플라즈마는, 디바이스 피쳐들 사이에 존재할 수 있는 스틱션들을 제거함으로써 기판(740)을 프로세싱한다. 일 실시예에서, 자외선 광원과 같은 전자기 방사 소스(760)가 챔버(700)에 커플링될 수 있다. 전자기 방사 소스(760)는, 전력 소스(미도시)에 커플링될 수 있고, 챔버(700) 내부 또는 챔버(700) 외부의 임의의 편리한 위치에 위치될 수 있다. 일 구성에서, 전자기 방사 소스(760)는, 생성된 전자기 에너지를, 챔버(700)의 벽(702)의 일부분에 커플링될 수 있는 윈도우(미도시)를 통해서 기판의 표면으로 전달할 수 있도록, 챔버(700) 외부에 위치된다. 전자기 방사 소스(760)는 기판(740)의 상단 표면(718)을 조사하도록 위치될 수 있다. 전자기 방사 소스(760)는 약 50㎚ 내지 약 500㎚의 파장을 갖는 자외선을 기판(740)에 제공하도록 적응될 수 있다.
[0096] 도 8은 일 실시예에 따른, 기판을 세정하기 위한 방법 단계들을 포함하는 프로세스 흐름도를 예시한다. 기판은, 기판 상에 필름 또는 피쳐들을 형성하기 위해 필름 증착 또는 에칭 챔버 내에서 먼저 프로세싱될 수 있다. 방법(800)은, 기판이 습식 세정 챔버 내에 배치될 수 있는 동작(810)에서 시작된다. 기판은, 세정될 기판을 카세트로부터 꺼내는(remove) 건식 로봇에 의해 습식 세정 챔버에 제공될 수 있다. 동작(820)에서, 기판은, 기판 상에 존재하는 잔류물들 또는 액체들을 제거하기 위해 세정 용액에 노출될 수 있다. 일 실시예에서, 세정 용액은 탈이온수, 용매들, 또는 이들의 조합을 포함할 수 있다.
[0097] 동작(830)에서, 기판은 습식 로봇에 의해 용매 교환 챔버로 이송될 수 있다. 동작(840)에서, 용매 교환 챔버 내에 배치된 기판에 전달되는 용매에 기판을 노출시킴으로써, 이전에 적용된 세정 용액이 용매로 대체될 수 있다. 일 실시예에서, 세정 용액을 대체하기 위해 이용되는 용매는, 액체 또는 초임계 상일 수 있는 화학물질, 또는 액체 또는 초임계 상의, 화학물질들 또는 이들의 혼합물들의 시퀀스일 수 있다. 기판 상의 잔류 재료의 대체를 위한 화학물질들 또는 혼합물들의 조건(condition) 및 상태(phase)는, 세정 용액 성분들(예를 들어 탈이온수), 용매들, 및 선택된 화학물질들 또는 이들의 혼합물들 사이에서의, 상대적 용해도 및 혼화성에 의해 결정될 수 있다. 일 예시에서, 용매들은, 아세톤, 이소프로필 알코올, 에탄올, 메탄올, N-메틸-2-피롤리돈, N-메틸포름아미드, 1,3-디메틸-2-이미다졸리디논, 디메틸아세트아미드, 및 디메틸 술폭시드 또는 이들의 조합들 및/또는 이들의 시퀀스들로 구성된 그룹으로부터 선택될 수 있다. 일 실시예에서, 유기 극성 용매가, 기판의 표면 상에 남아있는 세정 용액을 대체하기 위해 충분한 양으로 기판의 표면에 제공될 수 있다. 후속 동작에서, 무극성 용매가 유기 극성 용매를 대체하기 위해 제공될 수 있다. 일 실시예에서, 유기 극성 용매는 N-메틸-2-피롤리돈 및/또는 N-메틸포름아미드로부터 선택되고, 무극성 용매는 아세톤 및/또는 IPA로부터 선택된다. 다른 실시예에서, 용매 교환은, 챔버를 채우기 위해 용매를 제공함으로써, 그리고 원하는 시간량 동안 용매 교환이 기판 상에서 수행된 후에, 용매 교환 챔버로부터 용매를 퍼징함으로써, 필 앤 퍼지 프로세스에 의해 수행될 수 있다.
[0098] 다른 실시예에서, 상기 주목한 바와 같이, 용매 교환을 위한 적절한 용매들은, N-메틸-2-피롤리돈, N-메틸포름아미드, 1,3-디메틸-2-이미다졸리디논, 디메틸아세트아미드, 및 디메틸 술폭시드를 포함한다. 이러한 유기 극성 용매들은 물을 대체하기 위해 이용될 수 있으며, 그리고 바람직한 용매들은 일반적으로, (30 초과의) 높은 유전율 및 낮은 증발률(n-부틸 아세테이트에 대해서는 0.5 미만)을 가질 것이다. 또한, 유기 극성 용매들은 일반적으로, 물에서 혼화성이 있고, 실리콘-물 상호작용으로부터의 실리카의 침전을 억제한다. 선택적으로, 유기 극성 용매들은, 입자 제거를 강화하기 위해 용매 교환 동안, 용해된 O2 가스와 조합될 수 있다. 바람직한 실시예에서, 유기 극성 용매들은 N-메틸-2-피롤리돈 또는 N-메틸포름아미드, 또는 이들의 조합들을 포함한다.
[0099] 일 실시예에서, 대체 프로세스에서 사용되는 화학물질들 또는 화학 혼합물들이 액체인 경우, 이러한 화학물질들 또는 화학 혼합물들을 초임계 유체로 변환시키기 위해 상 전이 프로세스가 사용될 수 있다. 일 실시예에서, 유기 극성 용매들을 대체하는 데에 사용되는, 90 퍼센트 또는 그 초과의 액체 IPA는, 약 5-8℃ 및 약 50 bar에서의 액체 CO2에 의해서 대체될 수 있다. 상기 설명된 약 5-8℃ 및 약 50 bar의 액체 CO2는, 용매 교환 챔버에서 약 40℃ 및 약 95 bar로 가열될 수 있다. 초임계 상의 결과로서, 액체-기체 표면 장력과 액체-고체 표면 장력 사이의 차이에 기인한 모세관 압력이 제거된다. 모세관 압력의 제거는, 습식 세정 프로세스(동작(810))를 수행한 후에 종종 발생하는, 고 종횡비 피쳐들의 구부러짐 및 스틱션을 방지한다.
[0100] 동작(850)에서, 기판은 이후, 습식 로봇에 의해, 용매 교환 챔버로부터 초임계 유체 챔버로 이송된다. 선택적으로, 동작(860)에서, 가압된 퍼지 가스 프로세스가 초임계 유체 챔버에서 수행될 수 있다. 순수한 N2 또는 Ar과 같은 퍼지 가스가, 가압될 수 있는 초임계 유체 챔버에 제공될 수 있다. 초임계 유체 챔버는 약 1100 psi 내지 약 2000 psi로 가압될 수 있다.
[0101] 동작(870)에서, 초임계 플러싱 프로세스에서, 전술된 것과 동일한 타입들의 화학물질들 또는 화학 혼합물들을 포함하는 초임계 유체가 형성되어 초임계 유체 챔버에 도입됨으로써, 기판의 표면 상에 남아있는 입자들 및 잔류물들을 세정하고 세척한다(flush away). 선택적 동작(860)이 수행되었을 경우 초임계 유체 챔버에 존재할 수 있는 퍼지 가스는, 초임계 유체가 초임계 유체 챔버에 제공될 때 배기될 수 있다. 이러한 실시예에서, 퍼지 가스 프로세스와 초임계 플러싱 프로세스 사이에서 초임계 유체 챔버의 압력에 있어서 사소한 변화(inconsequential change)를 달성할 수 있다. 동작(860)과 동작(870) 사이에서 초임계 유체 챔버 내에서 실질적으로 동일한 압력을 유지하게 되면, 초임계 유체 챔버 내에서의 입자들의 형성을 방지할 수 있다. 이에 따라, 선택적 동작(860)에서의 초임계 유체 챔버의 압력은, 동작(870)에서 챔버 내에 초임계 유체를 유지하는 데에 필요한 압력과 일치(match)하도록 선택될 수 있다.
[0102] 일 실시예에서, 동작(870) 동안에 사용되는 초임계 유체를 형성하기 위해 CO2가 사용될 수 있다. 다른 실시예에서는, 초임계 유체를 형성하는 데에 C3H8이 사용될 수 있다. 초임계 CO2 또는 C3H8은 초임계 유체 챔버에서 형성될 수 있거나, 또는 초임계 유체 챔버의 외부에서 형성된 다음, 초임계 유체 챔버에 도입될 수 있다. 일 실시예에서, 약 40℃ 및 약 95 bar에서의 초임계 CO2가 초임계 유체 챔버의 외부에서 형성된 다음, 초임계 유체 챔버로 도입되며, 그에 따라 초임계 CO2의 초임계 유체 특성들을 유지한다. 일 실시예에서, 약 100℃ 및 약 620 psi (43 bar)에서의 초임계 C3H8이, 초임계 유체 챔버의 외부에서 형성된 다음, 초임계 유체 챔버로 도입되며, 그에 따라 초임계 C3H8의 초임계 유체 특성들을 유지한다. 일 실시예에서, 초임계 유체는, 초임계 유체를 초임계 챔버 내로 도입하는 프로세스 전체에 걸쳐서, 초임계 유체를 유지한다. 다른 실시예에서, 초임계 유체는, 도입 프로세스 도중에 또는 도입 프로세스의 끝에서만 초임계 유체이다.
[0103] 대체 프로세스에서 사용되는 화학물질들 또는 화학 혼합물들이 액체인 경우, 이러한 화학물질들 또는 화학 혼합물들을 초임계 유체로 변환시키기 위해 상 전이 프로세스가 사용될 수 있다. 일 실시예에서, 유기 극성 용매를 대체하기 위해 이용되는, 90 퍼센트 또는 그 초과의 액체 IPA는, 약 5-8℃ 및 약 50 bar에서의 액체 CO2에 의해 대체될 수 있다. 전술한 약 5-8℃ 및 약 50 bar의 액체 CO2는 초임계 유체 챔버에서 약 40℃ 및 약 95 bar로 가열될 수 있다. 초임계 상의 결과로서, 액체-기체 표면 장력과 액체-고체 표면 장력 사이의 차이로 인한 모세관 압력이 제거된다. 모세관 압력의 제거는 고 종횡비 피쳐들의 구부러짐 및 스틱션을 방지한다. 또한, 화학물질들 또는 화학 혼합물들을 초임계 유체로 변환시키기 위해 C3H8이 사용될 수 있는 것으로 고려된다.
[0104] 초임계 유체는, 기체와 액체 사이의 중간적 특성들을 나타내고, 일반적으로, 기체형(gas-like) 전송 거동들로 인해, 복잡한 나노-기하형상들 내로 잘 침투하는 능력을 가지며, 액체들과 통상적으로 연관된 초임계 유체의 탁월한 물질-이동 능력들로 인해, 입자 및 잔류물 제거에 있어서 효과적이다. 초임계 플러싱 프로세스는 여러 방식들로 진행될 수 있는데, 이러한 방식들은 모두, 디바이스 피쳐들로부터 오염물들을 제거한다. 오염물 제거의 제 1 방식은, 초임계 유체와 오염물들 사이의 물리적 상호작용과 같은 기계적 작용에 의해서, 초임계 유체가 디바이스 피쳐들로부터 오염물들을 물리적으로 제거하는 것을 수반한다. 초임계 유체는, 디바이스 구조 내의 공간들(고 종횡비 구조들, 비아들, 보이드들, 기공들 등)을 침투하고, 용매들, 잔류물들, 및 입자들과 같은 오염물들을 디바이스 구조들로부터 세척하는 유체 유동을 제공한다. 유동하는 유체에 의해 생성되는 기계적 또는 물리적 작용은, 초임계 유체에 의해 나타나는 액체형 물질 이동 특성들에 의해 이득을 취한다.
[0105] 오염물들이 제거되는 다른 방식은, 오염물 비함유 초임계 유체(non-contaminant containing supercritical fluid)와의 농도 구배의 생성에 의한 것이다. 기판의 표면 위의 프로세싱 용적에 존재하는 초임계 유체는, 디바이스 구조들 내에 존재하는 것보다 더 낮은 오염물 농도를 나타낸다. 일 실시예에서, 순수한 초임계 CO2 또는 C3H8은, 기판의 표면 위의 용적 내에 침체되도록, 또는 심지어 용적을 통해 유동하도록 허용된다. 열역학적 평형상태로 존재하려는 유체들의 성향(desire)을 가정하면, 디바이스 구조들 근방에서의, 오염물들을 함유하는 초임계 유체는 기판 위의 영역들로 확산되고, 이에 의해, 디바이스 구조들에 존재하는 오염물들의 농도를 감소시킨다. 또한, 디바이스 구조들로부터 오염물들을 제거하기 위해, 이를 테면, 확산 물질 이동 프로세스들 및 물리적 상호작용의 사용에 의해서, 오염물을 제거하는 두 방식들 모두가 동시에 작용하는 것이 가능하다. 오염물 제거의 두 방식들 모두에서, 또는 이러한 방식들의 조합들에서, 오염물들은 디바이스 구조들로부터 효과적으로 제거될 수 있다.
[0106] 다음으로, 기판은 초임계 건조 프로세스를 받는다. 이 프로세스는, 화학물질들 또는 화학 혼합물들이 액체 영역으로 넘어가지 않으면서 초임계 상태로부터 기체 상태로의 상 전이를 보장하도록 제어된다. 도 6은 CO2의 상 변화를 도시한다. 프로세스는, 초임계 유체(파선을 넘은 영역)가, 액체 상과 기체 상을 분리하는 라인을 넘어가지 않으면서 기체 상태로 변화되도록 보장한다. 초임계 건조 프로세스 동안 고 종횡비 트렌치들로부터 방출되는 유체들은 무시할 정도의 표면 장력을 나타내며, 이는 라인 스틱션의 감소 또는 제거를 초래한다. 일 실시예에서, 약 40℃ 및 약 95 bar에서의 초임계 CO2는, 압력이 약 21 bar로 떨어질 때 까지 약 40℃에서의 등온 감압을 겪는다. 다른 실시예에서, 약 100℃ 및 약 620 psi (43 bar)에서의 초임계 C3H8은, 압력이 약 20 bar로 떨어질 때 까지 약 100℃에서의 등온 감압을 겪는다. 초임계 유체 챔버 내에 남아있는 가스들은 챔버로부터 배출된다. 챔버로부터 배출되는 가스들은, 고 종횡비 트렌치들 및 기판의 다른 표면들로부터 취해진 입자들 및 잔류물들을 가지고 있다(carry).
[0107] 단계(880)에서, 기판은 습식 로봇에 의해 초임계 유체 챔버로부터 포스트 프로세싱 챔버로 이송된다. 단계(890)에서, 건조 프로세스를 완료하기 위한 최종 처리를 위해, 저전력에서의 플라즈마에 기판을 노출시킴으로써, 기판이 포스트 프로세싱된다. 일 실시예에서, RF 산소(O2) 플라즈마가, 약 75W의 RF 전력 및 13.56 MHz의 주파수에서 약 10초 동안 기판에 적용될 수 있다. 기판의 포스트 프로세싱은, 이전의 세정 프로세스들에서 이용되었던 화학물질들 또는 화학 혼합물들에 의해 생성된 국부적 불순물들에 의해 유발되는 사소한 또는 일시적인 스틱션을 (존재하는 경우) 제거한다(release). 포스트 프로세싱은, 초임계 건조 프로세스 직후에 구현되는 경우에 가장 효과적이다. 다른 실시예에서, 기판 상에 남아있는 유기 오염물들은, 바이어싱된 플라즈마를 이용하여 제거될 수 있다. 또한, 기판의 표면 상의 패시베이션 층의 증착 또는 형성이, 기판의 표면 상에 존재하는 고 종횡비 피쳐들 사이의 스틱션의 가능성을 감소시킬 수 있는 것으로 여겨진다.
[0108] 대안적인 실시예에서, 단계(890)는, 기판을 자외선(UV) 광과 같은, 하나 또는 그 초과의 파장들의 전자기 에너지에 노출시킴으로써, 기판을 포스트 프로세싱하는 것을 포함할 수 있다. 일 예에서, UV 처리는 기판 상에 존재하는 재료들에 기초하여 선택될 수 있다. 기판은, 이전 세정 프로세스들에서 이용되었던 화학물질들 또는 화학 혼합물들에 의해 생성되는 국부적 불순물들에 의해 유발되는 임의의 남아있는 사소한 또는 일시적인 스틱션을 (존재하는 경우) 제거하는 데에 적합한 시간량 동안 UV 광에 노출될 수 있다. 일 실시예에서, 기판은, 약 50 nm 내지 약 500 nm, 예컨대 약 150 nm 내지 약 350 nm, 이를 테면 약 172 nm 내지 약 300 nm의 파장을 갖는 UV 광에 노출될 수 있다. 상기 설명된 플라즈마 처리와 유사하게, UV 처리는, 초임계 건조 프로세스 직후에 구현되는 경우에 가장 효과적일 수 있다. 다른 실시예에서, 단계(890)는, 기판을 포스트 프로세싱하고, 기판 상에 존재할 수 있는 임의의 남아있는 스틱션 또는 유기 오염물을 제거하기 위해 플라즈마 처리와 UV 처리의 결합을 포함할 수 있다.
[0109] 전술한 본 발명은, 초임계 세정 및 건조 프로세스 동안 기판들의 처리량을 증가시키는 장치를 제공한다. 캐러셀(carousel) 구성 및 다수의 로봇들을 이용하는 것은 프로세싱 장치의 효율성을 증가시키며, 이는, 기판들의 초임계 세정 및 건조 비용을 감소시킬 것이다. 또한, 대체, 상 전이, 초임계 플러싱 및 건조, 및 플라즈마 포스트 프로세싱을 서로 결합하여 이용하게 되면, 특히 고 종횡비 트렌치들을 갖는 기판들에 대해, 습식 세정 이후의 라인 스틱션의 제거를 제공한다.
[0110] 전술한 바는 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 및 추가적인 실시예들이 본 발명의 기본 범위를 벗어나지 않으면서 안출될 수 있으며, 본 발명의 범위는 하기의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판을 세정하는 방법으로서,
    기판의 표면 상에 배치된 일정량(an amount)의 잔류물 세정 용액(residual cleaning solution)을 변위시키기 위해, 기판을 N-메틸-2-피롤리돈, N-메틸포름아미드, 1,3-디메틸-2-이미다졸리디논, 디메틸아세트아미드 및 디메틸 술폭시드로 이루어진 그룹으로부터 선택되는 제 1 용매(solvent)에 노출시키는 단계;
    상기 기판을 상기 제 1 용매에 노출시키는 단계 이후, 상기 기판의 표면 상에 배치된 제 1 용매를 변위시키기 위해, 상기 기판을 상기 제 1 용매보다 작은 극성 특성을 갖는 제 2 용매에 노출시키는 단계;
    상기 기판을 상기 제 2 용매에 노출시키는 단계 이후, 상기 기판의 표면 상에 배치된 제 2 용매를 변위시키기 위해, 상기 기판을 초임계 유체(supercritical fluid)에 노출시키는 단계; 및
    상기 기판을 상기 초임계 유체에 노출시키는 단계 이후, 상기 기판을 플라즈마(plasma)에 노출시키는 단계를 포함하는,
    기판을 세정하는 방법.
  2. 제 1 항에 있어서,
    상기 잔류물 세정 용액은 탈이온수(de-ionized water)를 포함하는,
    기판을 세정하는 방법.
  3. 제 1 항에 있어서,
    상기 제 2 용매는 아세톤, 이소프로필 알코올, 에탄올 및 메탄올로 이루어진 그룹으로부터 선택되는,
    기판을 세정하는 방법.
  4. 제 1 항에 있어서,
    상기 기판을 상기 초임계 유체에 노출시키는 단계는:
    가스의 제 1 일정량을 제 1 속도로 액체 상태(liquid state)로 전달하는 단계;
    상기 가스의 제 2 일정량을 제 2 속도로 액체 상태로 전달하는 단계로서, 상기 제 2 속도는 상기 제 1 속도보다 큰, 전달하는 단계;
    상기 초임계 유체를 형성하기 위해, 상기 가스를 상기 액체 상태로부터 초임계 상태(supercritical state)로 전이시키는(transitioning) 단계;
    상기 기판의 표면 위로 상기 초임계 유체를 유동시키는 단계; 및
    상기 초임계 유체를 가스 상태(gaseous state)로 전이시키는 단계를 더 포함하는,
    기판을 세정하는 방법.
  5. 제 4 항에 있어서,
    상기 가스는 CO2를 포함하는,
    기판을 세정하는 방법.
  6. 제 4 항에 있어서,
    상기 기판의 표면 위로 상기 초임계 유체를 유동시키는 단계는, 상기 기판의 표면으로부터 잔류물(residue)들 및 입자들을 제거할 수 있는 유량으로 초임계 유체를 전달하는 단계를 포함하는,
    기판을 세정하는 방법.
  7. 제 4 항에 있어서,
    상기 가스는 C3H8을 포함하는,
    기판을 세정하는 방법.
  8. 제 4 항에 있어서,
    상기 초임계 유체를 가스 상태로 전이시키는 단계는, 상기 초임계 유체를 등온적으로 감압하는(isothermally depressurizing) 단계를 더 포함하는,
    기판을 세정하는 방법.
  9. 제 1 항에 있어서,
    상기 기판을 플라즈마에 노출시키는 단계는, 산소를 포함하는 플라즈마에 상기 기판을 노출시키는 단계를 포함하는,
    기판을 세정하는 방법.
  10. 제 1 항에 있어서,
    상기 기판을 세정하는 방법은:
    습식 세정 챔버 내에서 상기 기판의 표면을 상기 잔류물 세정 용액에 노출시키는 단계; 및
    이송 챔버를 통해, 상기 습식 세정 챔버, 용매 교환 챔버(solvent exchange chamber), 초임계 유체 챔버, 및 플라즈마 챔버 사이에서 상기 기판을 순차적으로 이송하는 단계를 더 포함하며,
    상기 기판을 상기 제 1 용매 및 상기 제 2 용매에 노출시키는 단계는, 용매 교환 챔버 내에서 상기 기판의 표면을 상기 제 1 용매 및 상기 제 2 용매에 노출시키는 단계를 포함하고,
    상기 기판을 초임계 유체에 노출시키는 단계는, 초임계 유체 챔버 내에서 상기 기판의 표면을 상기 초임계 유체에 노출시키는 단계를 포함하고,
    상기 기판을 플라즈마 노출시키는 단계는, 플라즈마 챔버 내에서 상기 기판의 표면을 상기 플라즈마에 노출시키는 단계를 포함하는,
    기판을 세정하는 방법.
  11. 제 10 항에 있어서,
    상기 습식 세정 챔버, 상기 용매 교환 챔버, 상기 초임계 유체 챔버, 및 상기 플라즈마 챔버는 상기 이송 챔버에 커플링되는,
    기판을 세정하는 방법.
  12. 기판 프로세싱 장치로서,
    이송 챔버에 커플링되는 습식 세정 챔버;
    상기 이송 챔버에 커플링되는 용매 교환 프로세싱 챔버;
    상기 이송 챔버에 커플링되는 초임계 유체 챔버; 및
    상기 이송 챔버에 커플링되는 플라즈마 챔버를 포함하고,
    상기 습식 세정 챔버는:
    기판 지지부; 및
    세정 용액 전달 장치에 커플링되는 프로세싱 영역으로서, 상기 세정 용액 전달 장치는 상기 프로세싱 영역에 세정 용액을 제공하도록 적응된, 프로세싱 영역을 포함하고;
    상기 용매 교환 프로세싱 챔버는:
    기판 지지부; 및
    N-메틸-2-피롤리돈, N-메틸포름아미드, 1,3-디메틸-2-이미다졸리디논, 디메틸아세트아미드 및 디메틸 술폭시드로 이루어진 그룹으로부터 선택되는 제 1 액체 용매(liquid solvent) 및 상기 제 1 액체 용매보다 작은 극성 특성을 갖는 제 2 액체 용매를 상기 용매 교환 프로세싱 챔버의 프로세싱 영역에 제공하도록 적응된 액체 용매 전달 장치에 커플링되는 챔버 유입구(chamber inlet)를 포함하고;
    상기 초임계 유체 챔버는:
    프로세싱 용적(processing volume)을 정의하는 챔버 본체;
    상기 프로세싱 용적 내에 배치되는 기판 지지부;
    상기 챔버 본체에 열적으로 커플링되는 가열 엘리먼트;
    상기 챔버 본체를 통해 배치되고, 유체를 수용하도록 적응되는 포트; 및
    상기 프로세싱 용적을 가압하도록 적응되는 펌프를 포함하며; 그리고
    상기 플라즈마 챔버는:
    기판 지지부;
    샤워헤드;
    플라즈마 챔버 포트 ― 상기 플라즈마 챔버 포트는, 상기 플라즈마 챔버 포트를 통해 할로겐, 플루오로카본(fluorocarbon) 또는 O2 가스를 전달하도록 적응된 소스에 커플링됨 ― ; 및
    상기 플라즈마 챔버에 커플링되고, 상기 플라즈마 챔버의 프로세싱 영역 내에서 플라즈마를 생성하도록 적응되는 RF 전력 소스를 포함하며;
    상기 이송 챔버는 내부에 배치된 로봇을 가지며, 그리고 상기 로봇은, 상기 습식 세정 챔버, 상기 용매 교환 프로세싱 챔버, 상기 초임계 유체 챔버 및 상기 플라즈마 챔버 사이에서 하나 또는 그 초과의 기판들을 이송하도록 적응되는,
    기판 프로세싱 장치.
  13. 제 12 항에 있어서,
    상기 제 2 액체 용매는 아세톤, 이소프로필 알코올, 에탄올 및 메탄올로 이루어진 그룹으로부터 선택되는,
    기판 프로세싱 장치.
  14. 기판을 세정하는 방법으로서,
    기판의 표면 상에 배치된 일정량의 잔류물 세정 용액을 변위시키기 위해, 기판을 N-메틸-2-피롤리돈, N-메틸포름아미드, 1,3-디메틸-2-이미다졸리디논, 디메틸아세트아미드 및 디메틸 술폭시드로 이루어진 그룹으로부터 선택되는 제 1 용매에 노출시키는 단계;
    상기 기판의 표면을 상기 제 1 용매에 노출시키는 단계 이후, 상기 기판의 표면을 상기 제 1 용매보다 작은 극성 특성을 갖는 제 2 용매에 노출시키는 단계;
    상기 기판의 표면을 상기 제 2 용매에 노출시키는 단계 이후, 상기 기판의 표면을 초임계 유체에 노출시키는 단계; 및
    상기 기판의 표면을 상기 초임계 유체에 노출시키는 단계 이후, 상기 기판의 표면을 전자기 에너지(electromagnetic energy)에 노출시키는 단계를 포함하는,
    기판을 세정하는 방법.
  15. 제 14 항에 있어서,
    상기 제 2 용매는 아세톤, 이소프로필 알코올, 에탄올 및 메탄올로 이루어진 그룹으로부터 선택되는,
    기판을 세정하는 방법.
KR1020157016291A 2012-11-26 2013-11-21 고 종횡비 반도체 디바이스 구조들에 대한 오염물 제거를 갖는 무-스틱션 건조 프로세스 KR102161253B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020207027347A KR102284839B1 (ko) 2012-11-26 2013-11-21 고 종횡비 반도체 디바이스 구조들에 대한 오염물 제거를 갖는 무-스틱션 건조 프로세스

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261729965P 2012-11-26 2012-11-26
US61/729,965 2012-11-26
US201361841779P 2013-07-01 2013-07-01
US61/841,779 2013-07-01
US14/078,373 US10354892B2 (en) 2012-11-26 2013-11-12 Stiction-free drying process with contaminant removal for high-aspect ratio semiconductor device structures
US14/078,373 2013-11-12
PCT/US2013/071314 WO2014081966A1 (en) 2012-11-26 2013-11-21 Stiction-free drying process with contaminant removal for high-aspect-ratio semiconductor device structures

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020207027347A Division KR102284839B1 (ko) 2012-11-26 2013-11-21 고 종횡비 반도체 디바이스 구조들에 대한 오염물 제거를 갖는 무-스틱션 건조 프로세스

Publications (2)

Publication Number Publication Date
KR20150088829A KR20150088829A (ko) 2015-08-03
KR102161253B1 true KR102161253B1 (ko) 2020-09-29

Family

ID=50772189

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020157016291A KR102161253B1 (ko) 2012-11-26 2013-11-21 고 종횡비 반도체 디바이스 구조들에 대한 오염물 제거를 갖는 무-스틱션 건조 프로세스
KR1020207027347A KR102284839B1 (ko) 2012-11-26 2013-11-21 고 종횡비 반도체 디바이스 구조들에 대한 오염물 제거를 갖는 무-스틱션 건조 프로세스
KR1020217023921A KR102397871B1 (ko) 2012-11-26 2013-11-21 고 종횡비 반도체 디바이스 구조들에 대한 오염물 제거를 갖는 무-스틱션 건조 프로세스

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020207027347A KR102284839B1 (ko) 2012-11-26 2013-11-21 고 종횡비 반도체 디바이스 구조들에 대한 오염물 제거를 갖는 무-스틱션 건조 프로세스
KR1020217023921A KR102397871B1 (ko) 2012-11-26 2013-11-21 고 종횡비 반도체 디바이스 구조들에 대한 오염물 제거를 갖는 무-스틱션 건조 프로세스

Country Status (6)

Country Link
US (3) US10354892B2 (ko)
JP (3) JP6408477B2 (ko)
KR (3) KR102161253B1 (ko)
CN (2) CN104919574B (ko)
TW (3) TWI627667B (ko)
WO (1) WO2014081966A1 (ko)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101874901B1 (ko) * 2011-12-07 2018-07-06 삼성전자주식회사 기판 건조 장치 및 방법
TWI627667B (zh) 2012-11-26 2018-06-21 應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
JP5835195B2 (ja) * 2012-11-29 2015-12-24 東京エレクトロン株式会社 乾燥処理用の高圧容器の製造方法及び基板処理装置の製造方法
WO2016007874A1 (en) 2014-07-11 2016-01-14 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
JP6244324B2 (ja) * 2015-03-24 2017-12-06 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6461749B2 (ja) * 2015-08-26 2019-01-30 東芝メモリ株式会社 基板処理方法および基板処理装置
KR102440321B1 (ko) * 2015-09-04 2022-09-06 삼성전자주식회사 기판 처리 방법
JP6498573B2 (ja) * 2015-09-15 2019-04-10 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
TWI623968B (zh) * 2015-09-30 2018-05-11 東京威力科創股份有限公司 使用液態二氧化碳以使半導體基板乾燥的方法及設備
KR102055712B1 (ko) * 2015-10-04 2019-12-13 어플라이드 머티어리얼스, 인코포레이티드 감소된 용적의 처리 챔버
WO2017062134A1 (en) * 2015-10-04 2017-04-13 Applied Materials, Inc. Small thermal mass pressurized chamber
KR102145950B1 (ko) 2015-10-04 2020-08-19 어플라이드 머티어리얼스, 인코포레이티드 기판 지지체 및 배플 장치
WO2017062135A1 (en) * 2015-10-04 2017-04-13 Applied Materials, Inc. Drying process for high aspect ratio features
US10192751B2 (en) * 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
JP6559087B2 (ja) * 2016-03-31 2019-08-14 東京エレクトロン株式会社 基板処理装置
KR102008566B1 (ko) * 2016-05-24 2019-08-07 가부시키가이샤 스크린 홀딩스 기판 처리 장치 및 기판 처리 방법
JP6736989B2 (ja) * 2016-06-07 2020-08-05 東京エレクトロン株式会社 処理液供給装置、機器ユニット、処理液供給方法及び記憶媒体
KR20180006716A (ko) * 2016-07-11 2018-01-19 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10971354B2 (en) * 2016-07-15 2021-04-06 Applied Materials, Inc. Drying high aspect ratio features
TWI767920B (zh) * 2016-07-15 2022-06-21 美商應用材料股份有限公司 乾燥高深寬比特徵
JP6708963B2 (ja) * 2016-08-04 2020-06-10 日産自動車株式会社 半導体コンデンサの製造方法
EP3282474B1 (en) * 2016-08-11 2021-08-04 IMEC vzw Method for performing a wet treatment of a substrate
US10546762B2 (en) 2016-11-18 2020-01-28 Applied Materials, Inc. Drying high aspect ratio features
JP6876417B2 (ja) * 2016-12-02 2021-05-26 東京エレクトロン株式会社 基板処理装置の洗浄方法および基板処理装置の洗浄システム
JP6836939B2 (ja) * 2017-03-14 2021-03-03 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR102030056B1 (ko) * 2017-05-02 2019-11-11 세메스 주식회사 챔버 세정 방법, 기판 처리 방법, 그리고 기판 처리 장치
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US20190070639A1 (en) * 2017-09-07 2019-03-07 Applied Materials, Inc. Automatic cleaning machine for cleaning process kits
CN117936417A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
US10784101B2 (en) 2017-12-19 2020-09-22 Micron Technology, Inc. Using sacrificial solids in semiconductor processing
US10964525B2 (en) 2017-12-19 2021-03-30 Micron Technology, Inc. Removing a sacrificial material via sublimation in forming a semiconductor
US10475656B2 (en) 2017-12-19 2019-11-12 Micron Technology, Inc. Hydrosilylation in semiconductor processing
US10957530B2 (en) 2017-12-19 2021-03-23 Micron Technology, Inc. Freezing a sacrificial material in forming a semiconductor
US11037779B2 (en) 2017-12-19 2021-06-15 Micron Technology, Inc. Gas residue removal
US10695804B2 (en) * 2018-01-25 2020-06-30 Applied Materials, Inc. Equipment cleaning apparatus and method
US10497558B2 (en) 2018-02-26 2019-12-03 Micron Technology, Inc. Using sacrificial polymer materials in semiconductor processing
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
KR102573280B1 (ko) * 2018-03-21 2023-09-01 삼성전자주식회사 기판 세정 방법, 기판 세정 장치 및 그를 이용한 반도체 소자의 제조방법
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
JP7301575B2 (ja) * 2018-05-15 2023-07-03 東京エレクトロン株式会社 基板処理方法、記憶媒体及び基板処理装置
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP7080134B2 (ja) * 2018-08-07 2022-06-03 東京エレクトロン株式会社 基板処理装置のパーティクル除去方法および基板処理装置
JP7147444B2 (ja) * 2018-10-03 2022-10-05 株式会社島津製作所 試料注入装置および試料注入システム
US11094527B2 (en) 2018-10-10 2021-08-17 International Business Machines Corporation Wet clean solutions to prevent pattern collapse
KR102195007B1 (ko) * 2018-10-11 2020-12-29 세메스 주식회사 기판 세정 조성물, 이를 이용한 기판 처리 방법 및 기판 처리 장치
WO2020112764A1 (en) * 2018-11-28 2020-06-04 Lam Research Corporation Pedestal including vapor chamber for substrate processing systems
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR102262113B1 (ko) * 2018-12-18 2021-06-11 세메스 주식회사 기판 처리 장치 및 방법
US11127588B2 (en) 2019-04-12 2021-09-21 Micron Technology, Inc. Semiconductor processing applying supercritical drying
KR102636979B1 (ko) * 2019-04-26 2024-02-14 삼성전자주식회사 멀티 챔버 장치
KR102623544B1 (ko) * 2019-06-10 2024-01-10 삼성전자주식회사 광 조사 기반 웨이퍼 세정 장치 및 그 세정 장치를 포함한 웨이퍼 세정 시스템
KR102433558B1 (ko) 2019-07-11 2022-08-19 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102378330B1 (ko) * 2019-10-11 2022-03-24 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11515178B2 (en) * 2020-03-16 2022-11-29 Tokyo Electron Limited System and methods for wafer drying
JP2022124622A (ja) * 2021-02-16 2022-08-26 株式会社Screenホールディングス 基板処理装置
JP2022147217A (ja) * 2021-03-23 2022-10-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP2022155713A (ja) * 2021-03-31 2022-10-14 芝浦メカトロニクス株式会社 基板乾燥装置及び基板処理装置に関する。
TWI784545B (zh) * 2021-05-26 2022-11-21 國立中山大學 晶圓常溫乾燥方法
KR102458839B1 (ko) 2022-06-17 2022-10-25 (주)네온테크 열풍 또는 플라즈마를 사용하여 반도체 패키지 기판을 건조하는 방법 및 이를 위한 건조 장치
KR102441255B1 (ko) 2022-02-21 2022-09-07 (주)네온테크 대기압 플라즈마 처리를 통한 기판의 드라이 방법
US11761344B1 (en) * 2022-04-19 2023-09-19 General Electric Company Thermal management system
US20240096664A1 (en) * 2022-09-15 2024-03-21 Applied Materials, Inc. On-board cleaning of tooling parts in hybrid bonding tool
KR102575765B1 (ko) 2023-03-10 2023-09-06 (주)네온테크 수세기 및 이를 사용한 수세 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004186526A (ja) * 2002-12-05 2004-07-02 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2012124441A (ja) * 2010-12-10 2012-06-28 Fujitsu Ltd 半導体装置の製造方法
WO2012133583A1 (ja) * 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
JP2012204656A (ja) * 2011-03-25 2012-10-22 Toshiba Corp 半導体基板の超臨界乾燥方法

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0244738A (ja) * 1988-08-05 1990-02-14 Semiconductor Energy Lab Co Ltd 電子装置作製方法
US5417826A (en) * 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
TW386235B (en) * 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
KR0171945B1 (ko) 1995-09-29 1999-03-30 김주용 반도체소자의 금속배선 형성방법
JPH09275085A (ja) * 1996-04-05 1997-10-21 Hitachi Ltd 半導体基板の洗浄方法ならびに洗浄装置および半導体基板製造用成膜方法および成膜装置
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6090217A (en) 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
US6508259B1 (en) 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6496648B1 (en) 1999-08-19 2002-12-17 Prodeo Technologies, Inc. Apparatus and method for rapid thermal processing
US6858089B2 (en) * 1999-10-29 2005-02-22 Paul P. Castrucci Apparatus and method for semiconductor wafer cleaning
US6576066B1 (en) * 1999-12-06 2003-06-10 Nippon Telegraph And Telephone Corporation Supercritical drying method and supercritical drying apparatus
US6286231B1 (en) 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US20030026677A1 (en) 2001-08-03 2003-02-06 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) High-pressure process apparatus
JP3944368B2 (ja) 2001-09-05 2007-07-11 株式会社荏原製作所 基板処理装置及び基板処理方法
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
US20030084918A1 (en) * 2001-11-07 2003-05-08 Kim Yong Bae Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes
JP4011900B2 (ja) 2001-12-04 2007-11-21 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6843855B2 (en) * 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
JP3782366B2 (ja) 2002-03-20 2006-06-07 日本電信電話株式会社 超臨界処理方法及び超臨界処理装置
CN1642665A (zh) 2002-03-22 2005-07-20 东京毅力科创株式会社 用超临界工艺清除杂质
TW554075B (en) 2002-04-17 2003-09-21 Grand Plastic Technology Corp Puddle etching method of thin film using spin processor
US6764552B1 (en) 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US8003587B2 (en) * 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US20030228755A1 (en) * 2002-06-07 2003-12-11 Esry Thomas Craig Method for metal patterning and improved linewidth control
US20040171260A1 (en) 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7384484B2 (en) * 2002-11-18 2008-06-10 Dainippon Screen Mfg. Co., Ltd. Substrate processing method, substrate processing apparatus and substrate processing system
JP2004249189A (ja) 2003-02-19 2004-09-09 Sony Corp 洗浄方法
US20040198066A1 (en) 2003-03-21 2004-10-07 Applied Materials, Inc. Using supercritical fluids and/or dense fluids in semiconductor applications
KR100597656B1 (ko) 2003-10-02 2006-07-07 그린텍이십일 주식회사 반도체의 제조를 위한 세정방법 및 세정장치
JP4247087B2 (ja) 2003-10-07 2009-04-02 株式会社日立ハイテクサイエンスシステムズ 微細構造乾燥処理方法及びその装置
JP2005138063A (ja) 2003-11-10 2005-06-02 Mitsubishi Materials Corp 超臨界二酸化炭素とオゾンによる洗浄方法
EP1765526A4 (en) * 2004-05-07 2007-11-14 Advanced Tech Materials COMPOSITIONS AND METHODS FOR DRYING DRY PRINTED WAFERS DURING THE MANUFACTURE OF PRINTED CIRCUITS
JP4393268B2 (ja) * 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050274396A1 (en) 2004-06-09 2005-12-15 Hong Shih Methods for wet cleaning quartz surfaces of components for plasma processing chambers
JP2006024692A (ja) 2004-07-07 2006-01-26 Toshiba Corp レジストパターン形成方法
US20060065189A1 (en) 2004-09-30 2006-03-30 Darko Babic Method and system for homogenization of supercritical fluid in a high pressure processing system
US20060130966A1 (en) 2004-12-20 2006-06-22 Darko Babic Method and system for flowing a supercritical fluid in a high pressure processing system
US7365016B2 (en) * 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
US20060254612A1 (en) * 2005-05-16 2006-11-16 Micron Technology, Inc. Polar fluid removal from surfaces using supercritical fluids
KR100730348B1 (ko) 2005-10-04 2007-06-19 삼성전자주식회사 미세 구조물의 제조 방법
US20070093406A1 (en) * 2005-10-24 2007-04-26 Omoregie Henryson Novel cleaning process for masks and mask blanks
JP2007142335A (ja) * 2005-11-22 2007-06-07 Dainippon Screen Mfg Co Ltd 高圧処理方法
JP2007206344A (ja) 2006-02-01 2007-08-16 Ricoh Co Ltd 画像形成装置
KR100837325B1 (ko) 2006-05-24 2008-06-11 삼성전자주식회사 초임계 유체를 이용한 식각, 세정 및 건조 방법들 및 이를위한 챔버 시스템
US8084367B2 (en) 2006-05-24 2011-12-27 Samsung Electronics Co., Ltd Etching, cleaning and drying methods using supercritical fluid and chamber systems using these methods
US7772128B2 (en) * 2006-06-09 2010-08-10 Lam Research Corporation Semiconductor system with surface modification
JP2008034779A (ja) * 2006-06-27 2008-02-14 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
KR100902330B1 (ko) 2006-06-29 2009-06-12 주식회사 아이피에스 반도체공정장치
KR100744145B1 (ko) * 2006-08-07 2007-08-01 삼성전자주식회사 초임계 유체를 이용하는 웨이퍼 처리 장치 및 웨이퍼 처리방법
KR100822373B1 (ko) 2006-09-12 2008-04-17 세메스 주식회사 초임계 유체를 이용한 기판 건조 장치, 이를 구비한 기판처리 설비 및 기판 처리 방법
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP5138916B2 (ja) * 2006-09-28 2013-02-06 東京応化工業株式会社 パターン形成方法
KR100876758B1 (ko) * 2006-12-26 2009-01-08 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7753353B2 (en) 2007-03-14 2010-07-13 Xerox Corporation Vertical sheet compiling apparatus and methods of vertically compiling sheets
US8057601B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20080302303A1 (en) 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US8551880B2 (en) * 2007-11-01 2013-10-08 Applied Materials, Inc. Ammonia-based plasma treatment for metal fill in narrow features
US8226775B2 (en) * 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
US8153533B2 (en) * 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US20100184301A1 (en) 2009-01-20 2010-07-22 Lam Research Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process
JP2011040572A (ja) 2009-08-11 2011-02-24 Toshiba Corp 基板処理装置および基板処理方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP2012049446A (ja) * 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
JP5843277B2 (ja) 2011-07-19 2016-01-13 株式会社東芝 半導体基板の超臨界乾燥方法及び装置
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101329317B1 (ko) 2011-12-07 2013-11-25 한국과학기술연구원 기판건조장치 및 기판건조방법
US8534659B2 (en) 2011-12-13 2013-09-17 United Microelectronics Corp. Substrate carrier and applications thereof
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
US9587880B2 (en) * 2012-05-31 2017-03-07 Semes Co., Ltd. Apparatus and method for drying substrate
TWI627667B (zh) 2012-11-26 2018-06-21 應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
JP6020233B2 (ja) 2013-02-14 2016-11-02 三菱自動車エンジニアリング株式会社 ピラートリム
KR101451244B1 (ko) 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US10391526B2 (en) 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
CN110211859B (zh) 2014-03-12 2021-10-22 应用材料公司 处理基板的方法
JP6005702B2 (ja) 2014-09-18 2016-10-12 株式会社東芝 半導体基板の超臨界乾燥方法および基板処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004186526A (ja) * 2002-12-05 2004-07-02 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2012124441A (ja) * 2010-12-10 2012-06-28 Fujitsu Ltd 半導体装置の製造方法
JP2012204656A (ja) * 2011-03-25 2012-10-22 Toshiba Corp 半導体基板の超臨界乾燥方法
WO2012133583A1 (ja) * 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法

Also Published As

Publication number Publication date
TW201842570A (zh) 2018-12-01
TWI826650B (zh) 2023-12-21
KR20210114429A (ko) 2021-09-23
KR20150088829A (ko) 2015-08-03
JP6929981B2 (ja) 2021-09-01
TWI627667B (zh) 2018-06-21
KR102284839B1 (ko) 2021-07-30
CN107799391A (zh) 2018-03-13
JP2016503588A (ja) 2016-02-04
JP2020098933A (ja) 2020-06-25
CN104919574A (zh) 2015-09-16
US20140144462A1 (en) 2014-05-29
TW201426850A (zh) 2014-07-01
JP6408477B2 (ja) 2018-10-17
CN104919574B (zh) 2018-02-16
JP6662977B2 (ja) 2020-03-11
WO2014081966A1 (en) 2014-05-30
KR102397871B1 (ko) 2022-05-12
CN107799391B (zh) 2021-11-26
US11011392B2 (en) 2021-05-18
JP2019024104A (ja) 2019-02-14
US20190287823A1 (en) 2019-09-19
TW202034397A (zh) 2020-09-16
KR20200111835A (ko) 2020-09-29
TWI689004B (zh) 2020-03-21
US10347511B2 (en) 2019-07-09
US20170250094A1 (en) 2017-08-31
US10354892B2 (en) 2019-07-16

Similar Documents

Publication Publication Date Title
US11011392B2 (en) Stiction-free drying process with contaminant removal for high-aspect ratio semiconductor device structures
US10283344B2 (en) Supercritical carbon dioxide process for low-k thin films
TWI762868B (zh) 小熱質量的加壓腔室中的基板處理方法
TWI670793B (zh) 基板支撐及擋板設備
TW201201263A (en) Supercritical processing apparatus and supercritical processing method
JP5639686B2 (ja) 基板処理方法
US10825698B2 (en) Substrate drying apparatus, facility of manufacturing semiconductor device, and method of drying substrate
JP6809273B2 (ja) 液処理方法、基板処理装置、及び記憶媒体
US10777405B2 (en) Drying process for high aspect ratio features
US20030234029A1 (en) Cleaning and drying a substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant