JP2020098933A - 高アスペクト比半導体デバイス構造のための、汚染物質除去を伴うスティクションフリー乾燥処理 - Google Patents

高アスペクト比半導体デバイス構造のための、汚染物質除去を伴うスティクションフリー乾燥処理 Download PDF

Info

Publication number
JP2020098933A
JP2020098933A JP2020022247A JP2020022247A JP2020098933A JP 2020098933 A JP2020098933 A JP 2020098933A JP 2020022247 A JP2020022247 A JP 2020022247A JP 2020022247 A JP2020022247 A JP 2020022247A JP 2020098933 A JP2020098933 A JP 2020098933A
Authority
JP
Japan
Prior art keywords
substrate
chamber
supercritical fluid
solvent
supercritical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020022247A
Other languages
English (en)
Other versions
JP6929981B2 (ja
Inventor
スティーヴン ヴァーハーヴァーベーケ,
Verhaverbeke Steven
スティーヴン ヴァーハーヴァーベーケ,
ハンウェン チェン,
Han-Wen Chen
ハンウェン チェン,
ローマン ゴウク,
Gouk Roman
ローマン ゴウク,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020098933A publication Critical patent/JP2020098933A/ja
Application granted granted Critical
Publication of JP6929981B2 publication Critical patent/JP6929981B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Abstract

【課題】半導体デバイスフィーチャ間のラインスティクションによる悪影響を低減又は除去する基板洗浄方法、及び基板処理装置を提供する。【解決手段】基板表面の残留物洗浄液を除去するために、高アスペクト比フィーチャが上部に形成された基板を、溶媒に晒し840、溶媒に晒した後、基板表面に配置された溶媒を除去するために、超臨界流体に晒し870、超臨界流体に晒した後、プラズマに晒す(後処理890)。【選択図】図8

Description

本発明の実施形態は、概して、半導体基板の洗浄方法及び装置に関し、より詳細には、高アスペクト比半導体デバイス構造のための、スティクションのない(stiction−free)洗浄及び/又は乾燥処理に関する。
半導体デバイスの洗浄においては、液体や固体の汚染物質を基板表面から除去し、表面を清浄にしておくことが求められる。湿式洗浄処理は、水性洗浄液(aqueous cleaning solutions)などの洗浄液の使用を含むのが一般的である。基板の湿式洗浄後、洗浄チャンバ内で基板表面から洗浄液を除去することが必要である。
従来の湿式洗浄技術の多くは、基板の洗浄に、液体噴霧や浸漬ステップを用いる。高アスペクト比フィーチャやボイドやポアを有する低誘電率材料を有する基板を、洗浄液の塗布後、乾燥させることは、非常に困難である。洗浄液の毛細管力はしばしば、これら構造内で材料の変形を引き起こし、これが望ましくない静摩擦(stiction)を生み、これにより半導体基板が損傷し、さらに、使用された洗浄剤の残留物を基板上に残すこととなる。上述の欠点は、高アスペクト比半導体デバイス構造を備える基板において、後続する基板の乾燥中、特に顕著である。ラインスティクション又はライン倒壊は、湿式洗浄処理中にトレンチやビア内に閉じ込められた液体上の液体と空気との界面に亘る毛細管圧力によって、高アスペクト比トレンチやビアを形成する側壁が互いの方へ屈曲することに起因する。特に、狭小なライン幅及び高アスペクト比を備えるフィーチャは、毛細管圧力によって液体と空気の界面や液体と壁の界面間に生まれた表面張力の差に対して感受性が高く、この差は毛細管力と称されることもある。デバイスのスケーリングの急激な進歩の結果、現在稼働している乾燥法は、ますます困難なラインスティクション防止という課題に直面している。
その結果、当技術分野において、基板上の半導体デバイスの生産率を低下させ得るラインスティクションを低減又は除去できる乾燥処理に対する需要が存在する。
本明細書に記載の実施形態は、概して、基板洗浄方法及び基板処理装置に関する。より詳細には、実施形態は、半導体デバイスフィーチャ間のラインスティクションによる悪影響を低減又は除去する方式の、基板洗浄方法に関する。他の実施形態は、半導体デバイスフィーチャ間のラインスティクションを低減又は除去する方式の基板洗浄を可能にする、基板処理装置に関する。
一実施形態は、概して、基板を洗浄する方法に関する。当該方法は、基板表面に配置されたある量の残留物洗浄液を除去するために、基板を溶媒に晒すこと、基板表面に配置された溶媒を除去するために、基板を超臨界流体に晒すこと、及び、基板をプラズマに晒すことを含む。
別の実施形態は、基板処理装置を提供する。当該装置は、内部にロボットが配置された移送チャンバを有する。ロボットは、一又は複数の基板を、移送チャンバに連結された複数の処理チャンバ間で移送するように適合される。幾つかの構成において、基板処理装置は、移送チャンバに連結された湿式洗浄チャンバを含み得る。湿式洗浄チャンバは、基板支持体、及び、洗浄液を湿式チャンバの処理領域へと供給するように適合される、洗浄液送出装置を有する。溶媒交換処理チャンバが移送チャンバに連結される。溶媒交換チャンバは、基板支持体を有し且つ液体溶媒を溶媒交換チャンバに供給するように適合される、液体溶媒送出装置に連結される。超臨界流体チャンバが移送チャンバに連結される。超臨界流体チャンバは、基板支持体、加熱素子、気相又は液相のCOを受けるように適合されたポート、並びに、加圧装置を有する。プラズマチャンバが移送チャンバに連結される。プラズマチャンバは、基板支持体、シャワーヘッド、ハロゲンガス又はOガスを受けるように適合されたポート、及び、プラズマチャンバの処理領域においてプラズマを発生させるように適合された、RF電源を有する。
別の実施形態は、基板処理装置を提供する。当該装置は、移送チャンバに連結された湿式洗浄チャンバを有する。湿式洗浄チャンバは、基板支持体及び処理領域を有し、処理領域は、湿式洗浄チャンバの処理領域に洗浄液を供給するように適合される洗浄液送出装置に連結される。溶媒交換処理チャンバが移送チャンバに連結される。溶媒交換チャンバは、基板支持体を有し且つ液体溶媒を溶媒交換チャンバに供給するように適合される、液体溶媒送出装置に連結される。超臨界流体チャンバが移送チャンバに連結される。超臨界流体チャンバは、基板支持体、加熱素子、気相又は液相のCOを受けるように適合されたポート、及び、加圧装置を有する。プラズマチャンバが移送チャンバに連結される。プラズマチャンバは、基板支持体、シャワーヘッド、ハロゲンガス又はOガスを受けるように適合されたポート、及び、プラズマチャンバの処理領域においてプラズマを発生させるように適合された、RF電源を有する。移送チャンバは、湿式洗浄チャンバ、溶媒交換処理チャンバ、超臨界流体チャンバ、及びプラズマチャンバの間で、一又は複数の基板を移送するように適合される、第1のロボットを有する。
本発明の上述のような特徴が詳細に理解されるように、上記で簡単に概説した本発明のより具体的な記載が、実施形態を参照することによって得られ、これら実施形態の幾つかは添付の図面で示される。しかし、発明は他の等しく有効な実施形態も許容し得るため、添付の図面は本発明の典型的な実施形態のみを示しており、従って発明の範囲を限定すると見なすべきではないことに留意されたい。
湿式処理後の乾燥中の毛細管力の発生に起因する、基板上に形成された半導体デバイス構造内に形成されたフィーチャ間に発生した、スティクションの影響を示す。 本明細書に記載の実施形態による、基板処理装置を示す。 本明細書に記載の実施形態による、基板処理装置を示す。 本明細書に記載の実施形態による、処理装置における基板処理フローを示す。 本明細書に記載の実施形態による、処理装置における基板処理フローを示す。 本明細書に記載の実施形態による、湿式処理チャンバの断面図を示す。 本明細書に記載の実施形態による、溶媒濾過システムの概略図を示す。 本明細書に記載の実施形態による、超臨界流体チャンバの概略断面図を示す。 本明細書に記載の実施形態による、図5Aの超臨界流体チャンバの概略側面図を示す。 本明細書に記載の実施形態による、図5Aの超臨界流体チャンバの部分概略断面図を示す。 本明細書に記載の実施形態による、図5Cの超臨界流体チャンバの概略側面図を示す。 本明細書に記載の実施形態による、超臨界流体送出システムを概略的に示す。 COを表す相変化図である。 本明細書に記載の実施形態による、プラズマチャンバの断面図を示す。 本明細書に記載の実施形態による、基板洗浄の方法ステップのフロー図である。
理解を容易にするため、可能な場合には、上記の図に共通する同一の要素を示すのに同一の参照番号を使用した。一実施形態で開示する要素は、具体的な記述がなくとも、他の実施形態で有益に利用できることが企図されている。本明細書で参照する図面は、別途記載のない限り、寸法通り描かれていると理解されるべきでない。また、図面はしばしば簡略化され、図示と説明のために細部や部品が省略されている。図面及び記載は後述の原理を説明するために機能し、類似の符号は類似の要素を示している。
本明細書に記載の実施形態は、概して、基板の洗浄に用いられる方法及び装置に関する。より詳細には、実施形態は、基板に湿式洗浄処理が実施された後に半導体デバイスフィーチャ間に発生するラインスティクションを低減又は除去する方式の、基板洗浄方法に関する。他の実施形態は、半導体デバイスフィーチャ間のラインスティクションを低減又は除去する方式の基板洗浄を可能にする、基板処理装置に関する。
本明細書の実施形態の完全な理解を提供するために、下記の記載において、説明を目的とした複数の特定の詳細が記載される。しかしながら、本発明がこれら特定の詳細なしに実施可能であることは当業者には明らかであろう。その他の場合には、記載の実施形態を不明瞭にしないために、特定の装置構造が記載されていない。下記の記載及び図面は実施形態を例示するものであり、本発明を限定するものと解釈されるべきでない。
図1は、半導体デバイス100内の2つのフィーチャ間でラインスティクションが発生した、半導体デバイス100の一部分の概略断面図である。図示のように、基板表面上に高アスペクト比デバイス構造が形成されている。処理中、デバイス構造102は垂直配向で維持されるべきであり、壁106は、隙間104を横切ってデバイス構造102の隣接する壁106に接触すべきではない。半導体デバイス100が湿式化学洗浄された後乾燥される場合、デバイス構造102の壁106は、隙間104内に配置された洗浄液によって発生する、空気と液体との界面に起因する毛細管力を受け、これにより隣接するデバイス構造102の壁106が互いの方に屈曲し、互いに接触することとなる。ラインスティクションは、隣接するデバイス構造102の壁106間の接触の結果であり、究極的には隙間104の閉塞を引き起こす。ラインスティクションは、更なる堆積ステップなどの後続する基板処理ステップ中、隙間104へのアクセスを妨害するので、一般的に望ましくないものである。
本明細書に記載の方法によれば、ラインスティクションを防止するため、基板は湿式洗浄チャンバ内で、脱イオン水又は洗浄用化学物質などの水性洗浄液に晒され得る。このような基板は、電子デバイスがその上に配置された又は形成された、半導体基板を含む。湿式洗浄チャンバ内で基板上に水性洗浄液を使用することにより、湿式洗浄処理が実施された後に基板上に残った残留物が除去される。幾つかの構成において、湿式洗浄チャンバは、枚葉式ウエハ洗浄チャンバ及び/又は水平スピニングチャンバであり得る。付加的に、湿式洗浄チャンバは、基板のデバイスのない側に向けた音響エネルギーを生成するように適合される、メガソニックプレートを有し得る。
基板の湿式洗浄後、湿式洗浄チャンバ内で使用した、使用済みの水性洗浄液をすべて置換するために、基板が溶媒交換チャンバに移送され得る。次いで、基板に更なる洗浄及び乾燥ステップを実施するため、基板が超臨界流体チャンバに移送され得る。一実施形態で、基板を乾燥することは、基板表面に超臨界流体を送出することを含み得る。乾燥ガスは、超臨界処理チャンバ内で達成される又は維持される、特定の圧力構成及び温度構成に晒された場合に、超臨界状態に転移するように、選択され得る。そのような乾燥ガスの一例は、二酸化炭素(CO)を含む。乾燥ガスの別の例は、プロパン(C)である。図6は、COの相変化図を示す。超臨界COは超臨界ガスであるので、その表面張力は気体に類似するが、液体に類似した密度を有し、表面張力を有さない。図は、超臨界COが、約73.0atmの圧力及び約31.1°Cの温度において臨界点を有することを示している。COなどの超臨界流体に固有な特性の1つは、超臨界圧を上回る圧力及び超臨界点(例えば、COでは31.1°C及び73atm)を上回る温度では、凝集が発生しないことである。臨界温度の右側であり且つ臨界圧(例えばCOでは73atm)の上である領域が、CO乾燥ガスの超臨界状態を画定する。
超臨界流体は、その固有の特性により、基板内の実質的にすべてのポアやボイドに浸透し、隙間104内に存在する残留しているすべての液体又は粒子を除去し得る。一実施形態で、粒子及び残留物を除去するために超臨界処理が所望の期間進行した後、ほぼ一定温度でチャンバの圧力が下げられ、隙間104内で超臨界流体を気相へと直接的に転移することが可能となる。超臨界流体処置の前に隙間104内に存在する液体は、典型的には、溶媒交換チャンバからの置換溶媒であり得る。隙間104内に典型的に存在する粒子は、有機核種(すなわち炭素)、無機核種(すなわちシリコン)、及び/又は金属などの任意の固体粒状物であり得る。超臨界流体によって乾燥され得る隙間104の例は、誘電体層におけるボイド又はポア、低誘電率誘電材料におけるボイド又はポア、及び、流体及び粒子を閉じ込め得る、基板における他のタイプの間隙を含み得る。更に、超臨界乾燥は、相転移中に液体状態を回避することにより、及び、超臨界COなどの超臨界流体の微小な表面張力によって、デバイス構造102の壁106間で発生した毛細管力を除去することにより、ラインスティクションを防止し得る。
次いで、基板は、超臨界流体チャンバから後処理チャンバへ移送され得る。後処理チャンバはプラズマ処理チャンバであり得、この内部で、基板上に存在し得る汚染物質が除去され得る。基板を後処理することはまた、デバイス構造に存在するすべてのラインスティクションを更に解除し得る。本明細書に記載の処理は、約10:1もしくはそれを上回る、20:1もしくはそれを上回る、又は、30:1もしくはそれを上回るアスペクト比などの、高アスペクト比を有するデバイス構造の洗浄に有益である。特定の実施形態で、本明細書に記載の実施形態は、3D/垂直NANDフラッシュデバイス構造の洗浄に特に有益である。
図2Aは、本発明の一実施形態による、上述のステップのうちの一又は複数を実施するように適合される基板処理装置を示す。一実施形態で、処理装置200は、湿式洗浄チャンバ201、溶媒交換チャンバ202、超臨界流体チャンバ203、後処理チャンバ204、移送チャンバ206、及び湿式(wet)ロボット208を備え得る。基板を処理することは、金属線によって相互接続された、トランジスタ、キャパシタ、又はレジスタなどの電気デバイスを形成することを含むがこれらに限定されず、電気デバイスは基板上の層間誘電体によって絶縁される。これらの処理は、基板を洗浄すること、基板上に形成された膜を洗浄すること、基板を乾燥させること、及び、基板上に形成された膜を乾燥させることを含み得る。別の実施形態で、処理装置200は、処理装置200内で処理された基板を検査するためのツール(図示せず)を含む、検査チャンバ205を含み得る。
一実施形態で、基板処理装置200は、湿式洗浄チャンバ201、溶媒交換チャンバ202、超臨界流体チャンバ203、後処理チャンバ204、及び移送チャンバ206などの幾つかの基板処理チャンバを備えた、クラスタツールである。処理装置200はまた、化学気相堆積(CVD)チャンバ、原子層堆積(ALD)チャンバ、及び/又は物理的気相堆積(PVD)チャンバなどの、膜堆積チャンバ(図示せず)も備え得る。これらチャンバは、移送チャンバ206内に配置され得る湿式ロボット208の周辺に位置決めされ得る。湿式ロボット208は、モータ、基部、アーム、及び、チャンバ間で基板を移送するように構成されるエンドエフェクタ209を備え得る。任意選択的に、処理装置200のスループットを向上させるために、湿式ロボット208が複数のアーム及び複数のエンドエフェクタを有し得る。一実施形態で、湿式ロボット208は先述のチャンバ間で基板を移送する。別の実施形態で、湿式ロボット208のエンドエフェクタのうちの少なくとも1つは乾式(dry)エンドエフェクタ専用であり(例えば、乾燥したウエハを扱うように適合され)、湿式ロボット208のエンドエフェクタのうちの少なくとも1つは湿式エンドエフェクタ専用である(例えば、湿ったウエハを扱うように適合される)。専用の乾式エンドエフェクタは、超臨界流体チャンバ203と後処理チャンバ204との間で基板を移送するために使用され得る。処理装置200はまた、ファクトリインターフェース218に配置される乾式ロボット216も備え、ファクトリインターフェース218は、処理装置200並びに複数の基板カセット212及び214に連結され、複数の基板カセット212及び214の各々は、未洗浄もしくは未乾燥の又は洗浄済みもしくは乾燥済みの、複数の基板を保持する。乾式ロボット216は、カセット212及びカセット214と、湿式洗浄チャンバ201及び後処理チャンバ204との間で、基板を移送するように構成され得る。別の実施形態で、乾式ロボット216は、超臨界流体チャンバ203と後処理チャンバ204との間で、基板を移送するように構成され得る。処理装置200内の処理チャンバは、基板移送チャンバ206を収納する水平プラットフォーム上に配置され得る。
図2Bに示す代替的実施形態で、処理装置200Aは、湿式洗浄チャンバ201、溶媒交換チャンバ202、超臨界流体チャンバ203、後処理チャンバ204、及び移送チャンバ206などの幾つかの基板処理チャンバを備えた、線形の装置であり得る。例えば、処理装置200Aは、カリフォルニア州サンタクララのApplied Materialsから入手可能なRaider(登録商標)GTであり得るが、他の製造者による他の処理装置が、本明細書に記載の実施形態を実施するように適合されてもよい。処理装置200はまた、CVDチャンバ、ALDチャンバ、及び/又はPVDチャンバなどの、膜堆積チャンバ(図示せず)も備え得る。これらチャンバは、移送チャンバ206内に配置されるロボット208Aの周辺に位置決めされ得る。ロボット208Aは、モータ、基部、アーム、並びに、チャンバ間で基板を移送するように構成される、エンドエフェクタ209A及び209Bを備える。ロボット208Aは、処理装置200Aのスループットを向上させるために、複数のアーム及び複数のエンドエフェクタを有し得る。一実施形態で、ロボット208Aは専用の湿式エンドエフェクタ209Aを有し、先述のチャンバ間で基板を移送する。処理装置200Aはまた、処理装置200並びに複数の基板カセット212及び214に連結される、ファクトリインターフェース218も備え、複数の基板カセットの各々は、未洗浄もしくは未乾燥の又は洗浄済みもしくは乾燥済みの、複数の基板を保持する。専用の乾式エンドエフェクタ209Bを有するロボット208Aは、カセット212及び214と、湿式洗浄チャンバ201及び後処理チャンバ204との間で、基板を移送する。一実施形態で、専用の乾式エンドエフェクタ209Bは、超臨界流体チャンバ203と後処理チャンバ204との間で基板を移送するように構成され得る。処理装置200A内のチャンバは、基板移送チャンバ206を収納する水平プラットフォーム上に配置され得る。
処理装置200Aの幾つかの構成において、ロボット208Aは、線形トラック220に沿って移動する。チャンバは、線形トラック220の一方の側又は両側に、順に配置され得る。湿式基板移送を実施するために、ロボット208Aが基板を移送する前に薄い湿った層のみが基板表面上に残るように、基板が依然としてチャンバ内にある間に基板を回転させることなどによって余分な液体が基板から除去され得る。ロボット208A上に2つ又はそれよりも多いエンドエフェクタを提供する実施形態では、少なくとも1つは湿式基板移送専用であり、その他は乾式基板移送専用であり得る。多量生産のために、延長可能な線形の構成において更なるチャンバが設置され得る。
上記の実施形態で参照した構成は、各チャンバの設計の複雑さを大幅に低減し、繊細な処理ステップ間の待機時間制御を可能にし、各キーステップの処理持続期間を均一化するために、調整可能なチャンバモジュール数によって、連続生産におけるスループットを最適化する。
再び図2Aを参照すると、処理装置200の洗浄及び乾燥処理は、利用可能なチャンバ空間と湿式ロボット208とを使用することにより、スループットを最大化するタイミングとされたシーケンスで進行する。一又は複数の膜が上部に形成された基板を洗浄及び乾燥するための、1つの可能な処理シーケンスは、以下を含む。乾式ロボット216が清浄でない基板を基板カセット212又は214から取り、基板を湿式洗浄チャンバ201内に置き、湿式ロボット208が、基板を湿式洗浄チャンバ201から除去して基板を溶媒交換チャンバ202内に置き、湿式ロボット208が基板を溶媒交換チャンバ202から除去して基板を超臨界流体チャンバ203内に置き、乾式ロボット216又は湿式ロボット208の専用の乾式エンドエフェクタが、基板を超臨界流体チャンバ203から除去して後処理チャンバ204内に位置決めし、乾式ロボット216が、基板を後処理チャンバ204から除去して、洗浄及び乾燥された基板を基板カセット212もしくは214内に配置する。処理装置200内の基板の移動は、基板の洗浄時間及び乾燥時間を最適化し得る。基板の最適な洗浄時間及び乾燥時間を選択するために、その他のシーケンスの変化形が用いられ得る。
一実施形態で、基板は、基板上に一又は複数の膜を形成するための膜堆積チャンバ(図示せず)、或いは、基板から材料を除去し得る膜エッチングチャンバなどの、処理装置(例えば、処理装置200)内に配置された前処理チャンバ内で、処理され得る。カセット212及びカセット214は基板を処理装置200に送出し、次いで基板は、ロボットにより、湿式洗浄チャンバ201などの第1の処理チャンバ内に配置され得る。この構成で、ロボットは、カセット212及びカセット214のうちの1つから第1の処理チャンバへと、基板を送出する。次いで基板は、湿式洗浄チャンバ201内で、基板上に存在する、材料の残留物/粒子又は液体などの汚染物質を除去するために、洗浄液に晒され得る。一実施形態で、洗浄液は、脱イオン水、洗浄溶媒、又はそれらの組み合わせを含み得る。
次に、基板は、湿式洗浄チャンバ201から溶媒交換チャンバ202へと、湿式ロボット208によって移送され得る。溶媒交換チャンバ202内で、基板を溶媒に晒すことにより、その前に配置された洗浄液が溶媒によって置換され得る。一実施形態で、洗浄液を置換するために使用される溶媒は、液相又は超臨界相の何れかにある単一の化学物質であるか、様々な化学物質のシーケンスであるか、又は、液相又は超臨界相にあるこれら物質の混合物であり得る。置換のためのこれら化学物質又は混合物の、状態及び相は、脱イオン水、溶媒、もしくは化学物質、及びこれらの混合物から選択された化学物質又は混合物の、比溶解度、混和性、及び液体置換特性によって決定され得る。
一実施形態で、基板は、基板上に残留している残液を置換するために溶媒に晒され得る。溶媒は、以前の処理ステップからの基板表面上の液体残留物を、実質的にすべて置換するのに十分な量で、基板の上面に供給され得る。充填及びパージ(fill and purge)処理によって溶媒交換が実施され得る。例えば、先述したような一又は複数の溶媒が溶媒交換チャンバ202内に導入され、溶媒交換チャンバ202が、少なくとも基板を被覆するまで溶媒で満たされ得る。溶媒交換が所望の期間進行した後、溶媒交換チャンバ202から一又は複数の溶媒を除去することにより、チャンバはパージされ得る。
一実施形態で、溶媒交換に適した溶媒は、アセトン、イソプロピルアルコール、エタノール、メタノール、及び他の無極性溶媒を含む。水を除去し、超臨界流体に可溶な溶媒と交換することにより、後続する超臨界フラッシング及び乾燥が、溶媒と超臨界流体との間の除去中の相分離の防止によって改善されると考えられている。
別の実施形態で、溶媒交換処理に適した溶媒は、N−メチル−2−ピロリドン、N−メチルホルムアミド、1,3−ジメチル−2−イミダゾリジノン、ジメチルアセトアミド、及びジメチルスルホキシドを含む。これらの極性有機溶媒などの極性溶媒は、水を置換し、高誘電率(30を上回る)及び低蒸発率(酢酸n−ブチルに対して0.5を下回る)を有するので、一般的に望ましい。極性有機溶媒はまた、一般的に水混和性であり、シリコンと水との反応からシリカ粒子が析出することを抑制する。
一実施形態で、溶媒交換処理は、基板表面上に残っている残液を置換するために、複数の溶媒を連続的に基板に供給することを含む。この処理シーケンスの一実施形態で、残液を除去するために、極性溶媒、次いで無極性溶媒を基板表面に送出することによる、複数ステップでの溶媒交換処理が実施され得る。一実施例で、処理シーケンスは、基板から脱イオン水を置換するように構成される極性溶媒を含む第1の溶媒、次いで、約90パーセント又はそれを上回る液体イソプロピルアルコール(IPA)などの無極性溶媒を含む第2の溶媒であって、溶媒交換チャンバ202内で基板上の極性溶媒を置換するために室温で用いられ得る、第2の溶媒を供給することを含む。極性溶媒の使用は、任意の残留粒子の除去を支援するのに効果的であり、これはIPAなどの無極性溶媒を基板表面に供給する前に重要である。極性溶媒及び後続する無極性溶媒の使用により、残液及び任意の残留粒子、又は基板からの同様の汚染物質をより効率的に除去すると考えられている。
しかしながら、大抵の極性溶媒の構造によって、極性溶媒が置換された後、洗浄用流体(すなわち水溶液)、超臨界COにおける可溶性が低い極性有機溶媒などの極性溶媒は、後続する処理ステップ中に極性溶媒が容易に基板表面から除去され得ることを保証するために、無極性溶媒に置き換えられる必要がある。IPAなどの無極性溶媒が極性有機溶媒を置換した後、無極性溶媒の、超臨界流体(例えば超臨界CO)での後続する置換が実施され得る。好ましい実施形態で、極性有機溶媒は、N−メチル−2−ピロリドンもしくはN−メチルホルムアミド、又はそれらの組み合わせを含む。したがって、一実施例で、溶媒交換処理は、洗浄液を極性有機溶媒で置換すること、次いで、この極性有機溶媒を無極性有機溶媒で置換することを含み得る。
次に、基板を後続する超臨界洗浄及び超臨界乾燥処理用に準備するために、相転移処理が実施され得る。相変化処理は、2つの方式のうちの1つ、又はそれらの組み合わせで進行し得る。一実施形態で、基板表面上の残留材料の、無極性溶媒などの溶媒による置換が実施された後、溶媒は、純粋の超臨界CO又はCなどの超臨界流体で、直接的に置換される。この実施形態では、純粋の超臨界流体が超臨界流体チャンバ203に供給され得る。したがって、超臨界流体チャンバ203内で維持される温度及び圧力は、流体を超臨界状態に維持するために調整され得る。別の実施形態では、上述の置換処理で使用される化学物質又は化学物質混合物が液体COなどの液体であって、超臨界相に転移される場合、化学物質又は化学物質混合物を超臨界流体に変換するために、相転移処理が使用され得る。例えば、90パーセント又はそれを上回る液体IPAが、約5〜8°C及び約50バールで、液体COによって置換され得る。一実施形態で、上記の約5〜8°C及び約50バールの液体COは、超臨界流体チャンバ203内で、約40°C及び約95バールの圧力まで加熱され得る。超臨界相を発生させた結果、液体と気体、及び液体と固体との間の表面張力の差に起因する毛細管圧力が取り除かれる。毛細管圧力を取り除くことにより、清浄な表面の屈曲や相互作用を防止し、これにより高アスペクト比フィーチャにおいてスティクションが発生する確率を低減し得る。
一実施形態で、超臨界フラッシング処理において、基板表面上に残留している粒子及び残留物を洗浄しフラッシング除去(flush away)するために、先述したものと同じタイプの化学物質又は化学物質混合物を含む超臨界流体が形成され、超臨界流体チャンバ203に導入され得る。一実施形態で、COが超臨界流体を形成するために使用され得る。超臨界COは、超臨界流体チャンバ203の外で形成され、次いで、超臨界流体チャンバ203に導入される。一実施形態で、約40°C及び約95バールなど、超臨界点を上回る点における超臨界COは、超臨界流体チャンバ203の外で形成され、次いで、超臨界流体チャンバ203に導入される。
別の実施形態で、液体COがチャンバに供給され、続いて、チャンバ内で温度と圧力とを上昇させることにより超臨界COに変換される。この実施形態で、液体COは、第1の流量で超臨界流体203チャンバに供給され、ここで、第1の流量は、基板表面上に存在する溶媒を攪乱することを避けるように構成される。所望の量の液体COが超臨界流体チャンバ203に供給された後、更なる液体COが、第1の流量を上回る第2の流量で、超臨界流体チャンバ203が実質的に液体COで充填されるまで、超臨界流体チャンバ203に供給される。第2の流量は、基板上の溶媒と液体COとの間の相互作用を促すための乱流を発生させるように構成される。超臨界CO流体ではなく液体COを、溶媒材料と相互作用させることにより、この溶媒材料の置換処理と基板表面からの除去とが大きく向上すると考えられている。シャワーヘッド、又は、チャンバ壁内の一もしくは複数の角度付けされた通路など様々な装置が、増加した第2の流量との組み合わせで使用され、液体COの乱流、及び、超臨界流体チャンバ203内に配置された基板表面との相互作用を強化する。
両方の実施形態において、超臨界COは気体と液体との中間の特性を呈し、気体と同様の輸送挙動によって複雑なナノレベルの形状に十分に浸入する能力を有し、これにより、一般に流動液体に関連する優れた物質移動能力によって、効果的に粒子や残留物を除去できる。一実施形態では、この超臨界フラッシング処理が約30秒〜約60秒間進行し得る。
超臨界フラッシング処理は、幾つかの異なる方式で達成され得る。一実施形態で、超臨界流体がチャンバ203内の基板の上の容積へと導入され滞留させられる。一実施例で、基板表面の上の、純粋の超臨界COは、純粋の超臨界COが存在する基板の上の容積と、溶媒、残留物、及び粒子が存在し得る基板表面との間で、濃度勾配を生み出す。流体が熱力学的な平衡状態にあることを求めるので、溶媒、残留物、及び粒子は、基板表面上の高アスペクト比フィーチャからの拡散によって、基板の上のCOの体積に引かれると考えられている。
別の実施形態では、超臨界流体を、チャンバ203を機械的に通流させることにより、超臨界フラッシングが実施され得る。超臨界流体を基板表面上の高アスペクト比フィーチャに浸入させて、高アスペクト比フィーチャ内に存在し得る溶媒、残留物、及び粒子をフラッシング除去するために、超臨界流体は、基板表面に亘り十分な流量で送出され得る。幾つかの実施形態で、この機械的流入はまた、チャンバ203内に存在する滞留流体(例えばCO)の期間と呼応して機能し、フラッシング処理を向上させ得る。この例では、基板表面に亘る機械的流入の期間のシーケンス、次いで、流れの滞留期間を使用して、超臨界フラッシング処理が向上し、回収又は排出されることが必要な超臨界COなどの超臨界流体の量を削減し得る。
次に、基板は、超臨界乾燥処理に晒され得る。化学物質又は化学物質混合物が、液体状態に入ることなく超臨界から気体状態へ相転移することを保証するために、この処理は、チャンバ203内の温度及び圧力を調整することによって制御され得る。図6は、発生し得るCOの相を、温度及び圧力に関して示す。この処理は、超臨界流体(破線を越えるエリア)が、例えば、図6に示すように液相と気相とを分離している線を越えることによって液体となることなく、気体状態に変化することを保証する。超臨界乾燥処理中に高アスペクト比トレンチから除かれた流体は、超臨界流体の特徴によって微小な表面張力を呈し、結果として、ラインスティクションを低減する又は取り除く。一実施形態では、約40°C、約95バールの超臨界COが、圧力が約21バールに低下するまで、約40°Cで等温減圧される。一実施形態で、超臨界流体チャンバ203内に残留しているガスは、チャンバから排気エリアへ排気され得る。
次いで、基板は、超臨界流体チャンバ203からロボット208によって後処理チャンバ204へ移送され得る。基板は、乾燥スティクション除去処理を完遂するための最終処置として、基板を低電力でプラズマに晒すことによって、後処理チャンバ204内で後処理され得る。後処理は、倒壊した任意のトレンチの剥離を解除する及び/又は基板表面を安定化し得る。一実施形態で、酸素(O)ガスプラズマ又はハロゲンガスプラズマが、チャンバ内で当該ガスを基板表面上で約10秒間約75WのRF電力に晒してプラズマを形成することによって、基板に適用され得る。別の実施形態で、後処理チャンバ204内で形成されるRFプラズマが、C又はCFなどのフルオロカーボンを含み得る。基板の後処理により、以前の洗浄処理で使用した化学物質又は化学物質混合物によって発生した局所的な不純物に起因する、軽微な又は一時的なスティクションを解除し得る。後処理は更に、デバイスフィーチャの洗浄済み表面間で、原子軌道の重なり、ファンデルワールス力、又は、高エネルギー状態にある隣接するデバイスフィーチャがより安定した低エネルギー状態に到達するために相互結合する未結合手(dangling bonds)の存在などの、原子相互作用によって引き起こされる、軽微な又は一時的なスティクションを解除し得る。
後処理は、上述の不純物や原子の相互作用が「落ち着く(set)」或いはより永続的となる時間を与えないために、超臨界乾燥処理の直後に実施される場合に最も効果的であり得る。超臨界乾燥ステップの直後に実施される後処理は、未結合手などの清浄な表面の原子の相互作用が、原子が安定した低エネルギー状態で結合するために電子を移動する或いは共有する前に、低エネルギー状態へと再構成することも防止し得る。別の実施形態では、基板上に残留している任意の有機汚染物質が、バイアスプラズマに晒すことにより除去され得る。
図3Aは、一実施形態による基板処理シーケンスを示す。処理装置300は、湿式洗浄チャンバ301、溶媒交換チャンバ302、超臨界流体チャンバ303、及びプラズマ処理チャンバ304などの、図2A〜2Bと共に先述したチャンバと同様の複数のチャンバを備える。基板処理シーケンスは、図8の方法を参照して後述するように、処理装置300を通じて進行する。図3の処理装置は、ファクトリインターフェース310内に配置される乾式ロボット316を更に備える。乾式ロボット316は、処理装置300の移送チャンバ306内に配置される湿式ロボット308と同様のものであり得る。乾式ロボット316は、一又は複数のカセットへ、及び一又は複数のカセットから、処理装置300へと基板を移送するように構成され得る。一実施形態で、乾式ロボット316は、一又は複数のカセット312からファクトリインターフェース310を通って湿式洗浄チャンバ301へと、基板を移送するように構成され得る。乾式ロボット316のエンドエフェクタは、清浄でない基板をカセットから除去し、清浄でない基板を湿式洗浄チャンバ301へ移送し、ここで乾式ロボット316は、清浄でない基板を湿式洗浄チャンバ301の内部へ送出し得る。乾式ロボット316はまた、超臨界流体チャンバ303からプラズマ処理チャンバ304へ、及び、プラズマ処理チャンバ304からファクトリインターフェース310を通って一又は複数のカセット312へ、基板を移送するように構成され得る。乾式ロボット316のエンドエフェクタは、清浄な基板をプラズマ処理チャンバ304の内部から除去し、清浄な基板をカセット312へ送出し得る。処理装置300内のチャンバにおいて、本発明を実現できる複数の構成が可能であることを理解すべきである。
図3Bは、別の実施形態による基板処理フローを示す。処理装置300は図3Aの処理装置と同様であり得る。一実施形態で、湿式ロボット308は、移送チャンバ306内に配置されて様々な処理チャンバ間で基板を移送する、複数のエンドエフェクタ320A、320B、及び322を備え得る。
一実施形態で、エンドエフェクタ320A及び320Bは、湿式処理移送ステップ専用であり得る。例えば、湿式洗浄チャンバ301又は溶媒交換チャンバ302内で基板が処理され、基板表面を移送中に保護し基板の乾燥を防ぐための液体の薄膜が基板表面上に残り、これにより1つの基板から別の基板への待機時間の変動を低減し得る。湿式処理エンドエフェクタ320A及び320Bの各々は、後続する処理ステップ中の基板の汚染を防止するために、2つのチャンバ間のみの基板移送専用であり得る。湿式処理エンドエフェクタ320Aは更に、湿式洗浄チャンバ301から溶媒交換チャンバ302へと基板を移送し得る。湿式処理エンドエフェクタ320Aは、湿式処理エンドエフェクタ320Aが基板を湿式洗浄チャンバ301へと移送し得る、湿式洗浄チャンバ301と、湿式処理エンドエフェクタ320Aが基板を溶媒交換チャンバ302へと挿入する、溶媒交換チャンバ302との間の経路において、移動する。湿式処理エンドエフェクタ320Aは、同じ経路に沿って戻り、湿式洗浄チャンバ301内で処理された新しい基板の各々に対してこの処理を繰り返し得る。
一実施形態で、湿式処理エンドエフェクタ320Bは湿式処理エンドエフェクタ320Aと同様であり得る。しかしながら、湿式処理エンドエフェクタ320Bは、溶媒交換チャンバ302から超臨界流体チャンバ303へと基板を移送し得る。湿式処理エンドエフェクタ320Bは、溶媒交換チャンバ302と超臨界流体チャンバ303との間の経路において移動し得る。動作中、湿式処理エンドエフェクタ320Bは、基板を溶媒交換チャンバ302から除去し、当該基板を超臨界流体チャンバ303へと移送し、当該基板を超臨界流体チャンバ303へ挿入し得る。1つの構成において、湿式処理エンドエフェクタ320Bは、同じ経路に沿って戻り、溶媒交換チャンバ302内で処理された新しい基板の各々に対してこの処理を繰り返し得る。
別の実施形態で、湿式ロボットは乾燥処理エンドエフェクタ322をさらに備える。乾燥処理エンドエフェクタ322は、超臨界流体チャンバ303とプラズマチャンバ304との間の基板移送専用であり得る。乾燥処理エンドエフェクタ322は、超臨界流体チャンバ303とプラズマチャンバ304との間の経路を移動し得る。動作中、乾燥処理エンドエフェクタ322は、基板を超臨界流体チャンバ303から除去し、当該基板をプラズマチャンバ304へと移送し、ここで、乾燥処理エンドエフェクタ322は当該基板をプラズマチャンバ304へ挿入し得る。ある構成において、乾燥処理エンドエフェクタ322は、同じ経路に沿って戻り、超臨界流体チャンバ303内で処理された新しい基板の各々に対してこの処理を繰り返し得る。
図4Aは、一実施形態による湿式処理チャンバの断面図を示す。図4Aに示す湿式処理チャンバは、湿式洗浄チャンバ201として及び/又は溶媒交換チャンバ202として用いられ得ることが意図される。一実施形態で、湿式処理チャンバ 400は単一の基板処理チャンバであり得る。一実施形態では、基板406の底部側(基板底面414)が、洗浄溶液、リンス溶液、及び乾燥溶液412に晒される一方、基板406の上部側(基板上面416)は溶液に晒されない。基板底面414(これは基板の非デバイス側であり得る)は、溶液412に晒されるために下方向に向けられ得る。別の実施形態では、基板上面416及び基板底面414の両方が、一又は複数の洗浄溶液又は溶媒交換溶液に晒され得る。
一実施形態で、チャンバ 400は、回転デバイス 449の軸に沿って並進する回転可能な基板保持ブラケット(ブラケット) 448を含む。回転デバイス 449は更に、ブラケット 448を回転させ得る電子モータ(図示せず)に連結され得る。チャンバ 400はまた、アクセスドア(図示せず)を含み、基板406を保持しているロボットアーム(図示せず)は、このアクセスドアを通じて進入し基板をブラケット 448内に配置し得る。一実施形態で、基板406は、ブラケット 448内に配置されると、ブラケット 448内に含まれる支持クリップ410及び垂直支持ポスト上に置かれ得る。ブラケット 448は、支持ポストと共に、基板を所望の位置へと上昇又は下降させ得る。
一実施形態で、ブラケット 448は、洗浄サイクル中に溶液が下方から分配される間、基板406を回転させ得る。別の実施形態では、洗浄サイクルなどの処理サイクル中、溶液412が基板406の上面及び/又は底面の別のノズルから分配される間、ブラケット 448は基板406を回転させ得る。別の実施形態で、ブラケット 448は、洗浄中、水平面内で基板406を回転させ得る。
一実施形態で、チャンバ 400はまた、貫通孔(供給ポート) 442に接続された管428を含む。洗浄サイクル中、洗浄用の流体又は化学物質は、洗浄用化学物質源428Aから管428を通じて導入され得る。基板406の回転(スピン)の結果、溶液412が基板底面414に塗布され得る。基板406の上方に位置するノズルが、流体源 416Aから基板406の上面416に溶液を分配し得る。基板406が高速回転するにつれて、基板406上に存在する、洗浄して除かれるべき残留物及び/又は液体が除去され得る。
別の実施形態で、チャンバ 400は、高性能微粒子除去(HEPA) フィルタ又は超低浸透空気(ULPA)フィルタなどのフィルタ411を更に含む。フィルタ411からの下降気流423及び重力が、基板406の垂直支持ポストに対する位置を維持するように作用し得る。
別の実施形態では、チャンバ 400が、基板上面416に溶液が送出されることを可能にする他のノズル(図示せず)も含み得る。従って、溶液の第1の組が基板底面414へと移送される一方、異なる源からの溶液(溶液の第2の組)が基板上面416へと移送され得る。基板表面のいずれかに適用可能な溶液は、水、又は、アセトン、イソプロピルアルコール、エタノール、メタノールを含む溶媒などの他の洗浄液を含み得、ギ酸、 N−メチル−2−ピロリドン、N−メチルホルムアミド、1,3−ジメチル−2−イミダゾリジノン、ジメチルアセトアミド、及びジメチルスルホキシドなどの極性有機溶媒、又はそれらのシーケンス、組み合わせ、及び混合物などを更に含み得る。様々な実施形態で、3つ又はそれよりも少ない炭素原子を含む他の溶媒も用いられ得る。用いられる溶媒は、COに混和性がある且つ/又は、少なくとも液体CO又は超臨界COにコンタクトされたときに溶媒和され得る。
別の実施形態で、チャンバは、各湿式洗浄サイクル後に基板406をスピン乾燥するために使用され得る。例えば、湿式洗浄サイクル後、回転デバイス 449がブラケット 448の回転を継続し、従って基板406のスピンが継続される。基板406のスピンが、基板406の洗浄に使用される液体(又は試薬)を除去する。別の実施形態で、湿式処理チャンバ400は、極性有機溶媒及び/又は無極性溶媒などの溶媒を管428を通じて導入する、溶媒交換チャンバとして用いられ、基板406上に形成されたフィーチャ内に閉じ込められた洗浄用流体内の溶媒交換を促進し得る。
図4Bは、本明細書に記載の特定の実施形態による、溶媒濾過システム450の概略図を示す。例えば、溶媒濾過システム450は、溶媒交換処理中、湿式処理チャンバ400と組み合わせて用いられ得る。溶媒濾過システム450は、流体源460、流体ドレーン461、第1のタンク462、第2のタンク464、第1のポンプ466、第1のフィルタ468、第2のポンプ467、及び第2のフィルタ469を備える。濾過システム450は、第1の再循環経路470、第2の再循環経路472、及び第3の再循環経路474を含み、溶媒濾過システム450を通流する様々な流体の流路を示す。湿式処理チャンバ400(図4A)が、第2の再循環経路472に沿って第1のタンク462と第2のタンク464との間に連結されて示されているが、他の様々な位置でシステム450に連結され得る。
システム450内の流体は、第1のタンク462又は第2のタンク464の何れかから、第1のポンプ466及び第1のフィルタ468を通り、第2のタンク464又は第1のタンク462へとそれぞれ流れる。例えば、チャンバ400内に存在する流体は、経路475Bに沿って、第1のバルブ483を通り、第2の再循環経路472を通って第2のタンク464へと流れる。第2のタンク464内の流体は、経路471Aに沿って、第2のバルブ481を通り第1の再循環経路470を経由して流れる。第1の再循環経路470に沿って流れる流体は、第1のポンプ466及び第1のフィルタ468を通り、第3のバルブ482を通って、経路471Bに沿って第1のタンク462へと流れる。次いで、流体は、第1のタンク462から、第3の再循環経路474を経由し、第2のポンプ467及び第2のフィルタ469を通り、第4のバルブ489へと流れる。第4のバルブ489は流体を、経路477Aに沿って第2のタンク464へと、又は、経路473Bに沿って第2のバルブ481へと案内し得る。流体は、第1の再循環経路470及び第3の再循環経路474に沿って、第2のタンク464を任意選択的に組み込み、流体内の汚染物の総計が所望のレベルに到達するまで再循環され得る。望まれる場合には、流体が、第1の再循環経路470に沿って第1のポンプ466及び第1のフィルタ468を通り、経路473Cに沿って第3のバルブ482を通って、経路475Aに沿って第1のバルブ483及びチャンバ400へと、チャンバ400に送出され得る。望まれる場合には、流体が、経路473Cに沿って第1のバルブ483を通流し、第2のタンク464に戻ることにより、チャンバ400を回避し得る。
従って、システム450において使用される流体は、湿式処理チャンバ400内で再び利用される前に、少なくとも2つ又はそれよりも多い回数再循環される。一般的に、第2のタンク464は汚染された流体を受け入れ、第1のタンクは少なくとも1回濾過された流体に限り収容する。複数のタンク、ポンプ、及びフィルタを組み込んだ複数の再循環経路を有するシステム450を用いることにより、流体から汚染物質を除去する際に一般的に流体内の汚染物の指数関数的減少を呈する単一のタンク、ポンプ、及びフィルタシステムと比較して、実質的な線形減少が提供される。望まれる場合には、新しい流体が流体源460からシステム450に供給されるか、流体ドレーン461によってシステム450から除去され得る。
幾つかの構成においては、システム450内に含まれる流体に流体「洗浄」処理が実施され得る。一実施例で、第2のタンク464内の流体は、第2のタンク464の内容物を、経路471A及び471Bに沿って第1のタンク462へと移送し、次いで、経路473B及び473Cに従って第2のタンク464へ戻すことにより、含まれている粒子濃度を低下させて、「洗浄」され得る。この処理で、第2のタンク464から送出される流体は、フィルタ468を通過すると、第1のタンク462に到達する前に濾過され、次いで、フィルタ468を通って2回目に移送される場合、第2のタンク464に戻り送出される前に、2回目に濾過される。(例えば、任意選択的なポンプ467及びフィルタ469がシステム450内に存在しない)。この処理シーケンスは、流体内の望ましい粒子濃度が達成されるまで、一又は複数回完遂され得ることに留意されたい。溶媒濾過システム450内でフィルタ469が使用される構成において、流体は、もとの流体が経路471A及び471Bに従って第2のタンク464から第1のタンク462へ送出される場合に流体はこの処理シーケンスにおいて3回濾過可能であり、この場合、流体はフィルタ468を通過するにつれて1回濾過され、次いで、濾過された流体が経路473B及び473Cに沿って第2のタンク464へと戻る途上でフィルタ469及び468をそれぞれ通過するとき、2回目及び3回目に濾過される。代替的に、幾つかの構成において、もとの流体が経路471A及び471Bに沿って第2のタンク464から第1のタンク462へ送出される場合、流体は2回濾過可能であり、この場合、流体は1回濾過され、次いで、経路477Aに従って第2のタンク464へとフィルタ469を通過するときに、2回目に濾過される。洗浄処理が実施された後、「洗浄された」流体は、上述のように経路475Aを用いて処理チャンバ400へ送出され得る。
図5Aは、一実施形態による超臨界流体チャンバ500の概略断面図を示す。超臨界流体チャンバ500内のガスは、特定の適切な条件下(例えば、圧力及び温度)で、超臨界状態に転移し超臨界流体となることが可能な物質であり得る。超臨界流体チャンバ500内で使用される超臨界流体は、液体同様の溶媒和特性と気体同様の拡散及び粘性とを備える物質であり、これにより、超臨界流体はボイド、ポア、間隙、裂け目、又は開口部に速やかに浸入し、任意の液体、残留物、又は汚染物質を完全に除去する或いは溶解させることが可能となる。そのようなガスの一例は、COである。そのようなガスの別の例は、Cである。超臨界流体を形成するために市販の他のガスが使用されてもよいが、無活性、非毒性、不燃性の特質と自然界における豊富さにより、COが最もよく用いられる。COを超臨界流体COに転換するために適切な条件は、図6のCO相変化図に示すように、約1200psi又はそれを上回る圧力、及び、約31°C又はそれを上回る温度を含む。Cを超臨界流体Cに転換するために適切な条件は、約620psi(lbs/in)又はそれを上回る圧力、及び、約100°C又はそれを上回る温度を含む。
超臨界流体チャンバ500は、超臨界流体を、超臨界流体チャンバ500の処理容積505内に配置された基板Wに晒すように構成され得る。超臨界流体は、超臨界流体チャンバ500内で形成されるか、超臨界流体チャンバ500の外で形成されて超臨界流体チャンバ500内に送出され得る。1つの構成において、チャンバ500は、移送チャンバ206上に配置されこれに連結され得る。チャンバ500は、処理容積505を画定するチャンバ本体501を備える。チャンバ500は、ガスを超臨界状態で維持するのに十分な動作温度及び動作圧力に、チャンバ500が耐えることを可能にする、ステンレス鋼又は他の適切な構造材料から作製され得る。一実施形態で、隣接して配置されて処理容積505を画定する、チャンバ本体501の表面504は、電気化学的に研磨されて、低い表面粗さを有する表面(例えば平滑面)を形成し得る。別の実施形態で、表面504は、テフロン(登録商標)コーティング又はクロムなどの材料で被覆され得る。
基板支持体510が処理容積505内に配置され、チャンバ本体501に連結され得る。基板支持体510は更に、半導体基板Wなどの基板Wを受けるように構成された支持面510Aを備える。支持面510Aはまた基板Wが支持面510A上に配置された後、基板Wを能動的に拘束(actively restrain)するように構成され得る。例えば、基板支持体510は、基板Wが処理中に支持面510A上で移動することを防止するために、静電チャックもしくは真空チャック、エッジリング、又は保持ピンなどを用い得る。別の実施形態で、基板支持体510は複数の支持ピン(図示せず)を備え得る。一実施形態で、基板支持体510は、処理中に半導体基板Wを回転させるように構成され得る。
一実施形態で、処理容積505は、エンクロージャを充填するのに必要な超臨界流体の量を低減させるために小容積を備え得る。チャンバ500は更に、スリットバルブドア502に連結された一又は複数のOリング540を含む、スリットバルブドア502を備える。Oリング540は、ラバー又はシリコンなどのエラストマ材料で形成され得る。スリットバルブ開口503は、移送チャンバ206内に配置された湿式ロボット208に、処理容積505から基板移送経路545に沿って基板を移送する及び受けるためのアクセスを提供する。
溶媒交換チャンバ202内での処理後、基板Wに更なる溶媒を加えることにより、超臨界フラッシング及び超臨界乾燥処理中の「ドライスポット」の形成が防止され、粒子の除去とフィーチャ間スティクションの低減とが更に促進されると考えられている。溶媒分配装置592は、スリットバルブ開口503の近傍に配置され得る。スプレーバーなどの溶媒分配装置592は、スリットバルブ開口503を通じて、チャンバ500へ進入前の基板Wに液体を送出するように構成される。溶媒分配装置592は、チャンバ本体501又は移送チャンバ206に連結され得る。溶媒源590は溶媒分配装置592に連結され、IPAなどの液体溶媒を、溶媒分配装置592を経由して基板Wの上面に送出するために供給するように構成される。溶媒分配装置592は、溶媒の層が基板Wの上面を完全に覆うように、少量の溶媒を基板Wに送出するように構成される。別の実施形態では、基板がチャンバ500内に入った後、追加の溶媒が基板Wに供給されることが意図される。
チャンバ500は更に、超臨界COの形成中及び/又はチャンバ500の減圧中チャンバ500を加熱するための、一又は複数の加熱素子550を備え得る。加熱素子550は、チャンバ本体501の処理容積505の近隣又は内部に配置され得る。加熱素子550は、抵抗加熱素子、熱制御流体を受けるように構成された流体チャネル、及び/又は他の同様の加熱デバイスを備え得る。加熱素子550は、処理容積505内の流体又はガスを所望の温度まで加熱し得る。別の実施形態で、チャンバ500は、処理容積505内のチャンバ本体501の表面504に連結されるかチャンバ本体501内に埋め込まれて、チャンバ500を洗浄するための音響又は音波を発生させる、圧電トランスデューサ(例えば超音波結晶)などの一又は複数の音響トランスデューサもしくは音波トランスデューサ552を含み得る。別の実施形態で、トランスデューサ552はチャンバ本体501の外に配置され、音波エネルギーをチャンバ本体501の処理容積505に向けるために位置決めされ得る。トランスデューサ552は、電源554に連結されて、超音波洗浄処理を実施するのに十分な電力を供給するように適合され得る。トランスデューサ552はまた、超臨界流体処理中、チャンバ500内の超臨界流体を攪拌するために、音波を基板Wの方に案内し得る。
超臨界流体送出システム520は、CO供給又はC供給などの流体源555に連結される、第1の流体送出ライン524、及び、チャンバ500内に形成された、第1の流体入口512を備え得る。ポンプ522は、加圧された流体を流体源555からチャンバ500の処理容積505内へ送出するために、第1の流体入口ポート512と流体源555との間で、第1の流体送出ライン524に連結され得る。付加的に、超臨界流体の処理容積505への流れを制御するために、第1の流体送出ライン524上で、ポンプ522と第1の流体入口ポート512との間に入口バルブ523が配置され得る。
図5Eは、本明細書に記載の別の実施形態による、超臨界流体送出システム521を概略的に示す。超臨界流体送出システム521は、流体源555、ポンプ522、加熱素子531、フィルタ530、及び凝縮器535を備える。流体送出システム521は、超臨界状態にある流体を濾過するが、その後、流体を液体としてチャンバ500に送出する。例えば、液体COなどのある量の流体が流体源555からポンプ522へ供給され、ポンプ522はこの流体を加圧し得る。次いで、液体を超臨界状態へ転換するために、流体は加熱素子531によって加熱される。次いで、超臨界流体は、超臨界流体を浄化するために、高圧ガスフィルタであり得るフィルタを通過する。液体フィルタでなくガスフィルタを使用することで、遥かに高い濾過効率が達成されると考えられている。次いで、超臨界流体は、超臨界流体を液体に戻すために凝縮器535によって凝縮され、その後チャンバ500に供給される。より詳細に後述する特定の実施形態で、凝縮器535は、超臨界流体が超臨界状態で直接的にチャンバに供給されることを可能にするために、任意選択であり得る。
再度図5Aを参照すると、チャンバ500は更に、チャンバ500へ及びチャンバ500から流体を再循環させるための任意選択的なループ519を備え得る。ループ519は更に、流体を浄化するために活性炭フィルタなどのフィルタ(図示せず)を含み得る。ループ519は、処理容積505内における超臨界流体の層流などの流れの発生を支援し、超臨界流体浴の滞留の防止を助ける。
超臨界流体を処理容積505から除去するための流体出口513が、チャンバ500に連結され得る。流体出口513は、超臨界流体を雰囲気中に放出し、使用済みの超臨界流体を排気527A及びストレージに案内するか、或いは超臨界流体を再利用のためにリサイクルし得る(ループ519)。図示のように、流体出口513は、流体リターンライン525及びポンプリターンライン526によって、ポンプ522に連結され得る。排気バルブ528は、流体リターンライン525とポンプリターンライン526とを連結する。排気バルブ528は、流体リターンライン525内の超臨界流体又はガスを、超臨界流体を再利用のためにリサイクルするために、排気527(もしくはストレージ)へ、又はポンプ522へ案内する。任意選択的に、流体源555に案内される前に流体内の汚染物質を凝縮するために、流体出口513と流体源555との間に凝縮器(図示せず)が連結され得る。
第1の流体入口ポート512及び流体出口513が、チャンバ本体501の底壁を貫通して配置され得る。しかしながら、第1の流体入口ポート512及び流体出口513は、チャンバ本体501の壁を貫通する他のエリア、チャンバ本体501の上壁などを貫通して配置され得ることが意図される。第1の流体入口ポート512は、COなどのガスを受けるように適合され得る。特定の実施形態で、第1の流体入口ポート512は、流体を基板の方に案内するために、ノズル、シャワーヘッド、又は他の流体送出デバイスに連結され得る。
特定の実施形態で、チャンバ500はパージガス源560を備え得る。パージガス源560は、第2の流体送出ライン564を経由して第2の流体入口ポート562に連結され得る。パージガス源560は、純窒素(N)、純アルゴン(Ar)、純ヘリウム(He)、又は他の高純度ガスなどのパージガスを処理容積505に供給するように適合され得る。チャンバ500は更に、チャンバ500の処理容積505を加圧するように適合されたコンプレッサなどの加圧装置570を備え得る。加圧装置570は、圧力バルブ572を通じてチャンバに連結され得る。一実施形態で、加圧装置570は、パージガスなどのガスが処理容積505に供給された後、当該ガスを加圧し得る。別の実施形態で、加圧装置570はパージガス源560に連結され、チャンバ500への送出の前に当該パージガスを加圧するように適合され得る。動作において、加圧装置570は、超臨界流体が処理容積505に導入される前に、チャンバ500内のパージガスを約1100psiから約2000psiの間まで加圧し得る。
チャンバ500は更に、洗浄流体源580を備え得る。洗浄流体源580は、一もしくは複数の洗浄液又はそれらの組み合わせを、チャンバ500に供給し得る。洗浄流体源580が第2の流体送出ライン564に連結されて示されているが、関連付けられる配管の複雑性を低減するために、任意好適な位置でチャンバ500に連結され得る。一実施形態で、洗浄流体源580によって供給される流体は、水又はN−メチルホルムアミドを含み得る。別の実施形態で、洗浄流体源580によって供給される流体は、アセトン((CHCO)を含み得る。
水又はN−メチルホルムアミドなどの洗浄流体をチャンバ500に供給し、トランスデューサ552を活動化させてチャンバ表面504、その他のチャンバ構成部品、及び処理容積505内の流体を超音波攪拌することにより、チャンバ500でのインシトゥ(in−situ)洗浄処理が実施され得る。洗浄流体は、チャンバ表面504及びチャンバ500の構成部品のすべてに接触するように構成された乱流を伴い、液体の形態で供給され得る。例えば、第2の流体入口ポート562は、角度付けされるか、チャンバ500内に乱流をもたらすように構成され得る。超音波攪拌に後続して、超音波攪拌中に使用された水又はN−メチルホルムアミドを置換するために、チャンバ500はアセトンなどの溶媒でフラッシングされ得る。最後に、チャンバ500内部を更に乾燥させるために、Nなどのパージガスがパージガス源560からチャンバに供給され得る。チャンバ500が十分に乾燥された後、Nはチャンバ500から排気され得る。チャンバ500の乾燥を更に支援するため、Nパージ中又はNパージ後に、加熱素子550によって熱もチャンバ500に供給され得る。特定の実施形態では、大気圧においてインシトゥ洗浄処理が実施され得る。インシトゥ洗浄処理は、チャンバ500の最適なパフォーマンスを保証するために必要に応じて実施され得る。
上記の実施形態は、非超臨界流体がチャンバ500に供給された後に処理容積505内で形成され得る超臨界流体を供給する、超臨界流体チャンバを説明する。既に超臨界相にある超臨界流体がチャンバ500に送出される実施形態では、超臨界流体送出システムが更に、相転移装置521を備え得る。相転移装置521は、第1の流体送出ライン524上の、ポンプ522と第1の流体入口ポート512との間に配置され得る。フィルタ530は、第1の流体送出ライン524上の、第1の流体入口ポート512と相転移装置521との間に配置され得る。フィルタ530は、超臨界流体がチャンバ500に進入する前に超臨界流体を濾過し、超臨界流体に存在し得る不純物を除去するために、約3000psi又はこれを下回る圧力下で動作可能であり得る。フィルタ530は、約3ナノメートル(nm)のポアサイズを有する濾剤を含み、酸化アルミニウム(Al)材料で形成され得る。
相転移装置521は、処理領域533、圧縮デバイス532、及び加熱素子531を備える。一実施形態で、ポンプ522はCOを流体源555から相転移装置521の処理領域533へ供給する。COガスは、超臨界流体を内部で発生させるために、所定のレベルまで、圧縮デバイス532によって加圧され得る及び/又は加熱素子531によって加熱され得る。一実施形態で、COが約40°Cまで加熱され約95バールまで加圧され得る。別の実施形態で、Cが約100°Cまで加熱され約620psi(43バール)まで加圧され得る。次いで、得られた超臨界CO又はCは、第1の流体送出ライン524を通じて、第1の流体入口ポート512を通りチャンバ500に送出され得る。
チャンバ500内の基板Wが超臨界COで処理された後、チャンバ内で減圧処理が生じる。一実施形態で、チャンバ500の等温減圧は、チャンバ500内に残留しているガスがチャンバ500から排気される前に、約21バールなどの所望の圧力まで圧力が低下される間、チャンバ500が約40°Cなどの所望の温度に維持されることを要する。一実施例で、減圧処理は、チャンバ内の圧力が約400psiとなるまで、約200psi/分の速度で進行する。圧力が約400psiになると、より速い減圧速度が使用されてチャンバ500が更に減圧され得る。等温環境で制御された減圧処理が実施され、これには、チャンバの減圧中、加熱素子550からのエネルギー入力が所望の温度を維持することが要求される。チャンバ500圧力は、超臨界流体及び/又はガスを、流体出口513を通じて排気527又はポンプ522内に形成されたタンク(図示せず)へと放出することによって低下する。チャンバ500から排気527Aへと除去されたガスは、流体リターンライン525を通じて排気バルブ528へと移動し、排気527Aへ、又は、ガスをポンプリターンライン526を通じてポンプ522へと戻すように選択され得る。基板Wは、排気中、基板の冷却を防止するため及び吸湿や堆積を防止するため、任意選択的に加熱され得る。
図5Bは、一実施形態による、図5Aの超臨界流体チャンバ500の概略側面図を示す。スリットバルブドア502がシャフト542に連結され、シャフト542は線形アクチュエータ541に連結され得る一実施形態で、2つのシャフト542がスリットバルブドア502に連結され、第1のシャフトが線形アクチュエータ541に連結され、第2のシャフトが線形ベアリング543に連結され得る。線形アクチュエータは、液体源やガス源などの流体源(図示せず)に連結され得る、モータ又はエアシリンダに連結されて、シャフト542を伸張及び後退させてスリットバルブドア502を位置決めし、スリットバルブ開口503を封止及び封止解除する。線形アクチュエータ541がシャフト542を後退させ、スリットバルブドア502に連結されたOリング(図示せず)をチャンバ本体501の側壁に対して押圧するとき、スリットバルブドア502は閉じられ得る。一実施形態で、処理中に超臨界流体チャンバ500内で発生した圧力に耐えるための気密シールを形成するために、スリットバルブドア502は、チャンバ本体501の側壁に対して、Oリングを十分な力で押圧する。
図5Cは、本明細書に記載の実施形態による、図5Aの超臨界流体チャンバ500の部分断面図を示す。チャンバ500と同様、一又は複数のOリング540を備えるスリットバルブドア502が、基板移送経路に沿ってスリットバルブ503を開閉し得る。しかしながら、図示の実施形態で、スリットバルブドア502は処理容積505の外側に配置され、チャンバ本体501の外面に接触し得る。スリットバルブドア502が処理容積505の外側に配置される場合、処理容積505の容積は減少し得る。処理容積505の減少により、温度及び圧力の制御が向上し、処理容積505を超臨界処理に必要とされる条件下で維持するのに要するエネルギー量が削減され得る。
図5Bは、一実施形態による、図5Cの超臨界流体チャンバ500の概略側面図を示す。スリットバルブドア502がシャフト542に連結され、シャフト542は線形アクチュエータ541に連結され得る一実施形態で、2つのシャフト542がスリットバルブドア502に連結され、第1のシャフトが線形アクチュエータ541に連結され、第2のシャフトが線形ベアリング543に連結され得る。線形アクチュエータは、液体源やガス源などの流体源(図示せず)に連結され得る、モータ又はエアシリンダに連結されて、シャフト542を伸張及び後退させてスリットバルブドア502を位置決めし、スリットバルブ開口503を封止及び封止解除する。線形アクチュエータ541がシャフト542を後退させ、スリットバルブドア502に連結されたOリング(図示せず)をチャンバ本体501の外面に対して押圧するとき、スリットバルブドア502は閉じられ得る。一実施形態で、処理中に超臨界流体チャンバ500内で発生した圧力に耐えるための気密シールを形成するために、スリットバルブドア502は、チャンバ本体501の外面に対して、Oリングを十分な力で押圧する。図示のように、スリットバルブドア502は処理容積505の外に配置され、これにより、チャンバ500が超臨界流体処理を実施するのに必要とされる処理容積505の容積が、更に減少し得る。さらに、処理容積505の外側に配置されるスリットバルブドア502は、チャンバ500内で粒子が発生する可能性を低減し得る。
図7は、一実施形態によるプラズマチャンバの断面図を示す。より具体的には、図7はプラズマ発生チャンバ700を提供する。チャンバ700は一般的に、処理容積706を包囲する、壁702及び底部704を含む。ガス分配プレート710及び基板支持体アセンブリ730が、処理容積706内に配置され得る。処理容積706は、壁702を貫通して形成されたスリットバルブ開口708を通じてアクセスされ、これにより基板740がチャンバ700の内外へ移送されることが可能となる。チャンバ700はプラズマチャンバとして例示的に示されているが、基板に電磁エネルギーを照射するように適合され、例えば、紫外線波長のうちの一又は複数を含む光を基板に照射し得る。
基板支持体アセンブリ730は、その上で基板740を支持するための、基板受容面732を含む。ステム734は支持アセンブリ730をリフトシステム736に連結し、リフトシステム736は基板支持体アセンブリ730を、基板移送位置と処理位置との間で上昇及び下降させる。任意選択的に、処理時、基板740のエッジにおける堆積を防止するために、シャドウフレーム733が基板740の外周上に配置され得る。リフトピン738は、基板支持体アセンブリ730を貫通して可動に配置されて、基板のロボットブレードとの交換を容易にするために、基板740を基板受容面732から離間させるように構成され得る。基板支持アセンブリ730はまた、基板支持アセンブリ730を所望の温度に維持するための、加熱素子及び/又は冷却素子739も含む。
ガス分配プレート710は、サスペンション714によって、バッキング板712及びその外周に連結され得る。ガス分配プレート710はまた、ガス分配プレート710の真直/湾曲の防止及び/又は制御を支援するために、一又は複数の中心支持体716によってバッキング板712に連結され得る。一実施形態で、ガス分配プレート710は、異なる寸法を備えた異なる構成であり得る。分配プレート710は、分配プレート710の上方面798と下方面750との間に配置される、複数の貫通孔711を備え得る。ガス源720は、ガス分配プレート710とバッキング板712との間に画定されるプレナム(plenum)にガスを供給するために、バッキング板712に連結され得る。ガス源720からのガスは、ガス分配プレート710に形成された貫通孔711から処理容積706へ流入する。
処理容積706を所望の圧力で維持するために、真空ポンプ709が処理チャンバ700に連結され得る。ガス分配プレート710と基板支持体アセンブリ730との間に存在するガスからプラズマが発生するように、RF電力を供給してガス分配プレート710と基板支持体アセンブリ730との間に電場を発生させるための、RF電源722が、バッキング板712及び/又はガス分配プレート710に連結され得る。処理容積706内でプラズマを形成するために、13.56MHzの周波数などの様々な周波数が用いられ得る。一実施形態で、約75Wで約10秒間、Oプラズマが基板740に適用され得る。追加のプラズマ処置により、高アスペクト比トレンチ内の軽微な又は一時的なスティクションを解除することが可能であり得る。トレンチ内に存在する有機汚染物質の除去に、Oプラズマが特に有益であると考えられている。
誘導結合された遠隔プラズマ源などの遠隔プラズマ源724も、ガス源720とバッキング板712との間に連結され得る。処理容積706内でプラズマを形成するために、RPS724が使用され得る。プラズマは、処理容積706を通り基板740の上面718へと移動し得る。プラズマは、デバイスフィーチャ間に存在し得るスティクションを除去することにより、基板740を処理する。一実施形態で、紫外線光源などの電磁波照射源760がチャンバ700に連結され得る。電磁波照射源760は、電源(図示せず)に連結され、チャンバ700内又はチャンバ700外の任意好適な位置に配置され得る。1つの構成において、電磁波照射源760は、発生した電磁波エネルギーを、チャンバ700の壁702の一部に連結されたウィンドウ(図示せず)を通じて基板の表面へと伝送できるよう、チャンバ700の外側に位置決めされ得る。電磁波照射源760は、基板740の上面718を照射するために位置決めされ得る。電磁波照射源源760は、約50nm〜約500nmの間の波長を有する紫外線光を、基板740に供給するように適合され得る。
図8は、一実施形態による、基板洗浄の方法ステップを含む処理フロー図を示す。まず、基板上に膜又はフィーチャを形成するために、膜堆積チャンバ又はエッチングチャンバ内で基板が処理され得る。方法800は、基板が湿式洗浄チャンバ内に配置される工程810で開始される。基板は、基板を洗浄のためにカセットから除去する乾式ロボットによって、湿式洗浄チャンバに供給され得る。工程820で、基板上に存在する残留物又は液体を除去するために、基板は洗浄液に晒され得る。一実施形態で、洗浄液は、脱イオン水、溶媒、又はそれらの組み合わせを含み得る。
工程830で、基板は湿式ロボットによって溶媒交換チャンバへと移送され得る。工程840で、以前に塗布された洗浄液が、溶媒交換チャンバ内に配置された基板に送出される溶媒に基板を晒すことにより、溶媒によって置換され得る。一実施形態で、洗浄液を置換するために使用される溶媒は、液相又は超臨界相の何れかにある化学物質であるか、化学物質のシーケンスであるか、又は、液相又は超臨界相にあるこれら物質の混合物であり得る。基板上の残留材料を置換するための化学物質又は混合物の、状態及び相は、洗浄剤の成分(例えば脱イオン水)、溶媒、もしくは化学物質、又はこれらから選択された混合物間の、比溶解度及び混和性によって決定され得る。一実施例で、溶媒は、アセトン、イソプロピルアルコール、エタノール、メタノール、N−メチル−2−ピロリドン、N−メチルホルムアミド、1,3−ジメチル−2−イミダゾリジノン、ジメチルアセトアミド、及びジメチルスルホキシド、もしくはこれらの組み合わせ及び/又はこれらのシーケンスからなるグループから選択され得る。一実施形態で、極性有機溶媒は、基板表面上に残留している洗浄液を置換するのに十分な量で基板表面に供給され得る。後続する工程で、極性有機溶媒を置換するために無極性溶媒が供給され得る。一実施形態で、極性有機溶媒はN−メチル−2−ピロリドン及び/又はN−メチルホルムアミドから選択され、無極性溶媒はアセトン及び/又はIPAから選択され得る。別の実施形態で、溶媒交換は、溶媒を供給してチャンバを充填し、基板上で溶媒交換が所望の期間実施された後溶媒を溶媒交換チャンバからパージすることによる、充填及びパージ処理によって実施され得る。
別の実施形態では、上述のように、溶媒交換に適した溶媒は、N−メチル−2−ピロリドン、N−メチルホルムアミド、1,3−ジメチル−2−イミダゾリジノン、ジメチルアセトアミド、及びジメチルスルホキシドを含む。これらの極性有機溶媒は水を置換するために使用され、望ましい溶媒は、一般的に、高誘電率(30を上回る)及び低蒸発率(酢酸n−ブチルに対して0.5を下回る)を有する。極性有機溶媒はまた、一般的に水混和性であり、シリコンと水との相互作用からシリカが析出することを抑制する。任意選択的に、極性有機溶媒は、溶媒交換中、粒子の除去を促進するために、溶存Oガスと組み合され得る。好ましい実施形態で、極性有機溶媒は、N−メチル−2−ピロリドンもしくはN−メチルホルムアミド、又はそれらの組み合わせを含む。
一実施形態で、置換処理で使用される化学物質又は化学物質混合物が液体である場合、化学物質又は化学物質混合物を超臨界流体に変換するために、相転移処理が使用され得る。一実施形態で、極性有機溶媒を置換するのに使用される、90パーセント又はそれを上回る液体IPAは、約5〜8°C及び約50バールで液体COによって置換され得る。上述の約5〜8°C及び約50バールの液体COは、溶媒交換チャンバ内で、約40°C及び約95バールの圧力まで加熱され得る。超臨界相の結果、液体と気体、及び液体と固体との間の表面張力の差に起因する毛細管圧力が取り除かれる。毛細管圧力を取り除くことにより、湿式洗浄処理(工程810)の実施後にしばしば起こる、高アスペクト比フィーチャの屈曲及びスティクションが防止される。
次いで工程850で、基板は、湿式ロボットによって溶媒交換チャンバから超臨界流体チャンバへ移送される。任意選択的に、工程860で、加圧されたパージガス処理が超臨界流体チャンバ内で実施され得る。純N又は純Arなどのパージガスが、加圧された超臨界流体チャンバに供給され得る。超臨界流体チャンバは、約1100psi〜約2000psiの間まで加圧され得る。
工程870で、超臨界フラッシング処理において、基板表面上に残留している粒子及び残留物を洗浄しフラッシング除去するために、先述したものと同じタイプの化学物質又は化学物質混合物を含む超臨界流体が形成され、超臨界流体チャンバに導入され得る。任意選択的な工程860が実施された場合に超臨界流体チャンバ内に存在し得るパージガスは、超臨界流体が超臨界流体チャンバへ供給されるにつれて、排気され得る。この実施形態で、パージガス処理と超臨界フラッシング処理との間の、超臨界流体チャンバ内の圧力の微小な変化が達成され得る。超臨界流体チャンバ内で、工程860と工程870との間で実質的に同じ圧力を維持することにより、超臨界流体チャンバ内での粒子の発生が防止され得る。従って、任意選択的な工程860における超臨界流体チャンバの圧力は、工程870におけるチャンバ内の超臨界流体を維持するのに必要とされる圧力に合致するように、選択され得る。
一実施形態で、工程870中に使用する超臨界流体を形成するために、COが使用され得る。別の実施形態で、超臨界流体を形成するためにCが使用され得る。超臨界CO又は超臨界Cは、超臨界流体チャンバ内で形成されるか、超臨界流体チャンバの外で形成されて超臨界流体チャンバ内に導入され得る。一実施形態では、超臨界流体の特性を維持するために、約40°C及び約95バールにおける超臨界COが、超臨界流体チャンバの外で形成され、次いで超臨界流体チャンバに導入される。一実施形態では、超臨界流体の特性を維持するために、約100°C及び約620psi(43バール)における超臨界Cが、超臨界流体チャンバの外で形成され、次いで超臨界流体チャンバに導入される。一実施形態で、超臨界流体は、超臨界チャンバへの導入プロセス中に亘り、超臨界流体のままである。別の実施形態で、超臨界流体は、導入プロセスの途中で又は導入プロセスの終端でのみ、超臨界流体である。
置換処理に使用される化学物質又は化学物質混合物が液体である場合、化学物質又は化学物質混合物を超臨界流体に変換するために、相転移処理が使用され得る。一実施形態で、極性有機溶媒を置換するのに使用される、90パーセント又はそれを上回る液体IPAは、約5〜8°C及び約50バールで液体COによって置換され得る。この約5〜8°C及び約50バールの液体COが、超臨界流体チャンバ内で、約40°C及び約95バールの圧力まで加熱され得る。超臨界相の結果、液体と気体、及び液体と固体との間の表面張力の差に起因する毛細管圧力が取り除かれる。毛細管圧力を取り除くことにより、高アスペクト比フィーチャの屈曲及びスティクションが防止される。化学物質又は化学物質混合物を超臨界流体に変換するために、Cが使用され得ることも意図される。
超臨界流体は、気体と液体との中間の特性を呈し、一般的に気体と同様の輸送挙動によって複雑なナノレベルの形状に十分に浸入する能力を有し、これにより、一般に液体に関連する優れた物質移動能力によって、効果的に粒子や残留物を除去できる。超臨界フラッシング処理は、幾つかの方式で進行し得、これらは双方ともデバイスフィーチャから汚染物質を除去し得る。汚染物質除去の第1の方式は、超臨界流体と汚染物質との間の物理的相互作用などの機械的作用によって、超臨界流体がデバイスフィーチャから物理的に汚染物質を除去することを含む。超臨界流体は、デバイス構造内の空間(高アスペクト比構造ビア、ボイド、ポアなど)に進入し、溶媒、残留物、及び粒子などの汚染物質をデバイス構造からフラッシング除去する、流体フローを提供する。流れる流体により生み出される機械的作用又は物理的作用は、超臨界流体が呈する液体と同様の物質移動特性によって促進される。
汚染物質が除去される別の方式は、汚染物質を含まない超臨界流体との濃度勾配を生み出すことによる。基板表面上方の処理容積内に存在する超臨界流体は、デバイス構造内に存在するよりも低い汚染物質濃度を呈する。一実施形態で、純粋の超臨界CO又はCは、基板表面上方の容積内で滞留させられるか、或いは容積内を通流する。流体が熱力学的平衡にあることを望むので、デバイス構造近傍の汚染物質を含む超臨界流体は、基板上方の領域に拡散し、これによりデバイス構造内に存在する汚染物質の濃度を低下させる。汚染物質除去のこれら両方式が、拡散物質移動プロセス及び物理的相互作用の使用などにより同時に機能して、汚染物質をデバイス構造から除去することも可能である。汚染物質除去の両方式又はこれらの組み合わせにおいて、汚染物質はデバイス構造から効率的に除去され得る。
次に、基板は、超臨界乾燥処理に晒される。この処理は、化学物質又は化学物質混合物の、液体領域を横断しない超臨界から気体状態への相転移を保証するために、制御され得る。図6はCOの相変化を示す。この処理は、超臨界流体(破線を越えるエリア)が、例えば、図6に示すように液相と気相とを分離している線を越えることによって液体となることなく、気体状態に変化することを保証する。超臨界乾燥処理中に高アスペクト比トレンチから除かれた流体は微小な表面張力を呈し、結果として、ラインスティクションを低減する又は取り除く。一実施形態では、約40°C、約95バールの超臨界COが、圧力が約21バールに低下するまで、約40°Cで等温減圧される。別の実施形態では、約100°C、約620psi(43バール)の超臨界Cが、圧力が約20バールに低下するまで、約100°Cで等温減圧される。超臨界流体チャンバ内に残留しているガスが、チャンバから排気される。チャンバから排気されるガスは、高アスペクト比トレンチ及びその他の基板表面から得られた粒子及び残留物を担持する。
工程880で、基板は、湿式ロボットによって超臨界流体チャンバから後処理チャンバへ移送される。ステップ890で、基板は、乾燥処理を完了させるための最終処置として基板を低電力でプラズマに晒すことによって、後処理される。一実施形態では、約75WのRF電力及び13.56MHzの周波数で約10秒間、RF酸素(O)プラズマが基板に適用され得る。基板の後処理により、以前の洗浄処理で使用した化学物質又は化学物質混合物によって発生した局所的な不純物に起因する、任意の軽微な又は一時的なスティクションが解除される。後処理は、超臨界乾燥処理の直後に実施される場合に最も効果的である。別の実施形態では、基板上に残留している有機汚染物質が、バイアスプラズマで除去され得る。基板表面上に安定化層を堆積又は形成することにより、基板表面上に存在する高アスペクト比フィーチャ間のスティクションの可能性が低減されるとも考えられている。
代替的な実施形態で、ステップ890は、紫外線(UV)光などの一又は複数の波長の電磁エネルギーに基板を晒すことにより、基板を後処理することを含み得る。一実施例で、基板上に存在する材料に基づいてUV処置が選択され得る。以前の洗浄処理で使用された化学物質又は化学物質混合物が引き起こす局所的な不純物によって発生した、残留している任意の軽微な又は一時的なスティクションを解除するために、適切な期間、基板はUV光に晒され得る。一実施形態で、基板は、約150nm〜約350nmの間など、約172nm〜約300nmの間など、約50nm〜約500nmの間の波長を有するUV光に晒され得る。上述のプラズマ処置と同様、UV処置は、超臨界乾燥処理の直後に実施される場合に最も効率的であり得る。別の実施形態で、ステップ890は、基板を後処理し、基板上に存在し得る任意の残留スティクション又は有機汚染物質を除去するために、プラズマ処置とUV処置との組み合わせを含み得る。
上述した本発明は、超臨界洗浄及び超臨界乾燥処理中の基板のスループットを増大させる装置を提供する。カルーセル構造及び複数のロボットの使用により、処理装置の効率が向上し、基板の超臨界洗浄及び乾燥コストが削減される。更に、置換、相転移、超臨界フラッシング及び超臨界乾燥、並びにプラズマ後処理を相互に組み合わせて利用することにより、湿式洗浄後の、特に高アスペクト比トレンチを備える基板のラインスティクションを取り除くことができる。
上記は本発明の実施形態を対象とするが、本発明の基本的な範囲から逸脱することなく、本発明の他の更なる実施形態を考案することもでき、本発明の範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. 基板を洗浄する方法であって、
    基板表面に配置されたある量の残留物洗浄液を除去するために、高アスペクト比フィーチャが上部に形成された前記基板を、溶媒に晒すこと、
    前記基板を前記溶媒に晒した後、前記基板の前記表面に配置された溶媒を除去するために、前記基板を超臨界流体に晒すこと、及び
    前記基板を前記超臨界流体に晒した後、前記基板をプラズマに晒すこと
    を含む、方法。
  2. 前記残留物洗浄液は脱イオン水を含む、請求項1に記載の方法。
  3. 前記溶媒は無極性溶媒を含む、請求項1に記載の方法。
  4. 前記溶媒は、アセトン、イソプロピルアルコール、エタノール、及びメタノールからなるグループから選択される、請求項3に記載の方法。
  5. 前記溶媒は極性溶媒を含む、請求項1に記載の方法。
  6. 前記基板を前記超臨界流体に晒すことは、
    前記超臨界流体を形成するために、ガスを超臨界状態に転移させること、
    前記超臨界流体を前記基板の表面上に流すこと、及び
    前記超臨界流体を気体状態に転移させること
    を更に含む、請求項1に記載の方法。
  7. 前記ガスはCOを含む、請求項6に記載の方法。
  8. 前記超臨界流体を前記基板の前記表面上に流すことは、超臨界COを、粒子及び残留物を前記基板の前記表面から除去することが可能な流量で送出することを含む、請求項6に記載の方法。
  9. 前記ガスはCを含む、請求項6に記載の方法。
  10. 前記超臨界流体を前記気体状態に前記転移させることは、超臨界COを含む前記超臨界流体を、等温減圧することを更に含む、請求項6に記載の方法。
  11. 前記基板をプラズマに晒すことは、前記基板を、酸素を含むプラズマに晒すことを含む、請求項1に記載の方法。
  12. 前記基板洗浄方法は、
    湿式洗浄チャンバ内で、前記基板の前記表面を前記残留物洗浄液に晒すことを更に含み、
    基板を溶媒に前記晒すことは、溶媒交換チャンバ内で前記基板の前記表面を2つ又はそれよりも多い溶媒に晒すことを含み、
    前記基板を超臨界流体に前記晒すことは、超臨界流体チャンバ内で、前記基板の前記表面を前記超臨界流体に晒すこと、及び、前記基板の前記表面に配置された前記溶媒を除去することを含み、
    前記基板をプラズマに前記晒すことは、プラズマチャンバ内で前記基板の前記表面を前記プラズマに晒すことを含み、
    前記基板を、前記湿式洗浄チャンバ、前記溶媒交換チャンバ、前記超臨界流体チャンバ、及び前記プラズマチャンバの間で、移送チャンバを通じて連続的に移送すること
    を更に含む、請求項1に記載の方法。
  13. 前記湿式洗浄チャンバ、前記溶媒交換チャンバ、前記超臨界流体チャンバ、及び前記プラズマチャンバは、前記移送チャンバに連結される、請求項12に記載の方法。
  14. 移送チャンバに連結される湿式洗浄チャンバであって、
    基板支持体と、
    前記基板支持体上に配置された基板の表面に洗浄液を供給するように適合される洗浄液送出装置に連結される、処理領域と
    を備える、湿式洗浄チャンバ、
    前記移送チャンバに連結される溶媒交換処理チャンバであって、
    基板支持体と、
    前記基板支持体上に配置された基板の表面に液体溶媒を供給するように適合される液体溶媒送出装置に連結される、チャンバ入口と
    を備える、溶媒交換処理チャンバ、
    前記移送チャンバに連結される超臨界流体チャンバであって、
    処理容積を画定するチャンバ本体と、
    前記処理容積内に配置される基板支持体と、
    前記チャンバ本体に熱的に連結される加熱素子と、
    前記チャンバ本体を貫通して配置され、流体を受けるように適合されるポートと、
    前記処理容積を加圧するように適合されるポンプと
    を備える、超臨界流体チャンバ、並びに
    前記移送チャンバに連結されるプラズマチャンバであって、
    基板支持体と、
    シャワーヘッドと、
    プラズマチャンバポートを通じて、ハロゲンガス、フルオロカーボンガス、又はOガスを送出するように適合されるガス源に連結される、前記プラズマチャンバポートと、
    前記プラズマチャンバに連結されて、前記プラズマチャンバの処理領域内でプラズマを発生させるように適合される、RF電源と
    を備える、プラズマチャンバ
    を備える、基板処理装置であって、
    前記移送チャンバ内に第1のロボットが配置され、前記第1のロボットは、一又は複数の基板を、前記湿式洗浄チャンバ、前記溶媒交換処理チャンバ、前記超臨界流体チャンバ、及び前記プラズマチャンバの間で移送するように適合される、
    基板処理装置。
  15. 基板洗浄方法であって、
    基板の表面に配置されたある量の残留物洗浄液を除去するために、高アスペクト比フィーチャが上部に形成された前記基板を、溶媒に晒すこと、
    前記基板を前記溶媒に晒した後に、前記基板の前記表面を超臨界流体に晒すこと、及び
    前記基板の前記表面を前記超臨界流体に晒した後、前記基板の前記表面を電磁エネルギーに晒すこと
    を含む、方法。
JP2020022247A 2012-11-26 2020-02-13 高アスペクト比半導体デバイス構造のための、汚染物質除去を伴うスティクションフリー乾燥処理 Active JP6929981B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261729965P 2012-11-26 2012-11-26
US61/729,965 2012-11-26
US201361841779P 2013-07-01 2013-07-01
US61/841,779 2013-07-01
US14/078,373 2013-11-12
US14/078,373 US10354892B2 (en) 2012-11-26 2013-11-12 Stiction-free drying process with contaminant removal for high-aspect ratio semiconductor device structures
JP2018176500A JP6662977B2 (ja) 2012-11-26 2018-09-20 高アスペクト比半導体デバイス構造のための、汚染物質除去を伴うスティクションフリー乾燥処理

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018176500A Division JP6662977B2 (ja) 2012-11-26 2018-09-20 高アスペクト比半導体デバイス構造のための、汚染物質除去を伴うスティクションフリー乾燥処理

Publications (2)

Publication Number Publication Date
JP2020098933A true JP2020098933A (ja) 2020-06-25
JP6929981B2 JP6929981B2 (ja) 2021-09-01

Family

ID=50772189

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2015544135A Active JP6408477B2 (ja) 2012-11-26 2013-11-21 高アスペクト比半導体デバイス構造のための、汚染物質除去を伴うスティクションフリー乾燥処理
JP2018176500A Active JP6662977B2 (ja) 2012-11-26 2018-09-20 高アスペクト比半導体デバイス構造のための、汚染物質除去を伴うスティクションフリー乾燥処理
JP2020022247A Active JP6929981B2 (ja) 2012-11-26 2020-02-13 高アスペクト比半導体デバイス構造のための、汚染物質除去を伴うスティクションフリー乾燥処理

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2015544135A Active JP6408477B2 (ja) 2012-11-26 2013-11-21 高アスペクト比半導体デバイス構造のための、汚染物質除去を伴うスティクションフリー乾燥処理
JP2018176500A Active JP6662977B2 (ja) 2012-11-26 2018-09-20 高アスペクト比半導体デバイス構造のための、汚染物質除去を伴うスティクションフリー乾燥処理

Country Status (6)

Country Link
US (3) US10354892B2 (ja)
JP (3) JP6408477B2 (ja)
KR (3) KR102161253B1 (ja)
CN (2) CN104919574B (ja)
TW (3) TWI689004B (ja)
WO (1) WO2014081966A1 (ja)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101874901B1 (ko) 2011-12-07 2018-07-06 삼성전자주식회사 기판 건조 장치 및 방법
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
JP5835195B2 (ja) * 2012-11-29 2015-12-24 東京エレクトロン株式会社 乾燥処理用の高圧容器の製造方法及び基板処理装置の製造方法
WO2016007874A1 (en) 2014-07-11 2016-01-14 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
JP6244324B2 (ja) * 2015-03-24 2017-12-06 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6461749B2 (ja) * 2015-08-26 2019-01-30 東芝メモリ株式会社 基板処理方法および基板処理装置
KR102440321B1 (ko) * 2015-09-04 2022-09-06 삼성전자주식회사 기판 처리 방법
JP6498573B2 (ja) * 2015-09-15 2019-04-10 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
TWI623968B (zh) * 2015-09-30 2018-05-11 東京威力科創股份有限公司 使用液態二氧化碳以使半導體基板乾燥的方法及設備
KR102054605B1 (ko) 2015-10-04 2019-12-10 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피처들을 위한 건조 프로세스
KR102314667B1 (ko) * 2015-10-04 2021-10-20 어플라이드 머티어리얼스, 인코포레이티드 작은 열 질량의 가압 챔버
WO2017062141A1 (en) 2015-10-04 2017-04-13 Applied Materials, Inc. Substrate support and baffle apparatus
WO2017062136A1 (en) * 2015-10-04 2017-04-13 Applied Materials, Inc. Reduced volume processing chamber
US10192751B2 (en) * 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
JP6559087B2 (ja) * 2016-03-31 2019-08-14 東京エレクトロン株式会社 基板処理装置
KR102008566B1 (ko) * 2016-05-24 2019-08-07 가부시키가이샤 스크린 홀딩스 기판 처리 장치 및 기판 처리 방법
JP6736989B2 (ja) * 2016-06-07 2020-08-05 東京エレクトロン株式会社 処理液供給装置、機器ユニット、処理液供給方法及び記憶媒体
KR20180006716A (ko) * 2016-07-11 2018-01-19 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
TWI767920B (zh) * 2016-07-15 2022-06-21 美商應用材料股份有限公司 乾燥高深寬比特徵
US10971354B2 (en) * 2016-07-15 2021-04-06 Applied Materials, Inc. Drying high aspect ratio features
JP6708963B2 (ja) * 2016-08-04 2020-06-10 日産自動車株式会社 半導体コンデンサの製造方法
EP3282474B1 (en) * 2016-08-11 2021-08-04 IMEC vzw Method for performing a wet treatment of a substrate
US10546762B2 (en) * 2016-11-18 2020-01-28 Applied Materials, Inc. Drying high aspect ratio features
JP6876417B2 (ja) * 2016-12-02 2021-05-26 東京エレクトロン株式会社 基板処理装置の洗浄方法および基板処理装置の洗浄システム
JP6836939B2 (ja) * 2017-03-14 2021-03-03 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR102030056B1 (ko) * 2017-05-02 2019-11-11 세메스 주식회사 챔버 세정 방법, 기판 처리 방법, 그리고 기판 처리 장치
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US20190070639A1 (en) * 2017-09-07 2019-03-07 Applied Materials, Inc. Automatic cleaning machine for cleaning process kits
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US10475656B2 (en) 2017-12-19 2019-11-12 Micron Technology, Inc. Hydrosilylation in semiconductor processing
US10784101B2 (en) 2017-12-19 2020-09-22 Micron Technology, Inc. Using sacrificial solids in semiconductor processing
US11037779B2 (en) 2017-12-19 2021-06-15 Micron Technology, Inc. Gas residue removal
US10957530B2 (en) 2017-12-19 2021-03-23 Micron Technology, Inc. Freezing a sacrificial material in forming a semiconductor
US10964525B2 (en) 2017-12-19 2021-03-30 Micron Technology, Inc. Removing a sacrificial material via sublimation in forming a semiconductor
US10695804B2 (en) * 2018-01-25 2020-06-30 Applied Materials, Inc. Equipment cleaning apparatus and method
US10497558B2 (en) 2018-02-26 2019-12-03 Micron Technology, Inc. Using sacrificial polymer materials in semiconductor processing
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
KR102573280B1 (ko) * 2018-03-21 2023-09-01 삼성전자주식회사 기판 세정 방법, 기판 세정 장치 및 그를 이용한 반도체 소자의 제조방법
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
JP7301575B2 (ja) 2018-05-15 2023-07-03 東京エレクトロン株式会社 基板処理方法、記憶媒体及び基板処理装置
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP7080134B2 (ja) * 2018-08-07 2022-06-03 東京エレクトロン株式会社 基板処理装置のパーティクル除去方法および基板処理装置
JP7147444B2 (ja) * 2018-10-03 2022-10-05 株式会社島津製作所 試料注入装置および試料注入システム
US11094527B2 (en) 2018-10-10 2021-08-17 International Business Machines Corporation Wet clean solutions to prevent pattern collapse
KR102195007B1 (ko) * 2018-10-11 2020-12-29 세메스 주식회사 기판 세정 조성물, 이를 이용한 기판 처리 방법 및 기판 처리 장치
WO2020112764A1 (en) * 2018-11-28 2020-06-04 Lam Research Corporation Pedestal including vapor chamber for substrate processing systems
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR102262113B1 (ko) * 2018-12-18 2021-06-11 세메스 주식회사 기판 처리 장치 및 방법
US11127588B2 (en) * 2019-04-12 2021-09-21 Micron Technology, Inc. Semiconductor processing applying supercritical drying
KR102636979B1 (ko) * 2019-04-26 2024-02-14 삼성전자주식회사 멀티 챔버 장치
KR102623544B1 (ko) * 2019-06-10 2024-01-10 삼성전자주식회사 광 조사 기반 웨이퍼 세정 장치 및 그 세정 장치를 포함한 웨이퍼 세정 시스템
KR102433558B1 (ko) 2019-07-11 2022-08-19 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102378330B1 (ko) * 2019-10-11 2022-03-24 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11515178B2 (en) * 2020-03-16 2022-11-29 Tokyo Electron Limited System and methods for wafer drying
JP2022124622A (ja) * 2021-02-16 2022-08-26 株式会社Screenホールディングス 基板処理装置
JP2022147217A (ja) * 2021-03-23 2022-10-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP2022155713A (ja) * 2021-03-31 2022-10-14 芝浦メカトロニクス株式会社 基板乾燥装置及び基板処理装置に関する。
TWI784545B (zh) * 2021-05-26 2022-11-21 國立中山大學 晶圓常溫乾燥方法
KR102441255B1 (ko) 2022-02-21 2022-09-07 (주)네온테크 대기압 플라즈마 처리를 통한 기판의 드라이 방법
KR102458839B1 (ko) 2022-06-17 2022-10-25 (주)네온테크 열풍 또는 플라즈마를 사용하여 반도체 패키지 기판을 건조하는 방법 및 이를 위한 건조 장치
US11761344B1 (en) * 2022-04-19 2023-09-19 General Electric Company Thermal management system
US20240096664A1 (en) * 2022-09-15 2024-03-21 Applied Materials, Inc. On-board cleaning of tooling parts in hybrid bonding tool
KR102575765B1 (ko) 2023-03-10 2023-09-06 (주)네온테크 수세기 및 이를 사용한 수세 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
JP2005333015A (ja) * 2004-05-20 2005-12-02 Kobe Steel Ltd 微細構造体の乾燥方法および該方法により得られる微細構造体
JP2012503883A (ja) * 2008-09-24 2012-02-09 ラム リサーチ コーポレーション マイクロ電子トポグラフィ製造中のフィーチャ崩壊を防ぐための方法およびシステム
JP2012516034A (ja) * 2009-01-20 2012-07-12 ラム リサーチ コーポレーション エッチングプロセス中および/または後続のすすぎプロセス中におけるエッチング副生成物の沈殿を阻止するための方法

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0244738A (ja) * 1988-08-05 1990-02-14 Semiconductor Energy Lab Co Ltd 電子装置作製方法
US5417826A (en) * 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
TW386235B (en) * 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
KR0171945B1 (ko) 1995-09-29 1999-03-30 김주용 반도체소자의 금속배선 형성방법
JPH09275085A (ja) * 1996-04-05 1997-10-21 Hitachi Ltd 半導体基板の洗浄方法ならびに洗浄装置および半導体基板製造用成膜方法および成膜装置
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6090217A (en) 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
US6508259B1 (en) 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6496648B1 (en) 1999-08-19 2002-12-17 Prodeo Technologies, Inc. Apparatus and method for rapid thermal processing
US6858089B2 (en) * 1999-10-29 2005-02-22 Paul P. Castrucci Apparatus and method for semiconductor wafer cleaning
US6576066B1 (en) * 1999-12-06 2003-06-10 Nippon Telegraph And Telephone Corporation Supercritical drying method and supercritical drying apparatus
US6286231B1 (en) 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20030026677A1 (en) 2001-08-03 2003-02-06 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) High-pressure process apparatus
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
JP3944368B2 (ja) 2001-09-05 2007-07-11 株式会社荏原製作所 基板処理装置及び基板処理方法
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
US20030084918A1 (en) * 2001-11-07 2003-05-08 Kim Yong Bae Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes
JP4011900B2 (ja) 2001-12-04 2007-11-21 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6843855B2 (en) * 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
JP3782366B2 (ja) 2002-03-20 2006-06-07 日本電信電話株式会社 超臨界処理方法及び超臨界処理装置
CN1642665A (zh) 2002-03-22 2005-07-20 东京毅力科创株式会社 用超临界工艺清除杂质
TW554075B (en) 2002-04-17 2003-09-21 Grand Plastic Technology Corp Puddle etching method of thin film using spin processor
US6764552B1 (en) 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US8003587B2 (en) * 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US20030228755A1 (en) * 2002-06-07 2003-12-11 Esry Thomas Craig Method for metal patterning and improved linewidth control
US20040171260A1 (en) 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7384484B2 (en) * 2002-11-18 2008-06-10 Dainippon Screen Mfg. Co., Ltd. Substrate processing method, substrate processing apparatus and substrate processing system
JP4000052B2 (ja) * 2002-12-05 2007-10-31 大日本スクリーン製造株式会社 基板処理装置
JP2004249189A (ja) 2003-02-19 2004-09-09 Sony Corp 洗浄方法
US20040198066A1 (en) * 2003-03-21 2004-10-07 Applied Materials, Inc. Using supercritical fluids and/or dense fluids in semiconductor applications
KR100597656B1 (ko) 2003-10-02 2006-07-07 그린텍이십일 주식회사 반도체의 제조를 위한 세정방법 및 세정장치
JP4247087B2 (ja) 2003-10-07 2009-04-02 株式会社日立ハイテクサイエンスシステムズ 微細構造乾燥処理方法及びその装置
JP2005138063A (ja) 2003-11-10 2005-06-02 Mitsubishi Materials Corp 超臨界二酸化炭素とオゾンによる洗浄方法
JP2007536730A (ja) * 2004-05-07 2007-12-13 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 集積回路製品を製造する間にパターン形成されたウエハーを乾燥させるための組成物及び方法
US20050274396A1 (en) 2004-06-09 2005-12-15 Hong Shih Methods for wet cleaning quartz surfaces of components for plasma processing chambers
JP2006024692A (ja) 2004-07-07 2006-01-26 Toshiba Corp レジストパターン形成方法
US20060065189A1 (en) 2004-09-30 2006-03-30 Darko Babic Method and system for homogenization of supercritical fluid in a high pressure processing system
US20060130966A1 (en) 2004-12-20 2006-06-22 Darko Babic Method and system for flowing a supercritical fluid in a high pressure processing system
US7365016B2 (en) * 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
US20060254612A1 (en) * 2005-05-16 2006-11-16 Micron Technology, Inc. Polar fluid removal from surfaces using supercritical fluids
KR100730348B1 (ko) 2005-10-04 2007-06-19 삼성전자주식회사 미세 구조물의 제조 방법
US20070093406A1 (en) * 2005-10-24 2007-04-26 Omoregie Henryson Novel cleaning process for masks and mask blanks
JP2007142335A (ja) * 2005-11-22 2007-06-07 Dainippon Screen Mfg Co Ltd 高圧処理方法
JP2007206344A (ja) 2006-02-01 2007-08-16 Ricoh Co Ltd 画像形成装置
US8084367B2 (en) 2006-05-24 2011-12-27 Samsung Electronics Co., Ltd Etching, cleaning and drying methods using supercritical fluid and chamber systems using these methods
KR100837325B1 (ko) 2006-05-24 2008-06-11 삼성전자주식회사 초임계 유체를 이용한 식각, 세정 및 건조 방법들 및 이를위한 챔버 시스템
US7772128B2 (en) * 2006-06-09 2010-08-10 Lam Research Corporation Semiconductor system with surface modification
JP2008034779A (ja) * 2006-06-27 2008-02-14 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
KR100902330B1 (ko) 2006-06-29 2009-06-12 주식회사 아이피에스 반도체공정장치
KR100744145B1 (ko) 2006-08-07 2007-08-01 삼성전자주식회사 초임계 유체를 이용하는 웨이퍼 처리 장치 및 웨이퍼 처리방법
KR100822373B1 (ko) 2006-09-12 2008-04-17 세메스 주식회사 초임계 유체를 이용한 기판 건조 장치, 이를 구비한 기판처리 설비 및 기판 처리 방법
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP5138916B2 (ja) * 2006-09-28 2013-02-06 東京応化工業株式会社 パターン形成方法
KR100876758B1 (ko) * 2006-12-26 2009-01-08 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7753353B2 (en) 2007-03-14 2010-07-13 Xerox Corporation Vertical sheet compiling apparatus and methods of vertically compiling sheets
US8057601B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20080302303A1 (en) 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US8551880B2 (en) * 2007-11-01 2013-10-08 Applied Materials, Inc. Ammonia-based plasma treatment for metal fill in narrow features
US8211846B2 (en) * 2007-12-14 2012-07-03 Lam Research Group Materials for particle removal by single-phase and two-phase media
JP2011040572A (ja) 2009-08-11 2011-02-24 Toshiba Corp 基板処理装置および基板処理方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP2012049446A (ja) * 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
JP5685918B2 (ja) 2010-12-10 2015-03-18 富士通株式会社 半導体装置の製造方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
JP6048400B2 (ja) 2011-03-30 2016-12-21 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP5843277B2 (ja) 2011-07-19 2016-01-13 株式会社東芝 半導体基板の超臨界乾燥方法及び装置
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101329317B1 (ko) 2011-12-07 2013-11-25 한국과학기술연구원 기판건조장치 및 기판건조방법
US8534659B2 (en) 2011-12-13 2013-09-17 United Microelectronics Corp. Substrate carrier and applications thereof
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
US9587880B2 (en) * 2012-05-31 2017-03-07 Semes Co., Ltd. Apparatus and method for drying substrate
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
JP6020233B2 (ja) 2013-02-14 2016-11-02 三菱自動車エンジニアリング株式会社 ピラートリム
KR101451244B1 (ko) 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US10391526B2 (en) 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
KR102449103B1 (ko) 2014-03-12 2022-09-28 어플라이드 머티어리얼스, 인코포레이티드 반도체 챔버에서의 웨이퍼 회전
JP6005702B2 (ja) 2014-09-18 2016-10-12 株式会社東芝 半導体基板の超臨界乾燥方法および基板処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
JP2005333015A (ja) * 2004-05-20 2005-12-02 Kobe Steel Ltd 微細構造体の乾燥方法および該方法により得られる微細構造体
JP2012503883A (ja) * 2008-09-24 2012-02-09 ラム リサーチ コーポレーション マイクロ電子トポグラフィ製造中のフィーチャ崩壊を防ぐための方法およびシステム
JP2012516034A (ja) * 2009-01-20 2012-07-12 ラム リサーチ コーポレーション エッチングプロセス中および/または後続のすすぎプロセス中におけるエッチング副生成物の沈殿を阻止するための方法

Also Published As

Publication number Publication date
US10354892B2 (en) 2019-07-16
KR20210114429A (ko) 2021-09-23
JP6929981B2 (ja) 2021-09-01
US20170250094A1 (en) 2017-08-31
KR20150088829A (ko) 2015-08-03
TWI627667B (zh) 2018-06-21
KR102397871B1 (ko) 2022-05-12
TWI826650B (zh) 2023-12-21
JP6408477B2 (ja) 2018-10-17
KR20200111835A (ko) 2020-09-29
WO2014081966A1 (en) 2014-05-30
TW202034397A (zh) 2020-09-16
TW201426850A (zh) 2014-07-01
KR102284839B1 (ko) 2021-07-30
KR102161253B1 (ko) 2020-09-29
CN107799391A (zh) 2018-03-13
CN104919574B (zh) 2018-02-16
JP6662977B2 (ja) 2020-03-11
TW201842570A (zh) 2018-12-01
TWI689004B (zh) 2020-03-21
JP2016503588A (ja) 2016-02-04
JP2019024104A (ja) 2019-02-14
US20140144462A1 (en) 2014-05-29
US20190287823A1 (en) 2019-09-19
CN104919574A (zh) 2015-09-16
CN107799391B (zh) 2021-11-26
US10347511B2 (en) 2019-07-09
US11011392B2 (en) 2021-05-18

Similar Documents

Publication Publication Date Title
JP6662977B2 (ja) 高アスペクト比半導体デバイス構造のための、汚染物質除去を伴うスティクションフリー乾燥処理
US10283344B2 (en) Supercritical carbon dioxide process for low-k thin films
JP6921931B2 (ja) 熱質量が小さい加圧チャンバ
TWI670793B (zh) 基板支撐及擋板設備
JP6644881B2 (ja) 高アスペクト比フィーチャ向けの乾燥プロセス
JP2013062417A (ja) 半導体基板の超臨界乾燥方法及び装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200312

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200312

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210507

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210713

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210811

R150 Certificate of patent or registration of utility model

Ref document number: 6929981

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150