TW201732873A - 圖案化結構上之方向性沉積 - Google Patents

圖案化結構上之方向性沉積 Download PDF

Info

Publication number
TW201732873A
TW201732873A TW105141672A TW105141672A TW201732873A TW 201732873 A TW201732873 A TW 201732873A TW 105141672 A TW105141672 A TW 105141672A TW 105141672 A TW105141672 A TW 105141672A TW 201732873 A TW201732873 A TW 201732873A
Authority
TW
Taiwan
Prior art keywords
decane
plasma
deposition
pecvd
tert
Prior art date
Application number
TW105141672A
Other languages
English (en)
Other versions
TWI775734B (zh
Inventor
亞歷山大 卡本斯基
暹華 陳
傑弗瑞 馬克思
陽 潘
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201732873A publication Critical patent/TW201732873A/zh
Application granted granted Critical
Publication of TWI775734B publication Critical patent/TWI775734B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/1147Manufacturing methods using a lift-off mask
    • H01L2224/11474Multilayer masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Manufacturing Of Electric Cables (AREA)
  • Surface Treatment Of Glass (AREA)

Abstract

本文中提供透過在圖案化結構上執行高度非保形性(方向性)沉積而促進圖案化的方法及相關的設備。方法包括在圖案化結構(例如硬遮罩)上沉積薄膜。沉積可包括基板選擇性(使得薄膜相對於下方的待蝕刻材料具有高蝕刻選擇性)及圖案選擇性(使得薄膜被方向性沉積而重製圖案化結構之圖案)兩者。在一些實施例中,沉積係在與隨後執行蝕刻時相同的腔室中執行。在一些實施例中,沉積係在透過真空傳送腔室而連接到蝕刻腔室的分開的腔室(例如PECVD沉積腔室)中執行。在蝕刻處理期間,可在所選間歇時或在所選間歇之前執行沉積。在一些實施例中,沉積包括沉積與處理操作的複數循環。

Description

圖案化結構上之方向性沉積
本發明係關於圖案化結構上之方向性沉積。
在3D-NAND與DRAM之尺度化(scaling)中,高達64對的ONON/OPOP用於通道孔洞。蝕刻這些高深寬比孔洞的其中一個關鍵挑戰為蝕刻期間的遮罩損耗。一般的遮罩選擇性範圍為蝕刻的5-8倍,換句話說,需要範圍為0.5-2微米的遮罩高度,視孔洞之深度而定。較高的遮罩提高孔洞的深寬比、提高蝕刻的難度。用以蝕刻這些高深寬比孔洞的漸進增高的電漿密度及離子能量降低了習知方法的功效,習知方法為在蝕刻期間透過氟碳基聚合物之非選擇性沉積減緩遮罩侵蝕。
本文中提供用於圖案化結構上之方向性沉積的方法與設備。在一些實行例中,方法包括執行多循環方向性沉積處理,以在圖案化結構上沉積遮罩積累材料。各循環可包含下列步驟:(i)透過電漿增強化學氣相沉積(PECVD)處理在該圖案化結構上沉積第一材料;並且(ii)電漿處理該第一材料以改善方向性。
根據各種實施例,該第一材料可為矽基材料、碳基材料、硼基材料、或其組合。在一些實施例中,該第一材料包含矽、碳、硼、磷、砷、及硫中之二或更多者。在一些實施例中,該第一材料為含金屬材料。在一些實施例中,電漿處理包含將該第一材料暴露到氮基電漿、氧基電漿、氫基電漿、烴基電漿、氬基電漿、氦基電漿、或其組合。
在一些實施例中,該方法更包含蝕刻被該圖案化結構遮蔽的一層。該圖案化結構可包含具有特徵部頂部及特徵部側壁的凸起特徵部。在此種實施例中,處理該第一材料之步驟可包含使該第一材料從該特徵部側壁再沉積至該特徵部頂部。
在一些實施例中,各循環包含使該第一材料反應而形成第二材料。在一些實施例中,各循環包含改變該第一材料之材料性質。例如,改變該第一材料之材料性質可包含電漿處理、暴露到紫外輻射、或熱退火中之一或更多者。
在一些實施例中,透過PECVD處理進行沉積之步驟包含將含矽前驅物、含碳前驅物、含硼前驅物、或含金屬前驅物引入電漿反應器中。在一些實施例中,透過PECVD處理進行沉積之步驟包含引入選自下列的含矽前驅物:矽烷、鹵化矽烷、有機矽烷、或胺基矽烷。
在一些實施例中,透過PECVD處理進行沉積之步驟包含引入選自下列的含矽前驅物:甲基矽烷、乙基矽烷、異丙基矽烷、第三丁基矽烷、二甲基矽烷、二乙基矽烷、二第三丁基矽烷、烯丙基矽烷、第二丁基矽烷、第三己基矽烷、異戊基矽烷、第三丁基二矽烷、二第三丁基二矽烷、四氯矽烷、三氯矽烷、二氯矽烷、一氯矽烷、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、第三丁基氯矽烷、二第三丁基氯矽烷、氯異丙基矽烷、氯第二丁基矽烷、第三丁基二甲基氯矽烷、第三己基二甲基氯矽烷、一胺基矽烷、二胺基矽烷、三胺基矽烷、四胺基矽烷、第三丁基胺基矽烷、甲基胺基矽烷、第三丁基矽烷胺、雙(第三丁基胺基)矽烷、或第三丁基矽烷基胺基甲酸酯。
在一些實施例中,透過PECVD處理進行沉積之步驟包含引入選自下列的含碳前驅物:甲烷(CH4 )、乙炔(C2 H2 )、乙烯(C2 H4 )、丙烯(C3 H6 )、丁烷(C4 H10 )、環己烷(C6 H12 )、苯(C6 H6 )、及甲苯(C H8 )。
在一些實施例中,透過PECVD處理進行沉積之步驟包含引入選自下列的含硼前驅物:硼烷(BH3 )、二硼烷(B2 H6 )、及三硼烷(B3 H7 )。
在一些實施例中,透過PECVD處理進行沉積之步驟包含引入選自下列的含金屬前驅物:五(二甲基醯胺)鉭、三甲基鋁、四乙氧基鈦、四-二甲基-醯胺鈦、四(乙基甲基醯胺)鉿、雙(環戊二烯基)錳、及雙(正丙基環戊二烯基)鎂。
在一些實施例中,該PECVD處理及該電漿處理操作中之一者或兩者使用感應耦合型電漿、或電容耦合型電漿、或微波電漿。在一些實施例中,該PECVD處理及該電漿處理操作中之一者或兩者使用直接電漿、或遠端電漿、或其組合。在一些實施例中,該PECVD處理及該電漿處理操作中之一者或兩者為離子輔助處理、自由基輔助處理、或其組合。
亦提供用以執行本文中所揭露之方法的設備。該設備包括:一或更多處理腔室,例如感應耦合或電容耦合型蝕刻腔室;以及控制器,具有執行該方法的機器可讀指令。
該等與其他特徵將參考圖式進一步描述如下。
為提供本發明之實施例的全面性理解,在下列實施方式中闡述許多具體細節。所揭露實施例毋須一些或全部的該等具體細節而可被實施。在某些例子中,為了避免不必要地混淆所揭露實施例,熟知的處理作業未詳細描述。雖然將結合具體實施例來描述所揭露實施例,應理解吾人不欲限制所揭露實施例。
在半導體處理中,遮光法(masking method)用以圖案化並蝕刻基板。蝕刻期間的遮罩損失(亦稱為遮罩侵蝕)為蝕刻高深寬比特徵部(例如孔洞及溝槽)中的關鍵挑戰。本文中提供之方法包括在遮罩或在基板圖案上沉積薄膜。沉積可為基板選擇性的(使得薄膜相對於基板具有高蝕刻選擇性)及圖案選擇性的(使得薄膜被方向性沉積於圖案上並重製圖案)兩者。所沉積之材料稱為遮罩積累材料。在一些實施例中,沉積係在與執行蝕刻時相同的腔室中執行,亦稱為原位沉積。在一些實施例中,沉積係在分開的腔室(例如PECVD腔室或不同的蝕刻腔室)中執行,該分開的腔室透過傳送腔室而連接到主蝕刻腔室。應注意的係,雖然說明主要涉及在圖案化硬遮罩上的沉積,但本文中揭露的方法包括在任何圖案化結構上用以重製結構圖案的方向性沉積。
在蝕刻處理期間,可在所選間歇時或在所選間歇之前執行遮罩積累材料之沉積。圖1描繪包括硬遮罩上之方向性沉積的整合處理之範例的操作。在圖1中,在操作10,硬遮罩105及光阻劑109形成在待蝕刻的材料101上。硬遮罩105可為適當的材料,包括有機與無機的硬遮罩。有機硬遮罩之範例包括摻雜或未摻雜的非晶碳(亦被稱為可灰化硬遮罩或AHMs)及有機矽氧烷材料。無機硬遮罩材料之範例包括多晶與非晶矽 (poly-Si、a-Si)、矽氧化物 (SiO)、矽氮化物(SiN)、矽氧氮化物(SiON)、矽碳氮化物(SiCN)、鈦氮化物 (TiN)、鎢(W)、及在特徵部蝕刻之後可被選擇性移除的其他金屬。硬遮罩可經摻雜,其中一範例為硼摻雜AHM。在一些實施例中,硬遮罩可為金屬硬遮罩(MHM),其中範例包括金屬 (例如鋁(Al)及 鎢(W))、金屬氮化物 (例如TiN 及鉭氮化物 (TaN))、及金屬氧化物(例如氧化鋁 (Al2 O3 ))。在一些實施例中,硬遮罩可為陶瓷硬遮罩(CHM)。
處理接著進行到光阻劑顯影(20)及硬遮罩打開(30)以暴露待蝕刻的材料。在圖1的範例中,在蝕刻材料101之前,將遮罩積累材料111方向性地沉積在硬遮罩105上,以提高硬遮罩105之圖案化特徵部的深寬比。請見操作40。這允許隨後的蝕刻進行較長的時間而提供更深的蝕刻。然後蝕刻材料101。請見操作50。在圖1的範例中,遮罩積累材料111在蝕刻期間被完全地移除。然而在一些實施例中,可留下若干者。在操作50,若蝕刻完成,可透過適當的處理而移除硬遮罩105。然而在一些實施例中,在繼續進行蝕刻處理之前,在操作50之後可執行遮罩積累材料之圖案上方向性沉積,以提高硬遮罩之圖案化特徵部的深寬比。被侵蝕的遮罩材料可視所需以相同或相似或不同的材料修復、且可視所需修復成相同或不同的輪廓。
圖2圖示高深寬比特徵部上之方向性沉積方法的一範例中的某些操作。如上所述,方向性沉積可在蝕刻處理之前或在蝕刻處理中間於蝕刻腔室中發生,以提高覆蓋於待蝕刻材料上的遮罩之深寬比。
在圖2中,透過電漿增強化學氣相沉積(PECVD)處理將遮罩積累材料沉積在高深寬比特徵部上。請見方塊201。總的來說,遮罩積累材料,不同於遮罩材料、可透過PECVD而沉積、且對於待蝕刻之材料具有至少若干蝕刻選擇性。因此,遮罩積累材料將取決於待蝕刻之材料、及待使用之蝕刻化學品。一般而言,遮罩積累材料為介電性材料。範例包括含矽薄膜、及含碳薄膜、及其組合。
為促進方向性沉積,在一些實施例中,沉積化學品可包括具有高黏附係數及低遷移率的分子。黏附係數為下列兩者的比例:黏附在表面上的吸附分子的數量:在相同時期中衝擊在表面上的分子的總數量。黏附係數取決於尺寸(其中較大的分子具有較高的黏附係數)及表面上之吸附作用的傾向。
遷移率指涉分子的表面與氣體之擴散速率。在一些實施例中,可使用包括聚合鏈的沉積化學品。此種鏈可在PECVD處理期間於電漿中形成。例如,可引入具有氫(H2 )的氯矽烷至腔室中。可觸發電漿、產生自由基(標記為a*)與離子,其中隨後的反應產生氯化聚矽烷類。
電漿反應之範例包括: H2 + e- à 2H* + e- SiCl4 + e- à SiCl3 + Cl* + e- SiCl4 + H* à SiCl3 * + HCl SiCl4 + 2H* à HSiCl3 + HCl SiCl3 *+ 2H* à SiCl2 * + HCl + H*, 或    à HSiCl2 * + Cl* + H*, 或    à HSiCl3 + H*, 或    à H2 SiCl* + 2Cl*, n(SiCl2 *) + mSiCl3 * à Si2 Cl6 + … à Si3 Cl8 +… à Sin Cl2n+2 n(HSiCl*) + mH2 SiCl* à H2 Si2 Cl4 + à Hx Sin Cl2n+2-x
亦發生在表面上的類似反應使得沉積處理更為複雜。
氯化聚矽烷類可為巨大團簇。氯矽烷類及氯化聚矽烷類兩者均具有高黏附係數及低遷移率,有助於提供圖案上方向性沉積。其他例示性沉積化學品進一步討論如下。在方塊201之後,沉積在高深寬比特徵部上的遮罩積累材料可為方向性沉積,其中在特徵部之頂部的沉積材料比沿著側壁及在特徵部之底部的沉積材料更厚。圖3a圖示方塊201之後的高深寬比圖案化硬遮罩特徵部的示意範例。在圖3a之範例中,圖案化硬遮罩特徵部為303且圖示為覆蓋於待蝕刻之材料309上。圖案化硬遮罩特徵部303之特徵在於特徵部頂部305及側壁307。圖案化硬遮罩特徵部303形成高深寬比孔洞313,其可為例如接觸孔洞或溝槽。孔洞313之底部311可稱為特徵部底部。
在一些實施例中,在PECVD沉積期間對晶圓施加偏壓。這可提高電漿中各種物種的黏附係數。例如,偏壓可提高氯矽烷離子及自由基的黏附係數。
使用在氣態混合物(來自含矽前驅物及稀釋氣體)中產生的電漿301在硬遮罩上沉積矽薄膜。例示性電漿物種包括SiHy Clx *自由基302、H*原子308、及氯化聚矽烷類306。產生氯化氫(HCl)物種304為副產物。含矽物種在圖案化硬遮罩特徵部303上沉積矽積累材料312。在圖3a之範例中,沉積為方向性,使得與在側壁307上及在底部311上相比,較多積累材料312沉積在特徵部頂部305上。積累材料312之厚度隨著特徵部的深度而減少。
回到圖2,然後處理所沉積的遮罩積累材料以提高方向性。請見方塊203。提高方向性可提高遮罩積累材料之深寬比。在一些實施例中,在圖案化硬遮罩的側壁上,遮罩積累材料不大於數奈米厚。方向性亦可用頂部:底部階梯覆蓋率或頂部:側壁階梯覆蓋率的方式來描述。在圖3d中,例如,320表示頂部厚度、322表示底部厚度、而324表示側壁厚度。階梯覆蓋率為兩厚度的比例,例如頂部:底部階梯覆蓋率或頂部:側壁階梯覆蓋率。在量測側壁厚度時,可使用特徵部深度之中間點的厚度。在一些實施例中,處理操作提高頂部:底部或頂部:側壁階梯覆蓋率。在一些實施例中,方塊203亦改質沉積材料的材料性質(例如密度、化學組成、或蝕刻選擇性)。
操作203可包括將遮罩積累材料暴露到具有高遷移率且可蝕刻遮罩積累材料的電漿物種。電漿物種可相對於待蝕刻材料及/或相對於硬遮罩而選擇性地化學蝕刻遮罩積累材料。在一些實施例中,化學蝕刻之反應產物再沉積於圖案化硬遮罩特徵部的較上方的部分上作為積累材料。
在一些實施例中,使用氫基電漿。氫基電漿為其中氫物種(主要為H自由基)為主要處理物種(且在一些實施例中可為主要蝕刻物種)的電漿。在一些實施例中,氫基電漿可從實質上由H2 所組成的氣體中形成。在一些實施例中,一或更多惰性氣體可連同H2 一起存在。氫基電漿可在不蝕刻氧化物的情況下選擇性地蝕刻矽。
在一些實施例中,引入電漿產生氣體(包括下列一或更多者)至電漿產生器中以產生電漿物種。在一些實施例中,電漿產生氣體包括一或更多含氫電漿。此種氣體的範例包括H2 、CH4 、NH3 、C2 H2 、及N2 H2 。所產生的電漿可為氫基電漿。
在一些實施例中,可使用氮基電漿、氧基電漿、烴基電漿、氬基電漿、或氦基電漿。在氮基電漿中,主要處理物種為氮;在氧基電漿中,主要處理物種為氧等。在一些實施例中,針對包括蝕刻的處理,該者可為主要蝕刻物種。
圖3b圖示方塊203之後的高深寬比圖案化硬遮罩特徵部的示意範例。例如,處理電漿321可包括一或更多Ar離子、Si離子、H* 原子、N離子、及Cl*原子。在腔室中亦可使用紫外光,無論其從電漿或從分開的UV來源產生。
在圖3b中,處理電漿包括H* 原子308、 N離子 314、及Ar 離子310。該者可移動深入孔洞313並從特徵部的側壁307及底部311蝕刻積累材料312。若干經蝕刻的積累材料可再沉積於特徵部的頂部305。各種產物物種315可形成並導致再沉積或留下作為副產物。產物物種的範例可包括SiClx Hy 物種、Six Hy 、及Nx Hy 物種。依此方式,改善遮罩積累材料的方向性(圖案化硬遮罩特徵部之頂部相對於側壁及底部)。回到圖2,可重複執行方塊201及203一或更多次以得到期望的深寬比。方塊201可僅執行足以達成下列動作的時間長度:在特徵部的側壁及底部上沉積不多於一薄層的遮罩積累材料,使得其可在方塊203中被移除。每一循環的例示性頂部厚度範圍可從10 Å 到500 Å、或10 Å到100 Å。圖3c圖示在第N次及第N+1次循環中的圖案化硬遮罩及遮罩積累材料的示意範例。
圖4圖示高深寬比特徵部上之方向性沉積方法的一範例中的某些操作。如上所述,方向性沉積可在蝕刻處理之前或在蝕刻處理中間於蝕刻腔室中發生,以提高覆蓋於待蝕刻材料上的遮罩之深寬比。圖4中所述的處理類似於參考圖2所述者,其中操作201及203如上所述般執行。然而,在圖4的範例中,在處理沉積材料以提高圖案選擇性之後,可使遮罩積累材料反應以提高蝕刻選擇性。請見方塊204。在一範例中,可將矽遮罩積累材料暴露到含碳氣體以形成矽碳化物。這尤其有用於形成對於氧化物具有高蝕刻選擇比的遮罩積累材料。在其他範例中,可將矽遮罩積累材料暴露到含氮氣體以形成矽氮化物。
在一些實施例中,圖4中的操作204可在操作203之前執行,使得遮罩積累材料在處理操作之前反應而形成另一材料。在一些實施例中,操作204可僅在操作203及204的複數循環之後執行。例如,操作204可在操作205之後執行。
在一些實施例中,在圖2中的操作203或圖4中的操作204之後可執行選用性緻密化操作。若執行,舉例來說,緻密化操作可包括熱退火、暴露到紫外輻射、或電漿緻密化處理。在一些實施例中,在圖2或圖4中的操作205之後可執行選用性緻密化操作。在一些實施例中,可使用適當的化學品而同時地執行操作203及204。
在沉積及處理期間,調諧製程條件以提供無夾止情況的非保形沉積。夾止指涉相鄰的特徵部長在一起而使特徵部之間的面積夾止。參考實驗結果進一步討論如下,可調諧各種製程條件以提供PECVD沉積期間的方向性沉積、及處理操作期間的改善的方向性與垂直側壁。業已證實在一些實施例中,循環性(而非連續的PECVD)及處理操作之使用促進定義明確、高深寬比的積累材料特徵部。PECVD沉積期間的偏壓亦可提高非保形性並抑制夾止。沉積期間的滯留時間(流率)及電漿功率亦影響非保形性及夾止。處理操作期間加入添加氣體有助於避免蝕刻先前沉積在特徵部頂部的材料。亦可調諧處理期間的電漿功率及暴露時間以將蝕刻限制在硬遮罩壁及底部。
雖然以上討論聚焦於沉積矽基遮罩積累材料,但可使用其他的材料,例如碳薄膜。在沉積矽時,可使用任何適當的含矽前驅物,包括矽烷類(例如SiH4 )、聚矽烷類(H3 Si‑(SiH2 ) ‑SiH3 )(其中n 1)、有機矽烷類、鹵化矽烷類、及胺基矽烷類。可使用如下之有機矽烷類:甲基矽烷、乙基矽烷、異丙基矽烷、第三丁基矽烷、二甲基矽烷、二乙基矽烷、二第三丁基矽烷、烯丙基矽烷、第二丁基矽烷、第三己基矽烷、異戊基矽烷、第三丁基二矽烷、二第三丁基二矽烷等。鹵化矽烷包含至少一鹵素基團且可包含或不包含氫及/或碳基團。鹵化矽烷類之範例為碘矽烷類、溴矽烷類、氯矽烷類、及氟矽烷類。特定的氯矽烷類為四氯矽烷(SiCl4 ) 、三氯矽烷(HSiCl3 ) 、二氯矽烷(H2 SiCl2 ) 、一氯矽烷(ClSiH3 )、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、第三丁基氯矽烷、二第三丁基氯矽烷、氯異丙基矽烷、氯第二丁基矽烷、第三丁基二甲基氯矽烷、第三己基二甲基氯矽烷等。胺基矽烷包括至少一氮原子與矽原子鍵結,但亦可包括氫、氧、鹵素、及碳。胺基矽烷類之範例為一、二、三、及四胺基矽烷(分別為(H3 Si(NH2 )4 、H2 Si(NH2 )2 、HSi(NH2 )3 、及Si(NH2 )4 );以及經取代的一、二、三、及四胺基矽烷,例如第三丁基胺基矽烷、甲基胺基矽烷、第三丁基矽烷胺、雙(第三丁基胺基)矽烷(SiH2 (NHC(CH3 )3 )2 (BTBAS)、第三丁基矽烷基胺基甲酸酯、SiH(CH3 )-(N(CH3 )2 )2 、SiHCl-(N(CH3 )2 )2 、(Si(CH3 )2 NH)3 等。
所沉積的薄膜通常為非晶型,其中薄膜組成將取決於所使用的特定前驅物及共反應物,其中有機矽烷類產生a-SiC:H薄膜,而胺基矽烷類產生 a-SiN:H或 a-SiCN:H薄膜。
在沉積碳薄膜時,可使用任何適當的含碳前驅物。在一些實施例中,可使用化學式為Cx Hy 的烴前驅物,其中X為介於2及10之間的整數,Y為介於2及24之間的整數。範例包括甲烷(CH4 )、乙炔(C2 H2 )、乙烯(C2 H4 )、丙烯(C3 H6 )、丁烷(C4 H10 )、環己烷(C6 H12 )、苯(C6 H6 )、及甲苯(C H8 )。
在一些實施例中,積累材料可經摻雜或包括例如硼或磷的材料。其他摻雜物包括砷、硫、硒。依此方式,可改善對於下層薄膜的蝕刻選擇性。例如,針對摻雜介電質(具體而言為二氧化矽基介電質),處理氣體可包括摻雜物前驅物,例如含硼氣體、含磷氣體、含碳氣體、或其混合物。在一特定實施例中,氣體包括一或更多含硼反應物及一或更多含磷反應物,而介電薄膜包括磷硼摻雜二氧化矽玻璃(BPSG)。適當的硼及磷前驅物氣體包括硼烷(BH3 )、二硼烷(B2 H6 )、及三硼烷(B3 H7 )、及磷化氫(PH3 )。含砷、含硫、及含硒氣體之範例包括硒化氫(H2 Se)、氫化砷(AsH3 )、及硫化氫(H2 S)。
若介電質欲包含氧氮化物(例如矽氧氮化物),則沉積氣體可包括含氮反應物(N2 、NH3 、NO、N2 O)及其混合物。沉積薄膜之範例包括硼摻雜矽、矽硼化物、碳矽硼化物(silicon boride carbon)等。
亦可沉積含金屬薄膜。可形成的含金屬薄膜的範例包括鋁、鈦、鉿、鉭、鎢、錳、鎂、鍶等的氧化物及氮化物;以及金屬元素薄膜。例示性前驅物可包括金屬烷基胺類、金屬烷氧化物、金屬烷基醯胺類化合物(metal alkylamides)、金屬鹵化物、金屬β-二酮酸鹽類(β-diketonates)、金屬羰基化合物、有機金屬化合物等。適當的含金屬前驅物包括期望被併入薄膜中的金屬。例如,可透過使五(二甲基醯胺)鉭與作為輔助反應物的氨或另一還原劑反應而沉積含鉭層。可應用的含金屬前驅物的進一步範例包括三甲基鋁、四乙氧基鈦、四-二甲基-醯胺鈦、四(乙基甲基醯胺)鉿、雙(環戊二烯基)錳、及雙(正丙基環戊二烯基)鎂等。
除了氫以外,處理化學品的範例包括含氮、含氧、含碳、及含鹵素處理化學品、以及稀有氣體。
在一些實施例中,方塊203及204可結合。例如,一操作可包括將沉積材料暴露到含氫化合物(例如CH4 、NH3 、H2 Se、H2 S、AsH3 、或PH3 )以同時地處理沉積材料並使之反應。設備
在一些實施例中,在蝕刻設備中執行方向性沉積。例如,上述方法可在感應耦合型電漿蝕刻設備或電容耦合型電漿蝕刻設備中執行。
圖5根據本文之某些實施例示意地圖示感應耦合型電漿蝕刻設備500之剖面圖。用以執行的方法的處理腔室的範例的示意圖。由美國加州佛蒙特(Fremont, CA.)的蘭姆研究公司(Lam Research Corp.)生產的Kiyo™反應器為可用以實行本文所述之技術的適當反應器之一範例。感應耦合型電漿設備500包括整體的蝕刻腔室,其結構上由腔室壁501與窗511界定。腔室壁501可由不鏽鋼或鋁製成。窗511可由石英或其他的介電性材料製成。選用性內部電漿柵極550將整體的蝕刻腔室分成上方子腔室502與下方子腔室503。在大部分的實施例中,可移除電漿柵極550,藉此使用子腔室502與503所形成的腔室空間。卡盤517設置在下方子腔室503中,並靠近底部的內側表面。卡盤517配置以接收並固持半導體晶圓519,蝕刻處理係在其上方執行。卡盤517可為用以固持晶圓519(當存在時)的靜電卡盤。在一些實施例中,邊緣環(未圖示)圍繞卡盤517,且具有幾乎與晶圓519(當存在於卡盤517上時)之頂部表面齊平的一上表面。卡盤517亦可包括靜電電極,用以夾持及去夾持晶圓。為此目的可設置濾波器與DC卡盤功率供應器(未圖示)。亦可設置用以將晶圓519從卡盤517升降的其他控制系統。可使用RF功率供應器523對卡盤517充電。RF功率供應器523透過連接件527連接到匹配電路521。匹配電路521透過連接件525連接到卡盤517。依此方式,RF功率供應器523連接到卡盤517。
線圈533位在窗511的上方。線圈533由導電性材料製成,且至少包括完整的一匝。圖5所示之例示性線圈533包括三匝。線圈533的截面以符號呈現,具有符號「x」的線圈旋轉延伸進入頁面,而具有符號「˙」的線圈旋轉延伸離開頁面。RF功率供應器541配置以將RF功率供應到線圈533。大致上,RF功率供應器541透過連接件545連接到匹配電路539。匹配電路539透過連接件543連接到線圈533。依此方式,RF功率供應器541連接到線圈533。選用性法拉第屏蔽(Faraday shield)549設置在線圈533與窗511之間。維持法拉第屏蔽549與線圈533之間有一間隔距離。法拉第屏蔽549鄰近地設置在窗511的上方。線圈533、法拉第屏蔽549、及窗511各經配置成彼此實質上平行。法拉第屏蔽可避免金屬或其他物種沉積在電漿腔室的介電窗上。
可透過設置在上方腔室中的主要注入埠560、及/或透過側注入埠570(有時稱為STG)來供應處理氣體。透過在操作性電漿處理期間使用閉迴路控制流量限制裝置(例如節流閥(未圖示)或鐘擺閥(未圖示)),可使用真空泵浦(例如一或二階式機械乾式泵浦、及/或渦輪分子泵浦540)將處理氣體從處理腔室中抽離,並維持處理腔室中的壓力。
在設備的操作期間,可透過注入埠560及/或570供應一或更多的反應物氣體。在某些實施例中,可僅透過主要注入埠560或僅透過側注入埠570來供應氣體。在一些例子中,注入埠可被噴淋頭取代。法拉第屏蔽549及/或選用性柵極550可包括允許處理氣體輸送到腔室的內部通道與孔洞。法拉第屏蔽549與選用性柵極550之其中一者或該兩者,可做為用以輸送處理氣體的噴淋頭。
射頻功率從RF功率供應器541供應到線圈533,使RF電流流經線圈533。流經線圈533的RF電流在線圈533的周圍產生電磁場。電磁場在上方子腔室502中產生感應電流。在蝕刻處理期間,許多產生的離子及自由基之與晶圓519的物理與化學交互作用,選擇性地蝕刻晶圓的特徵部。
若使用電漿柵極,使得具有上方子腔室502與下方子腔室503兩者,則感應電流對存在於上方子腔室502中的氣體起作用,而在上方子腔室502中產生電子-離子電漿。選用性內部電漿柵極550限制了下方子腔室503中的熱電子的量。在一些實施例中,設計並操作該設備,使得存在於下方子腔室503中的電漿為離子-離子電漿。
上方的電子-離子電漿及下方的離子-離子電漿兩者皆可包含正離子與負離子,但離子-離子電漿之負離子比正離子之比例較大。揮發性蝕刻副產物可透過埠口522從下方子腔室503中移除。
本文中揭露的卡盤517可在範圍介於約30°C 與約 250°C之間的昇高溫度下操作。該溫度將取決於蝕刻處理操作與特定配方。在一些實施例中,腔室亦可在範圍介於約1毫托與約95毫托之間的壓力下操作。在某些實施例中,壓力可高於如上所揭露者。
當腔室安裝在潔淨室或製造設備中時,腔室可與設備(未圖示)結合。設備包括管路系統,其可提供處理氣體、真空、溫度控制、及環境微粒控制。當這些設備安裝在目標製造設備中時,這些設備連接到腔室。此外,腔室可連接到傳送腔室,其允許機器手臂使用一般自動化技術將半導體晶圓傳送進出腔室。
在一些實施例中,系統控制器530(其可包括一或更多實體或邏輯的控制器)控制蝕刻腔室的若干或全部的操作。控制器進一步描述如下。
圖6為根據各種實施例的電容耦合型電漿蝕刻設備的範例之示意繪圖。電漿蝕刻腔室包括上電極602與下電極604,而電漿可在上電極602與下電極604之間產生。其上方具有圖案化硬遮罩薄膜,且如上述之基板699,可定位在下電極604上,且可被靜電卡盤(ESC)固持在位置上。亦可應用其他夾持機制。電漿蝕刻腔室可包括電漿限制環,其保持電漿於基板上方並遠離腔室腔壁。可應用其他電漿限制結構,例如做為內側腔壁的屏蔽。在一些實施例中,電漿蝕刻腔室可不包括任何此種電漿限制結構。
在圖6之範例中,電漿蝕刻腔室包括兩個RF來源,其中RF來源610連接到上電極602,而RF來源612連接到下電極604。RF來源610與612皆可包括具有任何適當頻率(包括2 MHz、13.56 MHz、27 MHz、及 60 MHz)的一或更多來源。氣體可從一或更多氣體來源614、616、及618被引入腔室中。例如,氣體來源614可包括如上所述之沉積或蝕刻氣體。氣體可通過入口620被引入腔室中,其中多餘的氣體與反應副產物經由排氣泵浦622而被排出。
可應用的電漿蝕刻腔室之範例為可得自美國加州佛蒙特的蘭姆研究公司的2300® Flex™反應性離子蝕刻工具。電漿蝕刻腔室的進一步描述可見於美國專利案第6841943號與8552334號,該等案因各種目的加入本案之參考資料。
回到圖6,控制器530可連接到RF來源610與612、連接到與氣體來源614、616、及618相關的閥、且連接到排氣泵浦622。在一些實施例中,控制器530控制電漿蝕刻腔室的所有活動。
控制器530之以下討論適用於圖5及6中的控制器530。控制器530可執行控制軟體,該控制軟體儲存於大量儲存裝置中、載入至記憶體裝置中、並於處理器上執行。替代地,可將控制邏輯硬編碼於控制器530中。特殊應用積體電路、可程式化邏輯裝置(例如場可程式化閘陣列或FPGAs)等,可用於該等用途。在下列討論及圖6的控制器的討論中,無論「軟體」或「編碼」用於何種情況,功能上相當之硬碼化邏輯皆可用於該狀況。
控制軟體可包含用以控制施加時序及/或用以控制下列腔室操作性條件中任一或更多者的大小:氣體之混合及/或組成、腔室壓力、腔室溫度、晶圓/晶圓固持器之溫度、施加至晶圓的偏壓、施加至線圈或其他電漿產生元件的頻率與功率、晶圓位置、晶圓移動速率、及由工具所執行的特定製程之其他參數。控制軟體可以任何合適的方式來配置。例如,可寫入各種處理工具元件子程式或控制目標,以控制實現各種處理工具製程所需之處理工具元件的操作。控制軟體可以任何合適的電腦可讀取程式語言來進行編碼。
在一些實施例中,控制軟體可包含用以控制上述各種參數之輸入/輸出控制(IOC)定序指令。在一些實施例中,可使用儲存於與控制器530相關聯之大量儲存裝置及/或記憶體裝置上的其他電腦軟體及/或程式。用於此用途之程式或程式片段的範例包括處理氣體控制程式、壓力控制程式、及RF來源控制程式。
處理氣體控制程式可包含編碼,該編碼係用以控制氣體組成(例如本文所述之沉積及處理氣體)、及流率;及選用性地用以在沉積前使氣體流入腔室中以將該腔室中之壓力穩定化。壓力控制程式可包含編碼,該編碼係藉由調節例如該腔室之排氣系統中的節流閥、進入該腔室之氣流等,以控制該腔室中之壓力。RF來源控制程式可包含編碼,該編碼係用以根據本文之實施例設定施加到電極的RF功率位準。
在某些實施例中,可存在與控制器530相關聯之使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由控制器530調整之參數可與製程條件有關。非限制性之範例包含處理氣體組成及流率、溫度、壓力、電漿條件(例如RF偏壓功率位準)等。可以配方的形式將該等參數提供給使用者,並可利用使用者介面來輸入該等參數。
可藉由系統控制器530之類比及/或數位輸入連接件,而自各種處理工具感測器提供用以監測製程的信號。可於電漿蝕刻腔室之類比及數位輸出連接件上,輸出用以控制製程之信號。可受到監測之感測器的非限制性範例包含質流控制器、壓力感測器(如壓力計)、熱電偶等。適當程式化之反饋及控制演算法可與來自該等感測器的資料一起使用,以維持製程條件。
控制器530可提供用以實施上述方向性沉積處理及隨後的沉積處理的程式指令。該等程式指令可控制種種製程參數,如RF偏壓功率位準、壓力、溫度等。該等指令可控制該等參數,以根據本文所述之各種實施例而方向性地沉積遮罩積累薄膜。
控制器530一般包含配置以執行該等指令之一或更多記憶體裝置及一或更多處理器,以使該設備能依據所揭露實施例來執行方法。例如,包含用以依據所揭露實施例來控制製程操作之指令的機器可讀取媒體可耦接至控制器530,如上所述。
在一些實施例中,控制器530可為或形成系統控制器(其為系統之部分)之一部分,系統可為上述範例之一部分。此類系統可包含半導體處理設備,其包括一或複數之處理工具、一或複數之腔室、用於處理的一或複數之工作台、及/或特定處理元件(晶圓支座、氣流系統等)。該等系統可與電子設備結合,該電子設備係用於在半導體晶圓或基板之處理期間或在該處理前後控制其操作。可將該電子設備稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理條件及/或系統之類型,可對系統控制器編寫程式以控制本文中所揭露的製程之任一者,包含處理氣體之輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介接的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將系統控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到系統控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在一些實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在一些實施例中,系統控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,系統控制器係可位於「雲端」(in the “cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在某些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,系統控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(系統控制器係配置成透過介面與該工具介接或控制該工具)的類型。因此,如上所述,系統控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
在一些實施例中,PECVD沉積可應用遠端、自由基輔助電漿或微波電漿。此種沉積可在配置有遠端或微波電漿產生器的蝕刻腔室中執行、或可在於真空之下連接至蝕刻腔室的沉積腔室中執行。類似地,在一些實施例中,可使用遠端自由基輔助電漿或微波電漿執行處理操作。
例示性製程參數給定如下。例示性壓力範圍從5毫托至1000毫托,且在一些實施例中,介於40毫托至100毫托。在處理操作中,例示性壓力範圍可從5毫托至300毫托。
針對可得自美國加州佛蒙特的蘭姆研究公司的感應耦合型電漿來源(例如變壓器耦合電漿(TCP))來源的例示性電漿功率為10 W至1200 W、20 W至 500 W、或 50 W至300 W。用於沉積操作的例示性電漿功率範圍從20W至200W。用於處理操作的例示性電漿功率範圍從20W至1200W。
例示性偏壓範圍從 0 V 至 -500 V、0至 -80 V,例如 -50 V。偏壓亦可以大小的方式來表現,例如 0 至 500 V、0至80 V、或0至50 V。在沉積階段的例示性流率 範圍從1 sccm至2000 sccm、從 1 至 300 sccm、或100 sccm。在處理階段的例示性流率 範圍從1至 2000 sccm、1至 500 sccm、或300 sccm。例示性基板溫度範圍從 40o C至250o 、或 60o C 至 120o C。沉積或處理暴露時間範圍,在一些實施例中可從 0.5s 至20s、或從 3s 至10s或4s至6s(針對多循環處理之處理時間的一範例)。在一些範例中,循環執行次數介於 10與 100之間。實驗
提供以下範例以進一步說明各種實施例之態樣。提供這些範例以示範並更清楚地說明態樣,且吾人不欲這些範例為限制性。
針對連續性及循環性的沉積(具有處理及無處理),使用感應耦合型蝕刻反應器在硬遮罩上沉積a-Si積累材料。沉積處理氣體為 SiCl4 /H2 ,其中當處理階段時使用H2 基電漿。壓力在20 mT與 120 mT之間改變。
針對連續性PECVD,在40 mT下沉積積累材料60秒(s)及120s;並在60 mT下沉積積累材料75s。在120s/40 mT及 75s/ 60 mT時觀察到遮罩積累材料的夾止。沉積為非保形。針對循環性PECVD(無處理),在40 mT下沉積積累材料3s 的20次循環 (60s)及3s 的40次循環(120s);並在60 mT下沉積積累材料3s 的25次循環(75s)。在60 mT例子中觀察到夾止。沉積為非保形。120s/40mT 的結果 (無夾止) 與連續性PECVD的120s/40mT 的結果的比對,指出循環性促進定義明確的高深寬比特徵部。針對循環性PECVD(具有電漿處理),在40 mT下沉積積累材料3s沉積 + 5s處理的20次循環及3s沉積 + 5s處理的40次循環;並在60 mT下沉積積累材料3s沉積 + 5s處理的25次循環。沉積為非保形。未觀察到夾止,指出處理促進在寬的壓力範圍之下沉積高深寬比特徵部。
使用感應耦合型蝕刻反應器以複數沉積-處理循環在SiO2 硬遮罩上沉積a-Si積累材料。沉積處理氣體為SiCl4 /H2 ,電漿功率為50 W,而壓力為60 mT。處理操作氣體為 H2 ,帶有少量(大約5 vol. %) 的 N2 ,電漿功率為 300 W 且在基板上無偏壓。執行25次沉積-處理循環。偏壓針對沉積而改變,具有以下結果:
使用感應耦合型蝕刻反應器以複數沉積/處理循環在硬遮罩上沉積a-Si積累材料。SiCl4 /H2 的流率有所改變。在較長的滯留時間(較低的流率)觀察到夾止。不侷限於特定理論,一般認為較長的SiClx 物種滯留時間導致夾止。電漿中的H自由基清除(scavenge)反應中的Cl。H自由基與離子消除外緣凸出及夾止,而提供有效的頂部遮罩蝕刻及垂直側壁輪廓。
使用感應耦合型蝕刻反應器以複數沉積/處理循環在硬遮罩上沉積a-Si積累材料。沉積階段期間的電漿功率有所改變。使用較高的功率達到較高的非保形性。不侷限於特定理論,一般認為具有高黏附係數的SiClx 自由基的濃度及H自由基(避免外緣凸出及夾止)的濃度增加。
使用感應耦合型蝕刻反應器以複數沉積/處理循環在硬遮罩上沉積a-Si積累材料。處理階段期間的氣體組成有所改變。使用100% H2 產生頸縮(necked)的輪廓。不侷限於特定理論,一般認為H2 電漿使已沉積的a-Si薄膜之反應性較低,而降低黏附係數。沉積薄膜經蝕刻而從溝槽底部再沉積於頂部。在加入添加氣體((5 vol% N2 或5 vol% CH4 )的情況下觀察到更垂直的輪廓。觀察到在溝槽底部更厚的沉積。
使用感應耦合型蝕刻反應器以複數沉積/處理循環在硬遮罩上沉積a-Si積累材料。處理階段期間的電漿功率有所改變。處理氣體為100% H2 。使用0 W、50 W、100 W、200 W、及 300 W的功率。300 W產生頸縮的輪廓。減少功率產生更垂直的側壁以及更厚的溝槽及側壁沉積,而0 W產生夾止。
使用感應耦合型蝕刻反應器以複數沉積/處理循環在硬遮罩上沉積含Si積累材料。處理階段期間的暴露時間有所改變。使用1s、2s、3s、及 5s。5s產生頸縮的輪廓。減少暴露時間產生更垂直的側壁以及更厚的溝槽及側壁沉積。結論
雖然為了理解之明確性的緣故,已稍微詳細地描述上述之實施例,但顯然在隨附申請專利範圍之範疇內可實行某些改變與修改。應注意的係,有許多實施本文之實施例的處理、系統、及設備的替代方式。因此,應將本文之實施例視為例示性而非限制性,且該等實施例不限於本文中提出的細節。
10‧‧‧操作
20‧‧‧操作
30‧‧‧操作
40‧‧‧操作
50‧‧‧操作
101‧‧‧材料
105‧‧‧硬遮罩
109‧‧‧光阻劑
111‧‧‧遮罩積累材料
201‧‧‧方塊/操作
203‧‧‧方塊/操作
204‧‧‧方塊/操作
205‧‧‧方塊/操作
301‧‧‧電漿
302‧‧‧SiHyClx*自由基
303‧‧‧圖案化硬遮罩特徵部
304‧‧‧HCl物種
305‧‧‧頂部
306‧‧‧氯化聚矽烷類
307‧‧‧側壁
308‧‧‧H*原子
309‧‧‧材料
310‧‧‧Ar離子
311‧‧‧底部
312‧‧‧積累材料
313‧‧‧孔洞
314‧‧‧N離子
315‧‧‧產物物種
320‧‧‧頂部厚度
321‧‧‧處理電漿
322‧‧‧底部厚度
324‧‧‧側壁厚度
500‧‧‧設備
501‧‧‧腔室壁
502‧‧‧子腔室
503‧‧‧子腔室
511‧‧‧窗
517‧‧‧卡盤
519‧‧‧晶圓
522‧‧‧埠口
523‧‧‧RF功率供應器
527‧‧‧連接件
530‧‧‧系統控制器
533‧‧‧線圈
540‧‧‧泵浦
541‧‧‧RF功率供應器
545‧‧‧連接件
549‧‧‧法拉第屏蔽
550‧‧‧柵極
560‧‧‧注入埠
570‧‧‧注入埠
602‧‧‧上電極
604‧‧‧下電極
610‧‧‧RF來源
612‧‧‧RF來源
614‧‧‧氣體來源
616‧‧‧氣體來源
618‧‧‧氣體來源
620‧‧‧入口
622‧‧‧排氣泵浦
699‧‧‧基板
圖1描繪包括硬遮罩上之方向性沉積的整合處理之範例的操作。
圖2圖示高深寬比特徵部上之方向性沉積方法的一範例中的某些操作。
圖3a-3d圖示方向性沉積處理期間之三個高深寬比圖案化硬遮罩特徵部的示意範例。
圖4圖示高深寬比特徵部上之方向性沉積方法的一範例中的某些操作。
圖5及6為用以執行根據所揭露之實施例的方法的處理腔室的範例的示意圖。
201‧‧‧方塊/操作
203‧‧‧方塊/操作
205‧‧‧方塊/操作

Claims (23)

  1. 一種方法,包含: 執行多循環方向性沉積處理,以在一圖案化結構上沉積一遮罩積累材料,其中各該循環包含下列步驟: i)              透過電漿增強化學氣相沉積(PECVD)處理在該圖案化結構上沉積第一材料;並且 ii)            電漿處理該第一材料以改善方向性。
  2. 如申請專利範圍第1項之方法,其中該第一材料為矽基材料、碳基材料、硼基材料、或其組合。
  3. 如申請專利範圍第1項之方法,其中該第一材料包含矽、碳、硼、磷、砷、及硫中之二或更多者。
  4. 如申請專利範圍第1項之方法,其中該第一材料為含金屬材料。
  5. 如申請專利範圍第1項之方法,其中步驟(ii)包含將該第一材料暴露到氮基電漿、氧基電漿、氫基電漿、烴基電漿、氬基電漿、氦基電漿、或其組合。
  6. 如申請專利範圍第1項之方法,其中步驟(ii)包含將該第一材料暴露到產生自含氫化合物的電漿。
  7. 如申請專利範圍第6項之方法,其中該含氫化合物包含H2 、CH4 、 NH3 、C2 H2 、及N2 H2 中之一者。
  8. 如申請專利範圍第1項之方法,更包含蝕刻被該圖案化結構遮蔽的一層。
  9. 如申請專利範圍第1項之方法,其中該圖案化結構包含具有特徵部頂部及特徵部側壁的凸起特徵部。
  10. 如申請專利範圍第9項之方法,其中電漿處理該第一材料之步驟包含使該第一材料從該特徵部側壁再沉積至該特徵部頂部。
  11. 如申請專利範圍第1項之方法,其中各該循環更包含使該第一材料反應而形成第二材料。
  12. 如申請專利範圍第1項之方法,其中各該循環更包含改變該第一材料之材料性質。
  13. 如申請專利範圍第12項之方法,其中改變該第一材料之材料性質包含電漿處理、暴露到紫外輻射、或熱退火中之一或更多者。
  14. 如申請專利範圍第1項之方法,其中透過PECVD處理進行沉積之步驟包含將含矽前驅物、含碳前驅物、含硼前驅物、或含金屬前驅物引入一電漿反應器中。
  15. 如申請專利範圍第14項之方法,其中透過PECVD處理進行沉積之步驟包含引入選自下列的含矽前驅物:矽烷、鹵化矽烷、有機矽烷、或胺基矽烷。
  16. 如申請專利範圍第14項之方法,其中透過PECVD處理進行沉積之步驟包含引入選自下列的含矽前驅物:甲基矽烷、乙基矽烷、異丙基矽烷、第三丁基矽烷、二甲基矽烷、二乙基矽烷、二第三丁基矽烷、烯丙基矽烷、第二丁基矽烷、第三己基矽烷、異戊基矽烷、第三丁基二矽烷、二第三丁基二矽烷、四氯矽烷、三氯矽烷、二氯矽烷、一氯矽烷、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、第三丁基氯矽烷、二第三丁基氯矽烷、氯異丙基矽烷、氯第二丁基矽烷、第三丁基二甲基氯矽烷、第三己基二甲基氯矽烷、一胺基矽烷、二胺基矽烷、三胺基矽烷、四胺基矽烷、第三丁基胺基矽烷、甲基胺基矽烷、第三丁基矽烷胺、雙(第三丁基胺基)矽烷、或第三丁基矽烷基胺基甲酸酯。
  17. 如申請專利範圍第14項之方法,其中透過PECVD處理進行沉積之步驟包含引入選自下列的含碳前驅物:甲烷 (CH4 )、乙炔(C2 H2 )、乙烯(C2 H4 )、丙烯(C3 H6 )、丁烷(C4 H10 )、環己烷(C6 H12 )、苯(C6 H6 )、及甲苯(C H8 )。
  18. 如申請專利範圍第14項之方法,其中透過PECVD處理進行沉積之步驟包含引入選自下列的含硼前驅物:硼烷(BH3 )、二硼烷(B2 H6 )、及三硼烷(B3 H7 )。
  19. 如申請專利範圍第14項之方法,其中透過PECVD處理進行沉積之步驟包含引入選自下列的含金屬前驅物:五(二甲基醯胺)鉭、 三甲基鋁、四乙氧基鈦、四-二甲基-醯胺鈦、四(乙基甲基醯胺) 鉿、 雙(環戊二烯基)錳、及雙(正丙基環戊二烯基)鎂。
  20. 如申請專利範圍第1項之方法,其中該PECVD處理及該電漿處理操作中之一者或兩者使用感應耦合型電漿、或電容耦合型電漿、或微波電漿。
  21. 如申請專利範圍第1項之方法,其中該PECVD處理及該電漿處理操作中之一者或兩者使用直接電漿、或遠端電漿、或其組合。
  22. 如申請專利範圍第1項之方法,其中該PECVD處理及該電漿處理操作中之一者或兩者為離子輔助處理、自由基輔助處理、或其組合。
  23. 一種設備,包含: 一腔室,配置以固持一基板; 一電漿產生器,與該腔室整合或連接至該腔室;以及 一控制器,包括用以執行多循環方向性沉積處理之指令,以在一圖案化結構上沉積一遮罩積累材料,其中該指令包括: i)              用以透過電漿增強化學氣相沉積(PECVD)處理在該圖案化結構上沉積第一材料之指令;以及 ii)            用以電漿處理該第一材料以改善方向性之指令。
TW105141672A 2015-12-18 2016-12-16 圖案化結構上之方向性沉積 TWI775734B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562269696P 2015-12-18 2015-12-18
US62/269,696 2015-12-18
US15/061,359 US20170178899A1 (en) 2015-12-18 2016-03-04 Directional deposition on patterned structures
US15/061,359 2016-03-04

Publications (2)

Publication Number Publication Date
TW201732873A true TW201732873A (zh) 2017-09-16
TWI775734B TWI775734B (zh) 2022-09-01

Family

ID=59066591

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105141672A TWI775734B (zh) 2015-12-18 2016-12-16 圖案化結構上之方向性沉積

Country Status (4)

Country Link
US (2) US20170178899A1 (zh)
KR (1) KR20170074777A (zh)
CN (2) CN107039264B (zh)
TW (1) TWI775734B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films

Families Citing this family (371)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6757624B2 (ja) * 2016-08-12 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) * 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) * 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP6833657B2 (ja) * 2017-11-07 2021-02-24 東京エレクトロン株式会社 基板をプラズマエッチングする方法
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
TWI810808B (zh) * 2017-12-22 2023-08-01 美商應用材料股份有限公司 在導電表面上沉積阻擋層的方法
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
JP2019134062A (ja) * 2018-01-31 2019-08-08 東京エレクトロン株式会社 選択的成膜方法および成膜装置
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
WO2019209433A1 (en) * 2018-04-24 2019-10-31 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of carbon hard-mask
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020020759A1 (en) * 2018-07-26 2020-01-30 Asml Netherlands B.V. Method for determining an etch profile of a layer of a wafer for a simulation system
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
JP7018849B2 (ja) * 2018-08-17 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7065728B2 (ja) * 2018-08-17 2022-05-12 東京エレクトロン株式会社 成膜方法及び成膜装置
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US20200135464A1 (en) * 2018-10-30 2020-04-30 Applied Materials, Inc. Methods and apparatus for patterning substrates using asymmetric physical vapor deposition
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7346218B2 (ja) * 2018-12-06 2023-09-19 東京エレクトロン株式会社 エッチング処理方法及び基板処理装置
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10886136B2 (en) 2019-01-31 2021-01-05 Tokyo Electron Limited Method for processing substrates
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210025893A (ko) 2019-08-28 2021-03-10 삼성전자주식회사 에어 갭 및 씰링층을 포함하는 디램 소자 및 그 제조 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP2023500375A (ja) 2019-11-08 2023-01-05 アプライド マテリアルズ インコーポレイテッド 材料の表面粗さを減少させる方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113808910A (zh) * 2020-06-11 2021-12-17 中国科学院微电子研究所 刻蚀腔室中原位类原子层沉积方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11756790B2 (en) * 2021-03-09 2023-09-12 Tokyo Electron Limited Method for patterning a dielectric layer
CN113174582A (zh) * 2021-04-22 2021-07-27 安徽新力电业科技咨询有限责任公司 微波等离子体化学气相沉积法制备金刚石膜方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11538692B2 (en) * 2021-05-21 2022-12-27 Tokyo Electron Limited Cyclic plasma etching of carbon-containing materials
JP2022185488A (ja) * 2021-06-02 2022-12-14 東京エレクトロン株式会社 成膜方法及び成膜装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230110474A1 (en) * 2021-10-13 2023-04-13 Applied Materials, Inc. Selective silicon deposition
US20230162947A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. High density plasma enhanced process chamber
US20240162043A1 (en) * 2022-11-16 2024-05-16 Tokyo Electron Limited Sidewall Inorganic Passivation for Dielectric Etching Via Surface Modification

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6846746B2 (en) 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
US6713365B2 (en) * 2002-09-04 2004-03-30 Macronix International Co., Ltd. Methods for filling shallow trench isolations having high aspect ratios
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
FI117728B (fi) * 2004-12-21 2007-01-31 Planar Systems Oy Monikerrosmateriaali ja menetelmä sen valmistamiseksi
US7241683B2 (en) 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US20070049017A1 (en) * 2005-08-29 2007-03-01 Chao-Ching Hsieh Plug fabricating method for dielectric layer
TWI424498B (zh) * 2006-03-31 2014-01-21 Applied Materials Inc 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
JP2007281181A (ja) 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
CN101473426A (zh) * 2006-06-22 2009-07-01 应用材料股份有限公司 用于从下向上填充间隙的介电材料沉积与回蚀方法
US20090275202A1 (en) * 2006-11-22 2009-11-05 Masahiko Tanaka Silicon structure having an opening which has a high aspect ratio, method for manufacturing the same, system for manufacturing the same, and program for manufacturing the same, and method for manufacturing etching mask for the silicon structure
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
US8747684B2 (en) 2009-08-20 2014-06-10 Applied Materials, Inc. Multi-film stack etching with polymer passivation of an overlying etched layer
US20110207323A1 (en) * 2010-02-25 2011-08-25 Robert Ditizio Method of forming and patterning conformal insulation layer in vias and etched structures
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8722543B2 (en) 2010-07-30 2014-05-13 Headway Technologies, Inc. Composite hard mask with upper sacrificial dielectric layer for the patterning and etching of nanometer size MRAM devices
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US9230825B2 (en) * 2012-10-29 2016-01-05 Lam Research Corporation Method of tungsten etching
FR3000602B1 (fr) * 2012-12-28 2016-06-24 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de gravure d'un materiau dielectrique poreux
US8987139B2 (en) * 2013-01-29 2015-03-24 Applied Materials, Inc. Method of patterning a low-k dielectric film
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
CN104347490B (zh) * 2013-08-09 2017-12-05 上海华虹宏力半导体制造有限公司 硅通孔填充的方法
US9034748B2 (en) 2013-09-04 2015-05-19 International Business Machines Corporation Process variability tolerant hard mask for replacement metal gate finFET devices
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Also Published As

Publication number Publication date
US10825680B2 (en) 2020-11-03
TWI775734B (zh) 2022-09-01
US20180233357A1 (en) 2018-08-16
CN107039264B (zh) 2022-05-03
CN107039264A (zh) 2017-08-11
KR20170074777A (ko) 2017-06-30
US20170178899A1 (en) 2017-06-22
CN114999910A (zh) 2022-09-02

Similar Documents

Publication Publication Date Title
TW201732873A (zh) 圖案化結構上之方向性沉積
CN111247269B (zh) 介电膜的几何选择性沉积
JP6895836B2 (ja) 半導体パターニング用途のためのドープald膜
TWI828989B (zh) 半導體裝置製造中之氧化錫膜
US10170324B2 (en) Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
JP7199381B2 (ja) リソグラフィにおける確率的な歩留まりへの影響の排除
US11170997B2 (en) Atomic layer deposition and etch for reducing roughness
KR102384484B1 (ko) 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
US9362133B2 (en) Method for forming a mask by etching conformal film on patterned ashable hardmask
JP2018074145A (ja) 半導体パターニング用途のための高ドライエッチング速度材料
KR20160067742A (ko) 고 종횡비 실린더 에칭을 위해 측벽 패시베이션을 증착하기 위한 기법
TW201840463A (zh) 用於進階圖案化之軟著陸奈米層
CN112970096A (zh) 蚀刻室中的方向性沉积
KR20240063062A (ko) 고종횡비 실린더 에칭을 위해 측벽 패시베이션 증착 컨포멀성을 튜닝하는 기법
US20220076962A1 (en) Semiconductor mask reshaping using a sacrificial layer
TWI838003B (zh) 半導體裝置製造中之氧化錫膜

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent