TW201730956A - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TW201730956A
TW201730956A TW105124290A TW105124290A TW201730956A TW 201730956 A TW201730956 A TW 201730956A TW 105124290 A TW105124290 A TW 105124290A TW 105124290 A TW105124290 A TW 105124290A TW 201730956 A TW201730956 A TW 201730956A
Authority
TW
Taiwan
Prior art keywords
epitaxial
layer
fin
forming
epitaxial layers
Prior art date
Application number
TW105124290A
Other languages
English (en)
Other versions
TWI637437B (zh
Inventor
彭成毅
江宏禮
楊玉麟
葉致鍇
育佳 楊
劉繼文
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201730956A publication Critical patent/TW201730956A/zh
Application granted granted Critical
Publication of TWI637437B publication Critical patent/TWI637437B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Abstract

提供了電晶體結構與電晶體結構之形成方法。此電晶體結構包括一第一磊晶材料與一第二磊晶材料之交替膜層。於部分實施例中,於一N型或P型電晶體中可移除此第一磊晶材料與第二磊晶材料之一。可移除第一磊晶材料與第二磊晶材料之一最底層,及可凹刻或凹蝕第一磊晶材料與第二磊晶材料之一的側壁。

Description

半導體裝置及其形成方法
本揭露是關於半導體裝置及其形成方法。更特別地,本揭露係關於如鰭型場效電晶體之半導體裝置及其形成方法。
半導體積體電路(integrated circuit,IC)工業已經歷快速成長。積體電路材料與設計上的技術演進已開創積體電路之不同世代,其中每一世代相較於前一世代,具有更小且更複雜之電路。在積體電路之演變過程中,通常功能性密度(即,每晶片面積所具有之內連元件數)已隨著特徵尺寸(即,使用製程所能製作之最小元件尺寸)之縮減而增加。
這些演進已增加處理與製造積體電路之複雜度。對於這些演進,積體電路之處理與製造亦相應發展。舉例來說,已導入了鰭型場效電晶體(FinFET)以取代平面型電晶體(planar transistor)。業已研發出了鰭型場效電晶體(FinFET)之結構及其製造方法。
依據一實施例,本揭露提供了一種半導體裝置之形成方法,包括:形成一第一鰭與一第二鰭,該第一鰭與該第二鰭各包括一交替磊晶結構,該交替磊晶結構包括複數個磊晶 層,該些磊晶層包括複數個第一磊晶層與複數個第二磊晶層,該些第一磊晶層包括第一半導體材料,而該些第二磊晶層包括第二半導體材料,該交替磊晶結構之該些膜層係交替該些第一磊晶層之一與該些第二磊晶層之一而形成;形成一第一介電層於該第一鰭與該第二鰭上;露出該第二鰭之一通道區;移除該第二鰭之該通道區內之該些第一磊晶層之至少一部;形成一第一閘極堆疊物於該第一鰭上,該第一閘極堆疊物沿該些第一磊晶層之側壁與該第一鰭之該些第二磊晶層延伸;以及形成一第二閘極堆疊物於該第二鰭上,該第二閘極堆疊物沿該些第二磊晶層之側壁延伸。
依據另一實施例,本揭露提供了一種半導體裝置之形成方法,包括:形成一第一鰭與一第二鰭,該第一鰭與該第二鰭各包括一交替磊晶結構,此交替磊晶結構包括複數個磊晶層,該些磊晶層包括複數個第一磊晶層與複數個第二磊晶層,該些第一磊晶層包括第一半導體材料,而該些第二磊晶層包括第二半導體材料,該交替磊晶結構之該些膜層係交替該些第一磊晶層之一與該些第二磊晶層之一而形成;選擇地蝕刻於該第一鰭之一第一通道區內之至少該些第一磊晶層之一之側壁;選擇地蝕刻於該第二鰭之一第二通道區內之至少該些第二磊晶層之一之側壁;形成一第一閘極堆疊物於該第一鰭上;及形成一第二閘極堆疊物於該第二鰭上。
依據又一實施例,本揭露提供了一種半導體裝置,包括:一基板;複數個第一源極/汲極區以及插入於該些第一源極/汲極區之間之一第一通道區,該些第一源極/汲極區 與該第一通道區包括了複數個第一磊晶層與複數個第二磊晶層的交替膜層;複數個第二源極/汲極區以及插入於該些第二源極/汲極區之間之一第二通道區,該些第二源極/汲極區與該第二通道區包括了該些第一磊晶層與該些第二磊晶層的交替膜層,該第二通道區包括了該第二磊晶層,其中於該第一磊晶層與該些第二源極/汲極區之間存有一缺口;一第一閘極電極,延伸於該第一通道區上;及一第二閘極電極,延伸於該第二通道區上。
100‧‧‧基板
102‧‧‧交替磊晶層結構
102a‧‧‧第一磊晶層
102b‧‧‧第二磊晶層
206‧‧‧罩幕層
206a‧‧‧第一介電層
206b‧‧‧第二介電層
206c‧‧‧第三介電層
206d‧‧‧多晶矽層
206e‧‧‧先進圖案膜層
206f‧‧‧底抗反射塗層
310‧‧‧鰭
310a‧‧‧第一鰭
310b‧‧‧第二鰭
312‧‧‧溝槽
412‧‧‧淺溝槽隔離物
414‧‧‧襯層
414a‧‧‧第一襯層次層
414b‧‧‧第二襯層次層
618‧‧‧假閘極介電層
620‧‧‧假閘極電極層
622‧‧‧硬罩幕層
622a‧‧‧第一硬罩幕層
622b‧‧‧第二硬罩幕層
718‧‧‧假閘極介電層
720‧‧‧假閘極電極
726‧‧‧假閘極堆疊物
826‧‧‧間隔物層
828‧‧‧側壁間隔物
930‧‧‧第一源極/汲極區
932‧‧‧第二源極/汲極區
1136‧‧‧層間介電層
1138‧‧‧保護層
1138a‧‧‧第一保護子層
1138b‧‧‧第二保護子層
1140‧‧‧保護層
1360‧‧‧圖案罩幕
2062‧‧‧保護罩幕
2264‧‧‧奈米線
2466‧‧‧中間層
2468‧‧‧閘極介電層
2470‧‧‧閘極電極
2568‧‧‧蝕刻停止層
2570‧‧‧第二層間介電層
2572‧‧‧接觸物
2574‧‧‧襯層
2674‧‧‧導電材料
2710‧‧‧應變鬆弛緩衝物
3072‧‧‧圖案罩幕
3080‧‧‧額外罩幕
W1‧‧‧寬度
W2‧‧‧寬度
W3‧‧‧寬度
W4‧‧‧寬度
第1-7、8A-8B、9-23、24A-24B、25-26圖顯示了依據部分實施例之於形成鰭型場效電晶體(FinFET)的中間階段的多個剖面圖與多個立體圖;第27A-27D圖顯示了依據部分實施例之N型場效電晶體之各種形態之立體圖;第28A-28D圖顯示了依據部分實施例之P型場效電晶體之各種形態之立體圖;第29A-29B圖顯示了依據部分實施例之N型場效電晶體之各種形態之立體圖;第30A-30G圖顯示了依據部分實施例之於場效電晶體之形成中之中間階段之剖面圖;第31A-31G圖顯示了依據部分實施例之N型場效電晶體之各種形態之立體圖;以及第32A-32D圖顯示了依據部分實施例之P型場效電晶體之 各種形態之立體圖。
為以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本揭露書敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以下揭露書不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
再者,為了方便描述圖式中一元件或特徵部件與另一(複數)元件或(複數)特徵部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“較下部”、“上方”、“較上部”及類似的用語等。除了圖式所繪示的方位之外,空間相關用語用以涵蓋使用或操作中的裝置的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
依據各個解說實施例,提供了具有全包覆閘極(Gate-All-Around,GAA)之鰭型場效電晶體(Fin Field-Effect Transistor,FinFETs)及其製造方法。繪示了形成鰭型場效電晶體的數個中間階段。亦討論了此些實施例的變化情形。於各圖 式與繪示實施例中,相同標號係代表相同元件。值得注意的是,基於繪示的目的,第1-26圖繪示了一P型鰭型場效電晶體與一N型鰭型場效電晶體的形成,其中P型鰭型場效電晶體呈現出一鰭樣結構(fin-like structure)與一多重閘極電極(multi-gate gate electrode),而N型鰭型場效電晶體呈現出具有全包覆閘極電極(GAA electrode)之一奈米線樣結構(nanowire-like structure)。此些範例僅做為解說目的之用,而當使用不同材料時,本技術領域中具有通常知識者可以理解到N型鰭型場效電晶體可使用鰭樣結構(fin-like structure)而P型鰭型場效電晶體可採用奈米線樣結構(nanowire-like structure)。亦值得注意的是,繪示於第27A-32D圖內之多個實施例繪示了解說用之單一結構,而可結合在此描述之N型與P型結構而形成適用於不同材料類型與操作特徵之裝置。
第1-26圖顯示了依據部分實施例之採用相似材料於一基板上形成一P型鰭型場效電晶體(P type FinFET)與一N型鰭型場效電晶體(N type FinFET)的各個剖面圖與立體圖。請參照第1圖,提供了可為晶圓之一部之一基板100與一交替磊晶層結構102之剖面圖。於部分實施例中,基板100包括結晶態矽基板(例如晶圓)。基板100可依照設計需求(例如P型基板或N型基板)而包括各種摻雜區。於部分實施例中,摻雜區可摻雜有P型或N型摻質。例如,摻雜區摻雜有如硼(boron)或二氟化硼(BF2)之P型摻質、如磷或砷之N型摻質、及/或其組合。於N型鰭型場效電晶體或P型鰭型場效電晶體中可設置此些摻雜區。
於其他之部分實施例中,基板100可由如鑽石或鍺 之其他適合之元素態半導體、如砷化鎵(gallium arsenic)、碳化矽(silicon carbide)、砷化銦(indium arsenide)或磷化銦(indium phosphide)之適合之化合物半導體、或如矽碳化鍺(silicon germanium carbide)、砷磷化鎵(gallium arsenic phosphide,GaAsP)或磷化鎵銦(gallium indium phosphide)之適合之合金半導體所製成。再者,基板100可包括一磊晶層,可經過應變及/或經施加應力以增進表現,及/或可包括絕緣層上有矽(SOI)結構。
交替磊晶層結構102係形成於基板100上。如下文中所詳述,將圖案化基板100與交替磊晶層結構102以形成延伸自基板100處之鰭(fin)。鰭將用於形成P型鰭型場效電晶體及/或N型鰭型場效電晶體之用。可自如N型鰭之具有一導電類型之鰭之通道區移除交替磊晶層之交替膜層之一,進而形成了延伸於源極/汲極區之間的奈米線結構。可利用此些交替膜層以於如P型鰭之另一導電類型之鰭內之通道區誘發應力。於此實施例中描述之實施例繪示了可用於形成具有全包覆閘極設計之奈米線結構之N型鰭型場效電晶體以及P型鰭型場效電晶體之受應力的交替膜層之製程與材料。然而,亦可選用其他材料,使得全包覆閘極奈米線結構可用於P型鰭型場效電晶體,而應變交替膜層可用於N型鰭型場效電晶體。
例如,於部分實施例中之交替磊晶層結構102可包括數個第一磊晶層102a與數個第二磊晶層102b的交替膜層(稱為交替膜層結構102),其中交替磊晶層結構102可包括自單一膜層的第一磊晶層102a與第二磊晶層102b至數個(例如2、4、 6、或更多)膜層之單一膜層的第一磊晶層102a與第二磊晶層102b之任意數量之交替膜層。於一實施例中,奈米線可用於形成N型電晶體、第一磊晶層102a可為一矽鍺層,而第二磊晶層102b可為矽層,其中矽層可用於N型鰭型場效電晶體,而矽鍺層可做為通道區之用,而矽層可做為P型鰭型場效電晶體之應變物(stressor)。
於部分實施例中,矽鍺層係藉由採用了二氯矽甲烷(SiH2Cl2)或矽甲烷(SiH4)、鍺甲烷(GeH4)、與氯化氫(HCl)、乙硼烷(B2H6)、或氫氣(H2)做為反應氣體於約400℃至約800℃之溫度下及約1托爾至約200托爾之壓力下施行之低溫化學氣相沉積(LPCVD)製程所形成。矽層係藉由採用二氯矽甲烷(SiH2Cl2)或矽甲烷(SiH4)做為反應氣體於約400℃至約750℃之溫度下及約10托爾至約200托爾之壓力下施行之低溫化學氣相沉積製程所形成。於部分實施例中,第一磊晶層102a與第二磊晶層102b分別形成有約5奈米至約10奈米之厚度。如此之厚度允許了矽層釋放出壓縮應力(compressive stress)至矽鍺層,而不使得矽鍺層之表面變的鬆散,進而改善了P型鰭型場效電晶體的電性表現。如前所述,可重複此些製程任意次數以得到對應於奈米線與通道區的數量之期望膜層數量。
依據部分實施例,第2圖繪示了於交替磊晶層結構102上形成一罩幕層206之後之裝置。舉例來說,罩幕層206可包括一第一介電層206a、一第二介電層206b、一第三介電層206c、一多晶矽層206d、一先進圖案膜層(advanced patterning film,APF)206e與一底抗反射塗層(bottom anti-reflective coating,BARC)206f,但是亦可能使用不同材料、膜層、膜層數量或相似物。一般來說,第一介電層206a、第二介電層206b與第三介電層206c係做為硬罩幕(hardmask),而多晶矽層206d、先進圖案膜層206e與一底反射塗層206f的結合可用於圖案化硬罩幕以及做為特徵尺寸控制之用,以得到與控制如第一介電層206a、第二介電層206b與第三介電層206c之硬罩幕圖案化的期望尺寸。可調整各膜層的厚度,使得於各別蝕刻製程(於下討論)時各膜層具有足夠厚度以保護下方的材料。以下所提供的材料係做為範例之用,而非用以限定本揭露。
可沉積第一介電層206a於交替磊晶層結構102上。第一介電層206a可由一或多個適合的介電材料所形成,例如氧化矽、氮化矽、如摻碳氧化物之低介電常數(low-k)介電材料、如多孔性摻碳二氧化矽之極低介電常數(extremely low-k)介電材料、如聚亞醯胺之聚合物、上述材料之組合、或相似物。可藉由如化學氣相沉積、或旋塗玻璃製程之一製程沉積第一介電層206a,但是亦可使用一適當製程以形成厚度約20埃至約80埃之第一介電層206a。於部分實施例中,可使用第一介電層206a作為後續製程之蝕刻停止層(ESL)。
可沉積第二介電層206b於第一介電層206a上。第二介電層206b可採用如第一介電層206a之相似材料與相似方法所形成,但是第一介電層206a與第二介電層206b需不能為相同材料。於部分實施例中,第二介電層206b可具有約300埃至約500埃之厚度。
可沉積第三介電層206c於第二介電層206b上。可 使用第三介電層206c作為後續製程之一蝕刻停止層,且可採用如第一介電層206a與第二介電層206b之相似材料與相似製程而形成,但是第一介電層206a、第二介電層206b與第三介電層206c需不能為相同材料。於一實施例中,可形成厚度約300埃至約700埃之厚度之第三介電層206c。於部分實施例中,第一介電層206a、第二介電層206b與第三介電層206c可為單一介電層而非三個各別膜層。
於部分實施例中,第一介電層206a、第二介電層206b與第三介電層206c包括了氧化物-氮化物-氧化物(ONO)層,其中第一介電層206a係為氧化物(例如氧化矽)、第二介電層206b為氮化物(例如氮化矽)、而第三介電層206c為氧化物(例如氧化矽)。
可藉由化學氣相沉積法以沉積介於約50埃至約500埃之範圍內之一厚度而形成多晶矽層206d。先進圖案膜層206e可包括由化學氣相沉積製程形成之非晶碳(amorphous carbon),但亦可採用其他適當材料與方法而形成。於部分實施例中,先進圖案膜層206e形成具有介於約50埃至約250埃之厚度。亦可使用其他厚度與材料。
可形成底抗反射塗層206f於先進圖案膜層206e上幫助後續微影製程,以圖案化一上方膜層(未顯示),例如圖案化阻劑層。底抗反射塗層206f可包括氮氧化矽(SiON)、聚合物、相似物、或其組合,且可藉由化學氣相沉積、旋塗製程、相似方法、或其組合所形成。底抗反射塗層206f具有足以提供基於材料與波長之足夠抗反射量之厚度。於一實施例中,底抗 反射塗層206f形成有約100埃至約2000埃之厚度。
依據部分實施例,第3圖繪示於施行一圖案化製程以形成數個溝槽後之裝置。於部分實施例中,可使用微影技術以圖案化罩幕層206。通常,沉積阻劑材料(未顯示)於罩幕層206上。照射如光之射線穿透圖案化光罩至阻劑材料,以誘發暴露於能量下之阻劑材料的數個部分的反應。顯影阻劑材料以移除阻劑材料的一部,其中剩餘的阻劑材料保護了下方膜層免於受到如蝕刻之後續步驟的影響。
如第3圖所示,圖案化製程形成了穿透交替磊晶層結構102並進入基板100內之數個溝槽312。交替磊晶層結構102與下方的基板100之剩餘區域形成了如第一鰭310a與第二鰭310b之數個鰭(通稱為鰭310)。於下文中般所詳述,第一鰭310a將作為一P型鰭型場效電晶體之鰭而第二鰭310將作為一N型鰭型場效電晶體之奈米線(nanowire)。
請參照第4圖,依據部分實施例,於介於相鄰鰭310之間的溝槽312內形成淺溝槽隔離物(STI)412。於形成淺溝槽隔離物412之前,於基板100及鰭310的側壁上形成一或多個襯層(統稱為襯層414)。於部分實施例中,襯層414具有厚度介於約10埃至約50埃之單膜層結構。於其他實施例中,襯層414具有包含如第4圖所示之一第一襯層次層414a與一第二襯層次層414b之一雙層結構。於部分實施例中,第一襯層次層414a包括氧化矽且具有介於約5埃至約20埃之厚度,而第二襯層次層414b包括氮化矽且具有介於約5埃至約30埃之厚度。襯層414可藉由如物理氣相沉積、化學氣相沉積或原子層沉積之一或多個 製程沉積所形成,但亦可使用其他適當製程。可採用其他材料及/或製程。
淺溝槽隔離物412可由如氧化矽、氮化矽、氮氧化矽、摻雜氟矽酸玻璃(FSG)之介電材料、如氧化矽、氮化矽、如摻碳氧化物之低介電常數介電材料、如多孔性摻碳二氧化矽之極低介電常數介電材料、如聚亞醯胺之聚合物、上述材料之組合、或相似物所製成。於部分實施例,淺溝槽隔離物412可藉由如化學氣相沉積、流動型化學氣相沉積(flowable CVD)或旋塗玻璃製程之一製程所沉積,但亦可採用其他適當製程。接著,採用如蝕刻製程、化學機械研磨(CMP)或相似製程之製程以移除延伸於鰭310之頂部之上以及位於鰭310的頂面上之襯層414之數個部分。
依據部分實施例,第5圖繪示了凹蝕(recessing)淺溝槽隔離物412與襯層414以露出鰭310的側壁。於部分實施例中,利用鰭310作為蝕刻罩幕並採用一或多道選擇蝕刻製程而凹蝕淺溝槽隔離物412與襯層414。舉例來說,淺溝槽隔離物412與襯層414係採用單一蝕刻製程而凹蝕。於其他實施例中,淺溝槽隔離物412與襯層414係採用多重蝕刻製程而凹蝕。例如,採用鰭310與襯層414作為蝕刻罩幕而採用第一蝕刻製程凹蝕淺溝槽隔離物412,並接著採用第二蝕刻製程凹蝕襯層414。
凹蝕的深度係由交替磊晶層結構102之高度而決定。如下文中詳述,可移除第一磊晶層102a。如此,凹蝕的深度係露出最下方第一磊晶層102a,進而使得可採用一蝕刻而移除最下方以及其他第一磊晶層102a。
請參照第6圖,依據部分實施例,形成假閘極介電層618與假閘極電極層620於露出之鰭310上。接著將圖案化假閘極介電層618與假閘極電極層620以形成假閘極堆疊物,其將用於定義與形成源極/汲極區之用。接著將移除假閘極堆疊物,以允許針對通道區內之鰭的處理的施行,以及將於通道區上形成一閘極堆疊物。
於部分實施例中,假閘極介電層618係形成於露出的鰭310上。假閘極介電層618可藉由熱氧化法、化學氣相沉積法、濺鍍、或其他已知並用於形成假閘極介電層之方法而形成。於部分實施例中,假閘極介電層618可採用相同於淺溝槽隔離物412之材料所形成。於其他實施例中,假閘極介電層618可由如氧化矽、氮化矽之介電材料、如氧化矽、氮化矽、如摻碳氧化物之低介電常數介電材料、如多孔性摻碳二氧化矽之極低介電常數介電材料、如聚亞醯胺之聚合物、上述材料之組合、或相似物之一或多個適合介電所製成。於其他實施例中,假閘極介電層618包括具有如高於3.9之高介電常數介電材料。此些材料可包括氮化矽、氮氧化矽、如二氧化鉿(HfO2)、HfZrOx、HfSiOx、HfTiOx、HfAlOx之金屬氧化物、相似物或其組合與其多重膜層。
接著,形成假閘極電極層620於假閘極介電層618上。於部分實施例中,假閘極電極層620為一導電材料且可擇自由包括多晶矽、多晶矽鍺、金屬氮化物、金屬矽化物、金屬氧化物與金屬所組成族群。於一實施例中,假閘極電極層620可藉由物理氣相沉積、化學氣相沉積、濺鍍沉積或其他已知並 用於沉積導電材料之方法而形成。可使用導電或非導電之其他材料。假閘極電極層620通常具有一非平坦頂面且可於沉積後被平坦化。
如第6圖所示,形成一硬罩幕層622於假閘極電極層620上。硬罩幕層622包括一或多個罩幕層且將用於圖案化假閘極電極層620以形成假閘極電極之用。硬罩幕層622可包括一或多個圖案化層。於部分實施例中,硬罩幕層622可包括一第一硬罩幕層622a與一第二硬罩幕層622b。第一硬罩幕層622a可為氧化物層(例如氧化矽),而第二硬罩幕層622b可為氮化物(例如氮化矽)。可藉由如化學氣相沉積或旋塗製程而沉積第一硬罩幕層622a與第二硬罩幕層622b,但亦可採用其他適當製程。第一硬罩幕層622a可具有約10埃至約50埃之厚度而第二硬罩幕層622b可具有約150埃至約850埃之厚度。第7圖顯示了圖案化假閘極電極層620與假閘極介電層618以形成假閘極電極720與假閘極介電層718。假閘極電極720與假閘極介電層718形成了一假閘極堆疊物726。
請參照第8A與8B圖,沉積一間隔物層826於假閘極堆疊物726、鰭310與淺溝槽隔離物412上。第8B圖繪示了如第8A圖內8B-8B線段之垂直於介於相鄰假閘極堆疊物726之間之源極/汲極區之一剖面圖。於部分實施例中,間隔物層826係由氮化矽所形成,且具有單膜層結構。於其他實施例中,間隔物層可具有包括數個膜層之一複合層。舉例來說,間隔物層可包括氧化矽層與位於氧化矽層上之氮化矽層。
依據部分實施例,請參照第9圖,圖案化間隔物層 826(參照第8A與8B圖)以形成沿著假閘極堆疊物726側壁之側壁間隔物828。於部分實施例中,可利用非等向性蝕刻以移除位於裝置之水平部上及沿著假閘極堆疊物726側壁上的間隔物層。如第9圖所示,基於位於裝置的水平部與沿著鰭310的側壁上的間隔物層826的厚度差異,間隔物826可殘留於假閘極堆疊物726的側壁上而露出了源極/汲極區內之鰭310。
依據部分實施例,第10圖繪示了分別沿著假閘極堆疊物726的相對側形成磊晶之第一源極/汲極區930與第二源極/汲極區932於第一鰭310a與第二鰭310b之露出部上。除了由交替磊晶層結構102所造成的應力外,於源極/汲極區採用磊晶成長材料使得源極/汲極區可於通道區內釋放應力。對於P型或N型鰭型場效電晶體,可改變用於第一源極/汲極區930與第二源極/汲極區932之材料,使得對N型鰭型場效電晶體可使用於通道區內施加拉伸應力之另一類材料,以及對P型鰭型場效電晶體可使用於通道區內施加壓縮應力之一類材料。例如,可使用磷化矽(SiP)或碳化矽(SiC)以形成N型鰭型場效電晶體,及可使用矽鍺(SiGe)與鍺(Ge)以形成P型鰭型場效電晶體。亦可使用其他材料。
於N型裝置與P型裝置使用不同材料之實施例中,較期望的為遮蔽其中之一(例如N型鰭)而形成磊晶材料於另一(例如P型鰭)上,以及重複此製程以形成另一材料。第一源極/汲極區930與第二源極/汲極區932可透過一佈植製程以佈植適當摻質或藉由於材料成長時而臨場摻雜摻質。於部分實施例中,第一源極/汲極區930係由矽鍺或摻雜硼之鍺所形成以形成 P型鰭型場效電晶體,而第二源極/汲極區932係由碳化矽或摻雜磷之磷化矽所形成以形成N型鰭型場效電晶體。
雖然第10圖僅繪示了位於假閘極堆疊物726一側之第一源極/汲極區930與第二源極/汲極區932,位於假閘極堆疊物之相對側上之第一源極/汲極區930與第二源極/汲極區932具有相似結構型態。
接著,請參照第11圖,形成第一層間介電層1136於第10圖所示結構上。值得注意的是,第1-10圖剖面係穿過源極/汲極區(除了另外提到的)所得到,以繪示源極/汲極區的形成。第11-25圖係關於通道區所施行的製程步驟,因此第11-25圖顯示了沿第10圖內之A-A線段之所得到的閘極電極。
於部分實施例中,可順應地沉積一保護層1138於第一源極/汲極區930與第二源極/汲極區932上以於後續形成穿透第一層間介電層1136至第一源極/汲極區930與第二源極/汲極區932之接觸物的形成時保護第一源極/汲極區930與第二源極/汲極區932。於部分實施例中,如第11圖所示,保護層1138包括具有一第一保護子層1138a與一第二保護子層1138b之一雙層結構。於部分實施例中,第一保護子層1138a包括氧化矽並具有介於約10埃至約30埃之厚度,而第二保護子層1138b包括氮化矽並具有介於約20埃至約60埃之厚度。保護層1138可藉由如物理氣相沉積、化學氣相沉積、或原子層沉積之一或多個製程而沉積,但亦可使用其他適當製程。可使用其他材料及/或製程。
於部分實施例中,第一層間介電層1136可包括氧 化矽、氮化矽、相似物、或其組合。第一層間介電層1136可由化學氣相沉積、高密度電漿、相似物或其組合所形成。接著,可平坦化第一層間介電層1136以大體與假閘極電極720之頂面共平面。於一實施例中,第一層間介電層1136係藉由如化學機械研磨以移除部分之第一層間介電層1136而平坦化。於其他實施例中,亦可使用例如蝕刻之其他平坦化技術。
於部分實施例中,於平坦化步驟後,凹蝕了第一層間介電層1136及沉積了保護層1140,而得到了如第11圖所示之結構。保護層1140可包括氮化矽,其於後續製程步驟中保護第一層間介電層1136與下方結構。
第12圖繪示了沿著假閘極電極720之第11圖內結構的剖面圖。為了方便解說,第12-23圖係採用剖面圖以較佳地與更清楚地繪示了通道區的製程。
依據部分實施例,第13圖繪示了於第二鰭310b上之一圖案罩幕1360的形成。如將於下文中所詳細討論,會分別地處理第一鰭310a與第二鰭310b的通道區。特別地,於部分實施例中,第一鰭310a將形成P型鰭型場效電晶體,而第一鰭310a係經過處理而薄化或凹蝕第二磊晶層102b,而第二鰭310b係經過處理以移除第一磊晶層102a。圖案罩幕1360可採用具有與下方膜層於蝕刻時表現出足夠蝕刻選擇率任何罩幕材料所形成。例如,於部分實施例中,圖案罩幕1360包括具有約10埃至約100埃之厚度之氮化矽膜層。於其他實施例中,圖案罩幕1360包括具有約5埃至約50埃之厚度之氧化矽,以及位於氧化矽上具有約5埃至約50埃厚度之氮化矽層。圖案罩幕1360可藉由如 物理氣相沉積、化學氣相沉積、或原子層沉積之一或多個製程所沉積,但可利用其他適當製程並藉由微影技術而圖案化。亦可採用其他材料及/或製程。
接著,於部分實施例中,如第14圖所示,施行一蝕刻製程以部分移除位於第一鰭310(例如用於P型裝置之鰭)上之假閘極電極720。於形成具有矽與矽鍺之交替層之P型裝置的實施例中,矽鍺層係做為於P型裝置中電流流通之通道區。如此,較佳地期望最上方膜層可為矽鍺(例如電流載子層),進而允許了後續形成之上方閘極電極與最上方膜層的較佳交留,而於此些實施例中,較佳地移除第一鰭310a的頂層(例如為最上方第二磊晶層102b,於本範例中為矽)。例如,於交替磊晶層結構102包括用於第一磊晶層102a之矽鍺與用於第二磊晶層102b之矽的交替膜層之一實施例中,第一鰭310a之最上方層較佳地為一矽鍺層。
於一些實施例中,假閘極電極720係藉由對於假閘極電極720之材料有選擇性之一蝕刻製程所凹蝕。例如,當假閘極電極720包括多晶矽時,可使用採用三氟化氮(NF3)、六氟化硫(SF6)、氯氣(Cl2)、溴化氫(HBr)、相似物或其組合之一乾蝕刻、採用氫氧化銨(NH4OH)、四甲基氫氧化銨(TMAH)、相似物或其組合之一濕蝕刻以移除假閘極電極720。如第14圖所示,凹陷假閘極電極720至使得最上方第二磊晶層120b延伸高出凹口之底部之一深度。
依據部分實施例,第15圖繪示了位於最上方第二磊晶層102b上之假閘極介電層718的移除,而第16圖繪示了最 上方第二磊晶層102b的移除。於假閘極介電層718包括氧化矽的實施例中,可使用採用稀釋氫氟酸之濕蝕刻以移除假閘極介電層618的露出部分。於第二磊晶層102b包括矽之實施例中,可使用採用四甲基氫氧化銨(TMAH)溶液之濕蝕刻以移除第二磊晶層102b。亦可使用其他製程與材料。
依據部分實施例,第17圖繪示了於移除位於第一鰭310a上之剩餘假閘極電極720後之最終結構。可使用前述之蝕刻製程以移除位於第一鰭310a上之剩餘假閘極電極720。
依據部分實施例,請參照第18圖,沿著第一鰭310a的側壁移除假閘極介電層718(請參照第17圖)。如前所述,可薄化或凹蝕第二磊晶層102b。如此,移除假閘極介電層718以露出第二磊晶層102b。於假閘極介電層718包括氧化矽之實施例中,可使用採用稀釋氫氟酸之濕蝕刻以移除假閘極介電層718之露出部分。
依據部分實施例,第19圖繪示了凹蝕第一鰭310a內之第二磊晶層102b。於由矽鍺所形成之第一磊晶層102a及由矽形成之第二磊晶層102b的實施例中,可採用使用四甲基氫氧化銨(TMAH)溶液之濕蝕刻以移除第二磊晶層102b。亦可使用其他製程與材料。
可調整第一磊晶層102a之寬度W1及第二磊晶層102b之寬度W2,使得於第一磊晶層102a上可達成足夠的閘極控制以及自第二磊晶層102b施加於第一磊晶層102a之期望應力。於部分實施例中,第一磊晶層102a的寬度W1約5奈米至約10奈米,而第二磊晶層102b的寬度W2約1奈米至約7奈米。
依據部分實施例,請參照第20圖,第一鰭310a係為保護罩幕2062所保護。於第二鰭310b施行製程時,保護罩幕2062保護了第一鰭310a。於此範例中,第一鰭310a將形成具有磊晶材料之交替膜層的一P型鰭型場效電晶體,而將處理第二鰭310b以移除第一磊晶層102a以形成第二磊晶層102b之奈米線。於部分實施例中,保護罩幕2062為透過如化學氣相沉積、流動化學氣相沉積或旋塗玻璃製程所形成之氧化矽材料,但可採用任何適當製程。可選擇性地施行一化學機械研磨製程或其他平坦化製程以移除高於圖案罩幕1360之材料。亦可採用其他材料與製程。
接著,依據部分實施例,如第21圖所示,可移除圖案罩幕1360與假閘極電極720。於圖案罩幕1360包括氮化矽之部分實施例中,可採用磷酸移除圖案罩幕1360。可採用先前討論之相似製程移除假閘極電極720。
依據部分實施例,第22圖與第23圖繪示了之位於第二鰭310b上之假閘極介電層718的移除以及後續第一磊晶層102a的移除。假閘極介電層718的移除露出了第二鰭310b,進而允許了第一磊晶層102a的移除。可採用前述之移除位於第一鰭310a上之假閘極介電層718之相似製程與材料以移除位於第二鰭310b上之假閘極介電層718。
於第一磊晶層102a由矽鍺所形成及第二磊晶層102b由矽所形成之實施例中,可採用於蝕刻矽鍺較蝕刻矽為較高速率之蝕刻化學品之而移除第一磊晶層102a,例如過氧化氫混合物(APM)、硫酸過氧化物混合物(SPM)或相似物。此蝕刻 製程移除了第一磊晶層102a,進而形成了奈米線2264。
可選擇性地施行一圓滑化製程以得到如第23圖所示之圓滑化奈米線。此圓滑化製程可採用如熱氧化製程於約300℃至700℃溫度下之氧氣環境下及約0.5托爾至約20托爾之壓力下施行。可採用氫氟酸(HF)移除氧化物層以及於約250℃至約600℃及約1托爾至約100托爾之壓力的氫氣環境下回火以露出下方的半導體材料。於部分實施例中,早於移除第一磊晶層102a之前,第二磊晶層102b的寬度W3為約5奈米至約10奈米,而於圓滑化後之第二磊晶層102b的寬度W4為約1奈米至約7奈米。
依據部分實施例,第24A與24B圖繪示了沿第一鰭310a與奈米線2264的表面形成之一中間層2466。第24A為立體圖而第24B圖為沿第24A圖內24B-24B線段之剖面圖。中間層2466幫助了後續形成之高介電常數介電層與下方半導體材料之間的緩衝。於部分實施例中,中間層2466為可由化學反應所形成之二氧化矽化學品。例如,採用去離子水+臭氧、過氧化氫混合物(APM)或其他方法可形成氧化物化學品。其他實施例中間層2466可使用不同材料或製程。於一實施例中,中間層2466具有約3埃至約7埃之厚度。
於中間層2466上形成一閘極介電層2468。於一實施例中,閘極介電層2468包括一或多個高介電常數介電層(例如具有大於3.9之介電常數)。例如,此一或多個閘極介電層可包括一或多個金屬氧化物、鉿、鋁、鋯之矽酸鹽、其組合及其多重膜層。其他適當材料包括了鑭、鎂、鈀、鈦、鉛、鋯之金 屬氧化物、金屬合金氧化物或其組合。示例性範例包括了MgOx,BaTixOy,BaSrxTiyOz,PbTixOy,PbZrxTiyOz,及相似物。閘極介電層2468之形成方法包括了分子束沉積、原子層沉積、物理氣相沉積、或相似方法。於一實施例中,閘極介電層2468可具有約3埃至約30埃之厚度。
第24A圖和第24B圖繪示了形成於閘極介電層2468上之閘極電極2470。閘極電極2470可為擇自由鈦、銀、鋁、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、碳化鉭(TaC)、氮碳化鉭(TaCN)、氮矽化鉭(TaSiN)、錳(Mn)與鋯(Zr)所組成族群之金屬。於另一實施例中,閘極電極2470可包括擇自由氮化鈦、氮化鎢、氮化鉭、與釕所組成族群之一金屬。於本實施例中,閘極電極2470可採用如原子層沉積、化學氣相沉積、物理氣相沉積、電鍍或其組合之一適當製程所形成。可施行如化學機械研磨製程之一平坦化製程以去除過量材料。
於第25、26圖中,形成如一蝕刻停止層2568與第二層間介電層2570之一或多個介電層於結構上,以及形成穿透多個介電層至多個元件之接觸物2572。第二層間介電層2570可包括藉由如化學氣相沉積、電漿加強型化學氣相沉積、旋塗、相似物或其組合之一適當方法所形成之氧化矽、四乙基矽甲烷(TEOS)、磷矽玻璃(PSG)、硼磷矽玻璃(BPSG)、氟摻雜矽玻璃(FSG)、碳氧化矽、旋塗玻璃、旋塗聚合物、碳化矽材料、其化合物、其組成物、其結合或相似物。可施行如化學機械研磨之平坦化製程以平坦化第二層間介電層2570。
用於接觸物2572之開口可採用微影技術與一或多 個蝕刻步驟所形成。於開口內形成如擴散阻障層、黏著層、或相似物之一襯層2574,以及於開口內形成導電材料2674。襯層可包括由原子層沉積、化學氣相沉積或相似物所形成之鈦、氮化鈦、鉭、氮化鉭、或相似物。導電材料可包括由原子層沉積、化學氣相沉積、物理氣相沉積或相似物所形成之銅、銅合金、銀、金、鎢、鋁、鎳或相似物。可施行如化學機械研磨之平坦化製程以移除第二層間介電層2570之一表面上的過量材料。
於其他實施例中,N型鰭型場效電晶體及/或P型鰭型場效電晶體可利用不同之材料及/或形狀。舉例來說,第27A-27D圖顯示了不同於參照第1-26圖之前述討論之奈米線結構之用於N型場效電晶體之多個其他實施例,而第28A-28D圖顯示了不同於參照第1-26圖之前述討論之用於P型場效電晶體之多個其他實施例。值得注意的是,第27A-28D圖顯示了通道區與源極/汲極區之一立體圖。
繪示於第27A-28D圖內之實施例起始於相似於參照第1圖所示之前述製程,除了早於形成交替磊晶層結構102之前先形成一應變鬆弛緩衝物(strain relaxed buffer,SRB)2710,而交替磊晶層結構102係形成於應變鬆弛緩衝物2710上。於部分實施例中,應變鬆弛緩衝物2710包括矽0.750.25,且可為於約400℃至約800℃之溫度及約1托爾至約200托爾之一壓力下採用二氯矽甲烷(SiH2Cl2)或矽甲烷(SiH4)、鍺甲烷(GeH4)及氯化氫、氫化硼(B2H6)或氫氣(H2)作為反應氣體而施行之低溫化學氣相沉積所成長。
接著,可形成交替磊晶層結構102於應變鬆弛緩衝 物2710上。於部分實施例中,第一磊晶層102a包括了於約400℃至約800℃之溫度及約1托爾至約200托爾之一壓力下採用二氯矽甲烷(SiH2Cl2)或矽甲烷(SiH4)、鍺甲烷(GeH4)及氯化氫、氫化硼(B2H6)或氫氣(H2)作為反應氣體而施行之低溫化學氣相沉積所成長之矽0.50.5。第二磊晶層102b包括了矽,其可為於約400℃至約750℃之溫度及約10托爾至約200托爾之一壓力下採用二氯矽甲烷(SiH2Cl2)或矽甲烷(SiH4)作為反應氣體而施行之低溫化學氣相沉積而成長。於此些實施例中,第二磊晶層102b具有較第一磊晶層102a為大的晶格常數,而第一磊晶層102a具有較應變鬆弛緩衝物2710為大的晶格常數。
對N型鰭型場效電晶體結構而言,矽層係作為介於源極與汲極之間電流流通之通道區之用,而矽0.50.5使得矽層處於拉伸應變(tensile strain)之下,從而增進了N型鰭型場效電晶體結構的效率。
對P型鰭型場效電晶體結構而言,矽0.50.5係作為介於源極與汲極之間電流流通之通道區之用,而矽層使得矽0.50.5處於壓縮應變(compressive strain)之下,從而增進了P型鰭型場效電晶體結構的效率。
可施行類似參照第2-9圖之前述討論製程,其中溝槽312(參見第3圖)可以至少部分地延伸到應變鬆弛緩衝物2710之內,且可以延伸到下方之基板100處。如前所述,可使用其他材料形成磊晶之第一源極/汲極區930與磊晶之第二源極/汲極區932。舉例來說,用於形成第27A-27D圖內N型鰭型場效電晶體結構之第二源極/汲極區932之材料可為矽鍺磷 (SiGeP),其可藉由於約400℃至約800℃之溫度及約10托爾至約200托爾之一壓力下採用二氯矽甲烷(SiH2Cl2)或矽甲烷(SiH4)、鍺甲烷(GeH4)或Ge2H2Cl2與磷化氫(PH3)作為反應氣體之一低溫化學氣相沉積的施行所成長。用於形成第28A-28D圖內P型鰭型場效電晶體結構之第一源極/汲極區930之材料可為鍺錫(GeSn),其可藉由於約400℃至約700℃之溫度及約10托爾至約200托爾之一壓力下採用鍺甲烷(GeH4)或氯化鋅(SnCl4)作為反應氣體之一低溫化學氣相沉積的施行所成長。接著,可施行相同於第11-26圖之前述製程,其中可使用下文中所描述蝕刻製程以得到期望的形狀。
繪示於第27A與28A圖內之實施例採用了具有相似形狀與尺寸的第一磊晶層102a與第二磊晶層102b。於其他實施例中,第一磊晶層102a與第二磊晶層102b可具有不同形狀。例如,第27B-27D圖繪示了採用了部分蝕刻之第一磊晶層102a之多個實施例,而第28B-28D圖繪示了採用了部分蝕刻之第二磊晶層102b之多個實施例。
請參照第27B圖,於第一磊晶層102a為矽0.50.5與第二磊晶層102b為矽之實施例中,可部分蝕刻第一磊晶層102a以於第一磊晶層102a的側壁內形成V型凹口。於本實施例中,第二磊晶層102b(例如矽層)表現出沿一頂面之(001)結晶方向以及沿側壁之(110)結晶方向。採用稀釋的過氧化氫混合物(APM)或硫酸過氧化物混合物(SPM)溶液於約5℃至約50℃蝕刻約5秒至約100秒而沿著(111)結晶方向選擇性蝕刻第一磊晶層102a,進而提供了具有(111)結晶方向之V型凹口。
請參照第27C圖,於第一磊晶層102a為矽0.50.5與第二磊晶層102b為矽之實施例中,可部分蝕刻第一磊晶層102a以於第一磊晶層102a的側壁內形成U型凹口。於本實施例中,第二磊晶層102b(例如矽層)表現出沿一頂面之(001)結晶方向以及沿側壁之(110)結晶方向。於約20℃至約50℃之溫度下及於約5托爾至約50托爾下施行採用氯化氫或氯氣之乾蝕刻約10秒至約100秒而選擇性蝕刻第一磊晶層102a,進而提供了具有(111)結晶方向之U型凹口。
請參照第27D圖,於第一磊晶層102a為矽0.50.5與第二磊晶層102b為矽之實施例中,可均勻地蝕刻第一磊晶層102a以於第一磊晶層102a的側壁內形成凹口或薄化第一磊晶層102a。於本實施例中,第二磊晶層102b(例如矽層)表現出沿一頂面之(100)結晶方向以及沿側壁之(111)結晶方向。採用過氧化氫混合物(APM)或硫酸過氧化物混合物(SPM)溶液於約5℃至約50℃蝕刻約5秒至約100秒而選擇性蝕刻第一磊晶層102a,進而提供了具有(111)結晶方向之凹陷表面。
請參照第28B圖,於第一磊晶層102a為矽0.50.5與第二磊晶層102b為矽之實施例中,可部分蝕刻第二磊晶層102b以於第二磊晶層102b的側壁內形成V型凹口。於本實施例中,第二磊晶層102b(例如矽層)表現出沿一頂面之(001)結晶方向以及沿側壁之(110)結晶方向。採用氫氧化銨(NH4OH)、四甲基氫氧化銨(TMAH)於約5℃至約50℃下蝕刻約5秒至約100秒而沿著(111)結晶方向選擇性蝕刻第二磊晶層102b,進而提供了具有(111)結晶方向之V型凹口。
請參照第28C圖,於第一磊晶層102a為矽0.50.5與第二磊晶層102b為矽之實施例中,可部分蝕刻第二磊晶層102b以於第二磊晶層102b的側壁內形成U型凹口。於本實施例中,第二磊晶層102b(例如矽層)表現出沿一頂面之(001)結晶方向而第一磊晶層102a表現出沿側壁之(110)結晶方向。於約20℃至約100℃之溫度下及於約5托爾至約50托爾下施行採用氯化氫或氯氣之乾蝕刻約10秒至約100秒而選擇性蝕刻第二磊晶層102b,進而提供了具有(111)結晶方向之U型凹口。
請參照第28D圖,於第一磊晶層102a為矽0.50.5與第二磊晶層102b為矽之實施例中,可均勻地蝕刻第一磊晶層102a以於第一磊晶層102a的側壁內形成凹口或薄化第一磊晶層102a。於本實施例中,第二磊晶層102b(例如矽層)表現出沿一頂面之(100)結晶方向而第一磊晶層102a表現出沿側壁之(111)結晶方向。採用氫氧化銨(NH4OH)、四甲基氫氧化銨(TMAH)於約5℃至約50℃蝕刻約5秒至約100秒而選擇性蝕刻第一磊晶層102a,進而提供了具有(111)結晶方向之凹陷表面。
依據部分實施例,第29A與29B圖繪示了於通道區內之交替磊晶層結構102的上方膜層與應變鬆弛緩衝物2710為分隔的。特別地,第29A圖繪示了完全地移除最下方第一磊晶層102a之一實施例,而第29B圖繪示了最下方第一磊晶層之中央部經過薄化直到交替磊晶層結構102之上方層與通道區內的應變鬆弛緩衝物2710完全分隔之一實施例。
舉例來說,於部分實施例中,當應變鬆弛緩衝物2710包括矽0.30.7時,第一磊晶層102a包括矽0.50.5,而第二 磊晶層102b包括鍺,較期望移除交替磊晶層結構102內之一或多個膜層,例如為第29A圖所示之最下方的第一磊晶層102a。當形成N型鰭型場效電晶體,此些實施例為特別有利。
於此實施例中,鍺材料較第一磊晶層102a與應變鬆弛緩衝物2710具有更大的晶格常數,而應變鬆弛緩衝物2710較第一磊晶層102a具有更大的晶格常數。於一N型裝置中,於通道區內矽0.50.5作為電子載子之用。移除最下方之第一磊晶層102a鬆散了相鄰之第二磊晶層102b(於繪示實施例中的鍺),其結果為降低了壓縮應力或誘發於剩餘第二磊晶層102b內的拉伸應力。
依據部分實施例,第30A-30F圖繪示了移除最下方第一磊晶層102a之不同中間製程步驟。第30A-30F圖推論了相似於第1-26圖所討論之製程,其中相似符號代表了相同元件。請參照第30A,假設已施行了參照第1-4圖所討論的前述製程。第5圖繪示了淺溝槽隔離物412係經過凹蝕而使得最下方第一磊晶層102a為露出的之一實施例,而於第30A圖內所繪示之實施例中凹蝕了淺溝槽隔離物412而並未露出最下方之第一磊晶層102。
於部分實施例中,早於如參照第6圖之前述形成假閘極介電層618之前,可形成額外之一罩幕層3080於鰭上。此額外之罩幕層3080於移除最下方第一磊晶層102a之後續製程中提供了對於鰭310的額外保護。於此些實施例中,額外之罩幕層3080可為形成於鰭310上之結晶矽上蓋層或氮化矽層。
接著,可施行參照第6-21圖之前述製程。舉例來 說,第30C圖繪示了參照第6-21圖之形成假閘極電極720於鰭310上以及前述其他結構的形成。
依據部分實施例,第30D圖繪示採用一圖案罩幕3072以遮蔽P型之第一鰭310a。值得注意的是,第30A-30E圖繪示了P型第一鰭310a遮罩基於解說目的,但可以理解的是依照包括了參照第1-26圖所討論的製程之前述討論的其他製程中可凹蝕P型之第一鰭310a。於部分實施例中,可形成氮化矽之圖案罩幕,但亦可使用其他材料。
請參照第30E圖,移除假閘極電極720之露出部分以露出第二鰭310b與淺溝槽隔離物412的表面,而第30F圖繪示了凹蝕淺溝槽隔離物410以露出第二鰭310b之最下方第一磊晶層102a。如第30F圖所繪示,額外之罩幕層3080保護了交替磊晶層結構102內的上方膜層,而最下方第一磊晶層102a則未為罩幕層3080保護。如此,可蝕刻最下方的第一磊晶層102a以分隔交替磊晶層結構102的上方膜層與應變鬆弛緩衝物2710,進而鬆散或降低了交替磊晶層結構102之剩餘膜層的壓縮應力。依據部分實施例,第30G圖繪示了最下方之第一磊晶層102a的移除。
可採用任何適當製程移除最下方之第一磊晶層102a。舉例來說,可施行如參照第22圖之前述製程以如第29A圖所示完全移除了最下方磊晶層102a。於另一範例中,可施行如參照第27B圖之前述製程一較長時間以凹蝕最下方第一磊晶層102a的相對側壁直到如第29B圖所示般分隔交替磊晶層結構102與應變鬆弛緩衝物2710。
如第29A、29B圖所繪示之實施例可與如第27B-27D圖與第28B-28D圖所繪示實施例結合。舉例來說,第31A圖繪示了一實施例,其中如參照前述第29A圖般移除最下方第一磊晶層102a以及如參照前述第27B圖所示蝕刻剩餘第一磊晶層102a成V形側壁。同樣地,第31B圖繪示了一實施例,其中如參照前述第29A圖般移除最下方第一磊晶層102a以及如參照前述第27C圖所示般蝕刻剩餘第一磊晶層102a成U形側壁,而第31C圖繪示了一實施例,其中如參照前述第29A圖般移除最下方第一磊晶層102a以及如參照前述第27D圖所示般蝕刻剩餘第一磊晶層102a成凹口側壁。
於其他實施例中,第31D圖繪示了一實施例,其中參照前述第29B圖般切割最下方第一磊晶層102a以及如參照前述第27B圖般蝕刻剩餘第一磊晶層102a成V形側壁。相似地,第31E圖繪示了一實施例,其中如參照前述第29B圖般切割最下方的第一磊晶層102a,以及如參照前述第27C圖般蝕刻剩餘第一磊晶層102a成U形側壁,而第31F圖繪示了一實施例,其中如參照前述第29B圖般切割最下方的第一磊晶層102a,以及如參照前述第27D圖般蝕刻剩餘第一磊晶層102a成凹口側壁。
第31G繪示了結合了第1-26圖之元件之一實施例,其中移除所有的第一磊晶層102a,而第27A圖內交替磊晶層結構102內之元件係形成於應變鬆弛緩衝物2710上。可使用如前述描述與所顯示的相對製程。
依據部分實施例,第32A-32B繪示了可採用參照前述第29A與29B圖所示材料形成P型裝置之多個實施例。如前所 述,於部分實施例中,應變鬆弛緩衝物2710包括了矽0.30.7,第一磊晶層102a包括了矽0.50.5,以及第二磊晶層102b包括了鍺。於此些實施例中,P型鰭型場效電晶體之第一源極/汲極區可包括GeSn。請參照第32A圖,顯示了一實施例,其中保留所有第一磊晶層102a與第二磊晶層102b,而第一磊晶層102a與第二磊晶層102b的側壁都沒有經過薄化(例如V型、U型或切割)。於如此之實施例中,第二磊晶層102b之鍺材料可做為P型鰭型場效電晶體內之電洞載子且由於第一磊晶層102a之矽0.50.5與應變鬆弛緩衝物2710之矽0.30.7的較小晶格常數而將處於一壓縮應變下。
第32B-32D圖繪示了相似於第28B-28D圖之實施例,除了於第32B-32D圖內之第一磊晶層102a的側壁係經過薄化,而非如第28B-28D圖內之第二磊晶層102b的側壁。例如,第28B-28D圖繪示了第一磊晶層102a包括了矽0.50.5而第二磊晶層102b包括矽的實施例。於一P型裝置中,矽0.50.5扮演了電洞載子且由於第二磊晶層102b之矽材料較小的晶格常數而將處於壓縮應力下。於第30B-30D圖中,第二磊晶層102b的鍺材料作為電洞載子且由於第一磊晶層102a的矽0.50.5與應變鬆弛緩衝物2710的矽0.30.7的較小晶格常數而將處於壓縮應力下。因此,薄化第一磊晶層102a,進而改善第二磊晶層102b的閘極控制。可使用參照第28B圖內之第一磊晶層102a的矽0.50.5的相似製程以於第32B圖內之第一磊晶層102a的矽0.50.5內形成V型側壁。可使用參照第28C圖內之第一磊晶層102a的矽0.50.5的相似製程以於第32C圖內之第一磊晶層102a的矽0.50.5內形成U型側壁。可使用參照第28D圖內之第一磊晶層102a的矽0.50.5的相似製程以於第32D圖內之第一磊晶層102a的矽0.50.5內形成凹陷或經切割之側壁。
可以理解的是,前述實施例在此藉由提供如奈米線結構以及與電流承載膜層的更大閘極交互作用而提供了較佳閘極及控制能力。例如,於通道區內的奈米線的形成實現了閘極完全包覆結構以及較佳的閘極控制。相似的,採用磊晶材料的交替膜層也實現了較佳的閘極控制。如此,相似材料可利用於通道區內的不同製程而應用於N型與P型裝置,進而降低關於不同磊晶層的成長之製造成本與製造時間。
再者,採用交替磊晶層結構102提供了元件裝置的改善。交替磊晶層結構102藉由插入交替應力層而避免或降低了應力鬆弛。藉由選擇材料使得應力(壓縮或拉伸)可作用至作為承載(例如電洞或電子)膜層上,於電流承載層的應力可控制於一較佳程度。
於一實施例中,提供了一種半導體裝置之形成方法。此方法包括形成一第一鰭與一第二鰭,該第一鰭與該第二鰭各包括一交替磊晶結構,此交替磊晶結構含有複數個磊晶層,該些磊晶層包括複數個第一磊晶層與複數個第二磊晶層,該些第一磊晶層包括一第一半導體材料,而該第二磊晶層包括一第二半導體材料,該交替磊晶結構之膜層係交替該些第一磊晶層之一與該些第二磊晶層之一。第一介電層係形成於該第一鰭與該第二鰭上,並露出該第二鰭之一通道區。此方法更包括移除該第二鰭之該通道區內之該些第一磊晶層之至少一部、形 成一第一閘極堆疊物於該第一鰭上,該第一閘極堆疊物沿該第一鰭之該些第一磊晶層與該些第第二磊晶層之數個側壁延伸、及形成一第二閘極堆疊物於該第二鰭上,該第二閘極堆疊物沿該些第二磊晶層之數個側壁延伸。
於另一實施例中,提供了一種半導體裝置之形成方法。此方法包括形成一第一鰭與一第二鰭,該第一鰭與該第二鰭各包括一交替磊晶結構,此交替磊晶結構含有複數個磊晶層,該些磊晶層包括複數個第一磊晶層與複數個第二磊晶層,該些第一磊晶層包括一第一半導體材料,而該第二磊晶層包括一第二半導體材料,該交替磊晶結構之膜層係交替該些第一磊晶層之一與該些第二磊晶層之一。選擇地蝕刻該第一鰭之該第一通道區內之至少該些第一磊晶層之一之數個側壁,及選擇地蝕刻於該第二鰭之該第二通道區內之至少該些第二磊晶層之一之數個側壁。形成一第一閘極堆疊物於該第一鰭上,及形成一第二閘極堆疊物於該第二鰭上。
於又一實施例中,提供了一種半導體裝置。此裝置包括一基板、複數個第一源極/汲極區以及設置於該些第一源極/汲極區之間之一第一通道區,該些第一源極/汲極區與該第一通道區包括了複數個第一磊晶層與複數個第二磊晶層的交替膜層,複數個第二源極/汲極區及設置於該些第二源極/汲極區之間之一第二通道區,該些第二源極/汲極區與該第二通道區包括了該些第一磊晶層與該些第二磊晶層的交替膜層,該第二通道區包括了該第二磊晶層,其中於該第一磊晶層與該些第二源極/汲極區之間存有一缺口。一第一閘極電極,延伸於 該第一通道區上,及一第二閘極電極,延伸於該第二通道區上。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100‧‧‧基板
412‧‧‧淺溝槽隔離物
414‧‧‧襯層
414a‧‧‧第一襯層次層
414b‧‧‧第二襯層次層
828‧‧‧側壁間隔物
1136‧‧‧層間介電層
1138a‧‧‧第一保護子層
1138b‧‧‧第二保護子層
2466‧‧‧中間層
2468‧‧‧閘極介電層
2470‧‧‧閘極電極

Claims (10)

  1. 一種半導體裝置之形成方法,包括:形成一第一鰭與一第二鰭,該第一鰭與該第二鰭各包括一交替磊晶結構,該交替磊晶結構包括複數個磊晶層,該些磊晶層包括複數個第一磊晶層與複數個第二磊晶層,該些第一磊晶層包括第一半導體材料,而該些第二磊晶層包括第二半導體材料,該交替磊晶結構之該些膜層係交替該些第一磊晶層之一與該些第二磊晶層之一而形成;形成一第一介電層於該第一鰭與該第二鰭上;露出該第二鰭之一通道區;移除該第二鰭之該通道區內之該些第一磊晶層之至少一部;形成一第一閘極堆疊物於該第一鰭上,該第一閘極堆疊物沿該些第一磊晶層之側壁與該第一鰭之該些第二磊晶層延伸;以及形成一第二閘極堆疊物於該第二鰭上,該第二閘極堆疊物沿該些第二磊晶層之側壁延伸。
  2. 如申請專利範圍第1項所述之半導體裝置之形成方法,其中移除該些第一磊晶層之至少一部完全移除了介於該通道區之相鄰之該些第二磊晶層之間之該些第一磊晶層。
  3. 如申請專利範圍第1項所述之半導體裝置之形成方法,更包括:形成一應變鬆弛緩衝物於一基板上;形成該交替磊晶結構於該應變鬆弛緩衝物上;以及 形成數個溝槽於該交替磊晶結構內,該些溝槽至少部分地延伸進入該應變鬆弛緩衝物之內,其中該第一鰭與該第二鰭係插入於相鄰之該些溝槽內。
  4. 如申請專利範圍第1項所述之半導體裝置之形成方法,更包括:於形成該第一介電層之後,露出該第一鰭之該些第二磊晶層之一最上方第二磊晶層;以及移除該第一鰭之該些第二磊晶層之該最上方第二磊晶層,其中該第二閘極堆疊物延伸於該第一鰭之一最上方第一磊晶層之上。
  5. 一種半導體裝置之形成方法,包括:形成一第一鰭與一第二鰭,該第一鰭與該第二鰭各包括一交替磊晶結構,此交替磊晶結構包括複數個磊晶層,該些磊晶層包括複數個第一磊晶層與複數個第二磊晶層,該些第一磊晶層包括第一半導體材料,而該些第二磊晶層包括第二半導體材料,該交替磊晶結構之該些膜層係交替該些第一磊晶層之一與該些第二磊晶層之一而形成;選擇地蝕刻於該第一鰭之一第一通道區內之至少該些第一磊晶層之一之側壁;選擇地蝕刻於該第二鰭之一第二通道區內之至少該些第二磊晶層之一之側壁;形成一第一閘極堆疊物於該第一鰭上;以及形成一第二閘極堆疊物於該第二鰭上。
  6. 如申請專利範圍第5項所述之半導體裝置之形成方法,其中 選擇地蝕刻該第一通道區內之至少該些第一磊晶層之一之側壁完全移除了所有的該些第一磊晶層。
  7. 如申請專利範圍第5項所述之半導體裝置之形成方法,其中選擇地蝕刻該第一通道區內之至少該些第一磊晶層之一包括:移除於該第一通道區內之該些第一磊晶層內之一最下方第一磊晶層;以及選擇地蝕刻於該第一通道區內之剩餘的該些第一磊晶層之側壁。
  8. 如申請專利範圍第5項所述之半導體裝置之形成方法,更包括:形成一應變鬆弛緩衝物於一基板上;形成該交替磊晶結構於該應變鬆弛緩衝物上;以及形成數個溝槽於該交替磊晶結構內,該些溝槽至少部分地延伸進入該應變鬆弛緩衝物內,其中該第一鰭與該第二鰭係插入於相鄰之該些溝槽內。
  9. 如申請專利範圍第8項所述之半導體裝置之形成方法,其中選擇地蝕刻該第一通道區內之至少該些第一磊晶層之一之側壁包括形成穿透該第一鰭之一開口。
  10. 一種半導體裝置,包括:一基板;複數個第一源極/汲極區以及插入於該些第一源極/汲極區之間之一第一通道區,該些第一源極/汲極區與該第一通道區包括了複數個第一磊晶層與複數個第二磊晶層的交替膜 層;複數個第二源極/汲極區以及插入於該些第二源極/汲極區之間之一第二通道區,該些第二源極/汲極區與該第二通道區包括了該些第一磊晶層與該些第二磊晶層的交替膜層,該第二通道區包括了該第二磊晶層,其中於該第一磊晶層與該些第二源極/汲極區之間存有一缺口;一第一閘極電極,延伸於該第一通道區上;以及一第二閘極電極,延伸於該第二通道區上。
TW105124290A 2015-10-07 2016-08-01 半導體裝置及其形成方法 TWI637437B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562238490P 2015-10-07 2015-10-07
US62/238,490 2015-10-07
US14/935,195 2015-11-06
US14/935,195 US9853101B2 (en) 2015-10-07 2015-11-06 Strained nanowire CMOS device and method of forming

Publications (2)

Publication Number Publication Date
TW201730956A true TW201730956A (zh) 2017-09-01
TWI637437B TWI637437B (zh) 2018-10-01

Family

ID=58405944

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105124290A TWI637437B (zh) 2015-10-07 2016-08-01 半導體裝置及其形成方法

Country Status (5)

Country Link
US (6) US9853101B2 (zh)
KR (1) KR101795208B1 (zh)
CN (1) CN106571340B (zh)
DE (1) DE102016100022B4 (zh)
TW (1) TWI637437B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI767159B (zh) * 2018-11-30 2022-06-11 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
TWI772699B (zh) * 2018-10-31 2022-08-01 台灣積體電路製造股份有限公司 半導體元件的製造方法及其元件
TWI776070B (zh) * 2018-07-02 2022-09-01 台灣積體電路製造股份有限公司 半導體裝置與其形成方法
US11955551B2 (en) 2018-11-30 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof

Families Citing this family (374)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160372600A1 (en) * 2015-06-19 2016-12-22 International Business Machines Corporation Contact-first field-effect transistors
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10115807B2 (en) * 2015-11-18 2018-10-30 Globalfoundries Inc. Method, apparatus and system for improved performance using tall fins in finFET devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9978649B2 (en) * 2016-03-21 2018-05-22 Tokyo Electron Limited Solid source doping for source and drain extension doping
US11018254B2 (en) 2016-03-31 2021-05-25 International Business Machines Corporation Fabrication of vertical fin transistor with multiple threshold voltages
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
FR3057702B1 (fr) * 2016-10-13 2018-12-07 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de fabrication d'un transistor a effet de champ a grille enrobante
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
TWI749100B (zh) * 2016-11-14 2021-12-11 日商東京威力科創股份有限公司 奈米線fet裝置用閘極間隔件的形成方法
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) * 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10269934B2 (en) 2017-03-23 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing tunnel field effect transistor
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10522417B2 (en) * 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10535780B2 (en) * 2017-05-08 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including an epitaxial layer wrapping around the nanowires
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10283414B2 (en) 2017-06-20 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation manufacturing method for semiconductor structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10211307B2 (en) 2017-07-18 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing inner spacers in a gate-all-around (GAA) FET through multi-layer spacer replacement
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10332985B2 (en) 2017-08-31 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10497624B2 (en) 2017-09-29 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10818777B2 (en) 2017-10-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10714592B2 (en) 2017-10-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10847622B2 (en) 2017-11-13 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming source/drain structure with first and second epitaxial layers
US10355102B2 (en) 2017-11-15 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10923595B2 (en) * 2017-11-30 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a SiGe epitaxial layer containing Ga
DE102018106581B4 (de) 2017-11-30 2020-07-09 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
US10685887B2 (en) * 2017-12-04 2020-06-16 Tokyo Electron Limited Method for incorporating multiple channel materials in a complimentary field effective transistor (CFET) device
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) * 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11081567B2 (en) * 2018-03-12 2021-08-03 International Business Machines Corporation Replacement-channel fabrication of III-V nanosheet devices
US10943822B2 (en) 2018-03-15 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Forming gate line-end of semiconductor structures
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10854714B2 (en) 2018-04-20 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium containing nanowires and methods for forming the same
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10276719B1 (en) 2018-04-30 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US11398476B2 (en) 2018-05-16 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with hybrid fins
US10756089B2 (en) * 2018-05-16 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid semiconductor transistor structure and manufacturing method for the same
US10636878B2 (en) 2018-05-18 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Steep sloped vertical tunnel field-effect transistor
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11043556B2 (en) * 2018-06-26 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Local epitaxy nanofilms for nanowire stack GAA device
US10651314B2 (en) 2018-06-26 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with inner spacer and methods for producing the same
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11031239B2 (en) 2018-06-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium nanosheets and methods of forming the same
US10672899B2 (en) 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Tunnel field-effect transistor with reduced trap-assisted tunneling leakage
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10872825B2 (en) * 2018-07-02 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11031395B2 (en) * 2018-07-13 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming high performance MOSFETs having varying channel structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10886182B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10741558B2 (en) * 2018-08-14 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet CMOS device and method of forming
CN110828541B (zh) * 2018-08-14 2023-05-16 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
US10522623B1 (en) 2018-08-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium nitride layers on semiconductor structures, and methods for forming the same
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11367783B2 (en) 2018-08-17 2022-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11043578B2 (en) 2018-08-30 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with inner spacer
US10608083B2 (en) 2018-08-31 2020-03-31 International Business Machines Corporation Non-planar field effect transistor devices with low-resistance metallic gate structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11245011B2 (en) 2018-09-25 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical tunnel field-effect transistor with U-shaped gate and band aligner
US11038036B2 (en) 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack GAA device
US10923659B2 (en) 2018-09-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Wafers for use in aligning nanotubes and methods of making and using the same
CN110970432A (zh) * 2018-09-28 2020-04-07 芯恩(青岛)集成电路有限公司 全包围栅纳米片互补反相器结构及其制造方法
US11069812B2 (en) * 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
CN110970369B (zh) * 2018-09-30 2022-08-02 中芯国际集成电路制造(上海)有限公司 Cmos反相器结构及其形成方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN111180513B (zh) 2018-11-12 2023-07-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11276832B2 (en) 2018-11-28 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with diffusion break and method
US11101360B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11522048B2 (en) * 2019-03-22 2022-12-06 Intel Corporation Gate-all-around integrated circuit structures having source or drain structures with epitaxial nubs
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
US11532734B2 (en) * 2019-03-29 2022-12-20 Intel Corporation Gate-all-around integrated circuit structures having germanium nanowire channel structures
US11532706B2 (en) * 2019-03-29 2022-12-20 Intel Corporation Gate-all-around integrated circuit structures having embedded GeSnB source or drain structures
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200139295A (ko) * 2019-06-03 2020-12-14 삼성전자주식회사 반도체 장치
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20210018573A (ko) 2019-08-05 2021-02-18 삼성전자주식회사 활성 영역 및 게이트 구조물을 갖는 반도체 소자
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
KR102638741B1 (ko) 2019-08-19 2024-02-22 삼성전자주식회사 반도체 메모리 소자
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11239368B2 (en) 2019-08-30 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11201243B2 (en) 2019-09-03 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device and methods for producing the same
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11031292B2 (en) 2019-09-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11049937B2 (en) * 2019-10-18 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054354A (ko) * 2019-11-05 2021-05-13 삼성전자주식회사 반도체 소자
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11410889B2 (en) * 2019-12-31 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11183584B2 (en) 2020-01-17 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11705372B2 (en) 2020-02-11 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin loss prevention
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
DE102021108221A1 (de) * 2020-05-26 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Kanalkonfiguration zur Verbesserung der Leistung eines Multigate-Bauelements und Verfahren zur Fertigung davon
US11616151B2 (en) * 2020-05-26 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd Channel configuration for improving multigate device performance and method of fabrication thereof
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11302580B2 (en) * 2020-05-29 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet thickness
US11699735B2 (en) 2020-06-05 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11367784B2 (en) 2020-06-15 2022-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US20210399104A1 (en) * 2020-06-17 2021-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Triple Layer High-K Gate Dielectric Stack for Workfunction Engineering
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US11699736B2 (en) 2020-06-25 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11728171B2 (en) 2020-06-25 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with metal gate fill structure
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11729967B2 (en) 2020-07-08 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitor, memory device, and method
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
KR20220010662A (ko) * 2020-07-17 2022-01-26 삼성전자주식회사 반도체 장치
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11653581B2 (en) 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM device structure and manufacturing method
US11508621B2 (en) 2020-08-21 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11380685B2 (en) * 2020-10-02 2022-07-05 Qualcomm Incorporated Semiconductor device with superlattice fin
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11626485B2 (en) 2020-10-14 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and method
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11728401B2 (en) * 2020-10-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods thereof
US11735590B2 (en) 2020-11-13 2023-08-22 International Business Machines Corporation Fin stack including tensile-strained and compressively strained fin portions
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220080770A (ko) 2020-12-07 2022-06-15 삼성전자주식회사 반도체 소자
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11749566B2 (en) * 2021-01-15 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Inner filler layer for multi-patterned metal gate for nanostructure transistor
KR102515659B1 (ko) * 2021-03-03 2023-03-30 한국과학기술원 3차원 게이트 올 어라운드 구조의 수평형 및 수직형 나노시트 채널을 갖는 전계효과 트랜지스터 및 그 제조방법
US11855079B2 (en) 2021-04-30 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with backside trench for metal gate definition
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11764277B2 (en) * 2021-06-04 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
CN113394295B (zh) * 2021-06-10 2023-12-19 上海集成电路制造创新中心有限公司 P型环栅器件堆叠结构及增强p型环栅器件沟道应力方法
CN113611743B (zh) * 2021-06-11 2022-06-07 联芯集成电路制造(厦门)有限公司 半导体晶体管结构及其制作方法
US11916122B2 (en) 2021-07-08 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around transistor with dual inner spacers
US11804532B2 (en) 2021-08-27 2023-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices with superlattice channel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023225155A1 (en) * 2022-05-20 2023-11-23 Tokyo Electron Limited Sequential complimentary fet incorporating backside power distribution network through wafer bonding prior to formation of active devices

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974729B2 (en) * 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
JP4724231B2 (ja) 2009-01-29 2011-07-13 株式会社東芝 半導体装置およびその製造方法
JP5353278B2 (ja) 2009-02-06 2013-11-27 富士通株式会社 通信装置
US7893492B2 (en) 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8273617B2 (en) * 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US9362290B2 (en) * 2010-02-08 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell layout
US8642996B2 (en) * 2011-04-18 2014-02-04 International Business Machines Corporation Graphene nanoribbons and carbon nanotubes fabricated from SiC fins or nanowire templates
CN106847814B (zh) * 2011-12-19 2020-12-08 英特尔公司 在栅绕式架构中的锗和iii-v纳米线及纳米带的cmos实现
US9240410B2 (en) * 2011-12-19 2016-01-19 Intel Corporation Group III-N nanowire transistors
CN106653694B (zh) * 2011-12-23 2019-10-18 英特尔公司 Cmos纳米线结构
US9093550B1 (en) * 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US8994002B2 (en) * 2012-03-16 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having superlattice stressor
KR101894221B1 (ko) * 2012-03-21 2018-10-04 삼성전자주식회사 전계 효과 트랜지스터 및 이를 포함하는 반도체 장치
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
CN103579295B (zh) * 2012-07-25 2016-12-28 中国科学院微电子研究所 半导体器件及其制造方法
CN103730366B (zh) * 2012-10-16 2018-07-31 中国科学院微电子研究所 堆叠纳米线mos晶体管制作方法
CN103779226B (zh) * 2012-10-23 2016-08-10 中国科学院微电子研究所 准纳米线晶体管及其制造方法
CN103811341B (zh) * 2012-11-09 2016-05-11 中国科学院微电子研究所 半导体器件及其制造方法
CN103811344B (zh) * 2012-11-09 2016-08-10 中国科学院微电子研究所 半导体器件及其制造方法
US9059044B2 (en) * 2012-11-15 2015-06-16 International Business Machines Corporation On-chip diode with fully depleted semiconductor devices
US20140151639A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Nanomesh complementary metal-oxide-semiconductor field effect transistors
US20140151638A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Hybrid nanomesh structures
US20140175531A1 (en) * 2012-12-20 2014-06-26 United Microelectronics Corp. Non-volatile memory structure and manufacturing method thereof
US8900959B2 (en) * 2013-03-12 2014-12-02 International Business Machines Corporation Non-replacement gate nanomesh field effect transistor with pad regions
KR102037304B1 (ko) * 2013-03-15 2019-10-29 인텔 코포레이션 하층 에칭 정지를 갖는 나노와이어 트랜지스터
WO2014142950A1 (en) * 2013-03-15 2014-09-18 Intel Corporation Nanowire transistor fabrication with hardmask layers
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
KR102069609B1 (ko) 2013-08-12 2020-01-23 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9764950B2 (en) 2013-08-16 2017-09-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with one or more semiconductor columns
US9257545B2 (en) * 2013-09-12 2016-02-09 Globalfoundries Inc. Stacked nanowire device with variable number of nanowire channels
CN106030810B (zh) * 2013-09-27 2019-07-16 英特尔公司 经由用于硅上异质集成的模板工程的改进的包覆层外延
WO2015050546A1 (en) * 2013-10-03 2015-04-09 Intel Corporation Internal spacers for nanowire transistors and method of fabrication thereof
CN104576382B (zh) * 2013-10-14 2017-09-12 中国科学院微电子研究所 一种非对称FinFET结构及其制造方法
US9484423B2 (en) 2013-11-01 2016-11-01 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet III-V channel FETs
US9246005B2 (en) * 2014-02-12 2016-01-26 International Business Machines Corporation Stressed channel bulk fin field effect transistor
US9224833B2 (en) 2014-02-13 2015-12-29 Taiwan Semiconductor Manufacturing Company Limited Method of forming a vertical device
US9147683B2 (en) * 2014-02-18 2015-09-29 International Business Machines Corporation CMOS transistors including gate spacers of the same thickness
US9647113B2 (en) * 2014-03-05 2017-05-09 International Business Machines Corporation Strained FinFET by epitaxial stressor independent of gate pitch
US9312136B2 (en) * 2014-03-06 2016-04-12 International Business Machines Corporation Replacement metal gate stack for diffusion prevention
US9985030B2 (en) * 2014-04-07 2018-05-29 International Business Machines Corporation FinFET semiconductor device having integrated SiGe fin
US9209185B2 (en) * 2014-04-16 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET device
US9653563B2 (en) 2014-04-18 2017-05-16 Taiwan Semiconductor Manufacturing Company Limited Connection structure for vertical gate all around (VGAA) devices on semiconductor on insulator (SOI) substrate
US9123627B1 (en) 2014-05-01 2015-09-01 Globalfoundries Inc. Methods of forming alternative material fins with reduced defect density for a FinFET semiconductor device
US9490365B2 (en) * 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9577100B2 (en) * 2014-06-16 2017-02-21 Globalfoundries Inc. FinFET and nanowire semiconductor devices with suspended channel regions and gate structures surrounding the suspended channel regions
TWI615976B (zh) * 2014-07-07 2018-02-21 聯華電子股份有限公司 鰭式場效電晶體及其製造方法
KR102219295B1 (ko) * 2014-07-25 2021-02-23 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US9306067B2 (en) * 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
US9847329B2 (en) * 2014-09-04 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of fin feature and method of making same
US9251888B1 (en) 2014-09-15 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cells with vertical gate-all-round MOSFETs
US9293459B1 (en) * 2014-09-30 2016-03-22 International Business Machines Corporation Method and structure for improving finFET with epitaxy source/drain
US9614056B2 (en) * 2014-10-28 2017-04-04 Globalfoundries Inc. Methods of forming a tri-gate FinFET device
US9508719B2 (en) * 2014-11-26 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device with controlled end-to-end critical dimension and method for forming the same
US9412814B2 (en) * 2014-12-24 2016-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of FinFET device
US9773786B2 (en) * 2015-04-30 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US10170608B2 (en) * 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9583399B1 (en) * 2015-11-30 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9929266B2 (en) * 2016-01-25 2018-03-27 International Business Machines Corporation Method and structure for incorporating strain in nanosheet devices
US9484267B1 (en) * 2016-02-04 2016-11-01 International Business Machines Corporation Stacked nanowire devices
US9660028B1 (en) * 2016-10-31 2017-05-23 International Business Machines Corporation Stacked transistors with different channel widths
US9978772B1 (en) * 2017-03-14 2018-05-22 Micron Technology, Inc. Memory cells and integrated structures
KR20190008051A (ko) * 2017-07-14 2019-01-23 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 동작 방법
EP3503199A1 (en) * 2017-12-22 2019-06-26 IMEC vzw A method for forming a ferroelectric field-effect transistor

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI776070B (zh) * 2018-07-02 2022-09-01 台灣積體電路製造股份有限公司 半導體裝置與其形成方法
US11848242B2 (en) 2018-07-02 2023-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device
TWI772699B (zh) * 2018-10-31 2022-08-01 台灣積體電路製造股份有限公司 半導體元件的製造方法及其元件
TWI767159B (zh) * 2018-11-30 2022-06-11 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US11387362B2 (en) 2018-11-30 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11955551B2 (en) 2018-11-30 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
US20200135854A1 (en) 2020-04-30
DE102016100022A1 (de) 2017-04-13
CN106571340A (zh) 2017-04-19
US9853101B2 (en) 2017-12-26
US20220302257A1 (en) 2022-09-22
CN106571340B (zh) 2020-01-10
US11798989B2 (en) 2023-10-24
KR20170041605A (ko) 2017-04-17
US11652141B2 (en) 2023-05-16
US20170104061A1 (en) 2017-04-13
US20220216301A1 (en) 2022-07-07
TWI637437B (zh) 2018-10-01
KR101795208B1 (ko) 2017-11-07
US11309385B2 (en) 2022-04-19
US20180090570A1 (en) 2018-03-29
US10727298B2 (en) 2020-07-28
US20200357885A1 (en) 2020-11-12
DE102016100022B4 (de) 2021-03-18
US10535732B2 (en) 2020-01-14

Similar Documents

Publication Publication Date Title
TWI637437B (zh) 半導體裝置及其形成方法
US11764222B2 (en) Method of forming a dummy fin between first and second semiconductor fins
US20230335406A1 (en) Masking Layer with Post Treatment
US11854688B2 (en) Semiconductor device and method
US20230326990A1 (en) Multi-Channel Devices and Methods of Manufacture
US11437287B2 (en) Transistor gates and methods of forming thereof
US20220123115A1 (en) Contact plug structure of semiconductor device and method of forming same
US20210265350A1 (en) Semiconductor device and method
US11894277B2 (en) Transistor gates and methods of forming thereof
US11769821B2 (en) Semiconductor device having a corner spacer
US11855185B2 (en) Multilayer masking layer and method of forming same
TWI832404B (zh) 半導體結構及其形成方法
US11699741B2 (en) Metal-containing liner process
US11855167B2 (en) Structure and formation method of semiconductor device with nanosheet structure
US11854899B2 (en) Semiconductor devices and methods of manufacturing thereof
US20230040843A1 (en) Nanostructure field-effect transistor device and method of forming
US20230163191A1 (en) Semiconductor Device and Method of Forming the Same
US20230369502A1 (en) Semiconductor device and method
US20240021618A1 (en) Semiconductor device and method
US20230116949A1 (en) Semiconductor Device and Method
TW202339259A (zh) 半導體結構及其形成方法