US20220302257A1 - Strained Nanowire CMOS Device and Method of Forming - Google Patents

Strained Nanowire CMOS Device and Method of Forming Download PDF

Info

Publication number
US20220302257A1
US20220302257A1 US17/805,719 US202217805719A US2022302257A1 US 20220302257 A1 US20220302257 A1 US 20220302257A1 US 202217805719 A US202217805719 A US 202217805719A US 2022302257 A1 US2022302257 A1 US 2022302257A1
Authority
US
United States
Prior art keywords
layers
region
layer
silicon
epitaxial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US17/805,719
Other versions
US11798989B2 (en
Inventor
Cheng-Yi Peng
Hung-Li Chiang
Yu-Lin Yang
Chih Chieh Yeh
Yee-Chia Yeo
Chi-Wen Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US17/805,719 priority Critical patent/US11798989B2/en
Publication of US20220302257A1 publication Critical patent/US20220302257A1/en
Application granted granted Critical
Publication of US11798989B2 publication Critical patent/US11798989B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Definitions

  • Fin Field-Effect Transistors have been introduced to replace planar transistors.
  • the structures of FinFETs and methods of fabricating FinFETs are being developed.
  • FIGS. 1-26 illustrate various cross-sectional and perspective views of intermediate stages in the formation of FinFETs in accordance with some embodiments
  • FIGS. 27A-27D illustrate perspective views of various configurations for n-type FinFETs in accordance with some embodiments
  • FIGS. 28A-28D illustrate perspective views of various configurations for p-type FinFETs in accordance with some embodiments
  • FIGS. 29A-29B illustrate perspective views of various configurations for n-type FinFETs in accordance with some embodiments
  • FIGS. 30A-30G illustrate cross-sectional views of intermediate stages in the formation of FinFETs in accordance with some embodiments
  • FIGS. 31A-31G illustrate perspective views of various configurations for n-type FinFETs in accordance with some embodiments.
  • FIGS. 32A-32D illustrate perspective views of various configurations for p-type FinFETs in accordance with some embodiments.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • Fin Field-Effect Transistors Fin Field-Effect Transistors
  • GAA Gate-All-Around
  • FIGS. 1-26 illustrate formation of a p-type FinFET and an n-type FinFET for illustrative purposes, wherein the p-type FinFET exhibits a fin-like structure and a multi-gate gate electrode and the n-type FinFET exhibits nanowire-like structures with a GAA electrode.
  • n-type FinFET may utilize a fin-like structure and the p-type FinFET may utilize nanowire-like structures when using different materials.
  • FIGS. 27A-32D illustrate single structures for illustrative purposes and that the various n-type and p-type structures disclosed herein may be combined to form devices designed with various types of materials and operating characteristics.
  • FIGS. 1-26 illustrate various cross-sectional and perspective views for forming a p-type FinFET and an n-type FinFET on a substrate using the similar materials in accordance with some embodiments.
  • a cross-sectional view of a substrate 100 which may be a part of a wafer, and an alternating epitaxial layer structure 102 is provided.
  • the substrate 100 comprises a crystalline silicon substrate (e.g., wafer).
  • the substrate 100 may comprise various doped regions depending on design requirements (e.g., p-type substrate or n-type substrate). In some embodiments, the doped regions may be doped with p-type or n-type dopants.
  • the doped regions may be doped with p-type dopants, such as boron or BF 2 ; n-type dopants, such as phosphorus or arsenic; and/or combinations thereof.
  • the doped regions may be configured for an n-type FinFET, or alternatively configured for a p-type FinFET.
  • the substrate 100 may be made of some other suitable elemental semiconductor, such as diamond or germanium; a suitable compound semiconductor, such as gallium arsenide, silicon carbide, indium arsenide, or indium phosphide; or a suitable alloy semiconductor, such as silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide.
  • the substrate 100 may include an epitaxial layer (epi-layer), may be strained for performance enhancement, and/or may include a silicon-on-insulator (SOI) structure.
  • epi-layer epitaxial layer
  • SOI silicon-on-insulator
  • the alternating epitaxial layer structure 102 is formed over the substrate 100 .
  • the substrate 100 and the alternating epitaxial layer structure 102 will be patterned to form fins extending from the substrate 100 .
  • the fins will in turn be used to form p-type FinFETs and/or n-type FinFETs.
  • Alternating ones of the alternating eptitaxial layers may be removed from channel regions of one conductivity type of fins, such as the n-type fins, thereby forming a nanowire structure extending between the source and drain regions.
  • the alternating layers may be utilized for exerting stress in the channel region for another conductivity type of fins, such as a p-type fin.
  • the embodiments described in this embodiment illustrate processes and materials that may be used to form nanowire structures with a GAA design for n-type FinFETs and stressed alternating layers for p-type FinFETs.
  • other types of materials may be selected such that nanowires with GAA may be used to form p-type FinFETs and stressed alternating layers may be utilized for n-type FinFETs.
  • the alternating epitaxial layer structure 102 may include alternating layers of a first epitaxial layer 102 a and a second epitaxial layer 102 b (collectively referred to as the alternating epitaxial layer structure 102 ), wherein the alternating epitaxial layer structure 102 may include any number of alternating layers from one layer of each of the first epitaxial layer 102 a and a second epitaxial layer 102 b to many layers (e.g., 2, 4, 6, or more) of each of the first epitaxial layer 102 a and the second epitaxial layer 102 b .
  • the first epitaxial layer 102 a may be a silicon germanium layer and the second epitaxial layer 102 b may be a silicon layer, wherein the silicon layer will form nanowires for the n-type FinFETs and the silicon germanium layers will act as the channel regions while the silicon layers act as stressors for the p-type FinFETs.
  • the silicon germanium layers are grown by a low pressure chemical vapor deposition (LPCVD) process performed at a temperature of about 400° C. to about 800° C. and under a pressure of about 1 to about 200 Torr, using SiH 2 Cl 2 or SiH 4 , GeH 4 , and HCl, B 2 H 6 , or H 2 as reaction gases.
  • the silicon layers are grown by an LPCVD process performed at a temperature of about 400° C. to about 750° C. and under a pressure of about 10 to about 200 Torr, using SiH 2 Cl 2 or SiH 4 as reaction gases.
  • the first epitaxial layer 102 a and the second epitaxial layer 102 b are each formed to a thickness from about 5 nm to about 10 nm. Thickness such as these allow the silicon layers to exert a compressive stress to the silicon germanium layers without allowing a surface of the silicon germanium layers to become relaxed, thereby improving the electrical performance of the p-type FinFETs. As mentioned above, these processes may be repeated any number of times to obtain the desired number of layers, which corresponds to the number of nanowires and channel regions.
  • FIG. 2 illustrates the device after forming a masking layer 206 over the alternating epitaxial layer structure 102 in accordance with some embodiments.
  • the masking layer 206 may comprise a first dielectric layer 206 a , a second dielectric layer 206 b , a third dielectric layer 206 c , a polysilicon layer 206 d , an advanced patterning film (APF) 206 e , and a bottom anti-reflective coating (BARC) 206 f , although different materials, layers, number of layers, or the like may be used.
  • APF advanced patterning film
  • BARC bottom anti-reflective coating
  • the first dielectric layer 206 a , the second dielectric layer 206 b , and third dielectric layer 206 c act as a hardmask while the polysilicon layer 206 d , the APF 206 e and the BARC 206 f in combination will be used to pattern the hardmask and for critical dimension control in order to obtain and control the desired dimensions of the patterning of the hardmask, e.g., the first dielectric layer 206 a , the second dielectric layer 206 b , and third dielectric layer 206 c .
  • the thickness of each layer may be adjusted such that each of the layers has sufficient thickness during the respective etching processes (discussed below) to protect the underlying material.
  • the following materials are provided as an example and are not meant to limit the disclosure.
  • the first dielectric layer 206 a may be deposited over the alternating epitaxial layer structure 102 .
  • the first dielectric layer 206 a may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, a polymer such as polyimide, combinations of these, or the like.
  • the first dielectric layer 206 a may be deposited through a process such as chemical vapor deposition (CVD), or a spin-on-glass process, although any acceptable process may be utilized to form the first dielectric layer 206 a to a thickness between about 20 ⁇ to about 80 ⁇ .
  • the first dielectric layer 206 a may be used as an etch stop layer (ESL) for subsequent processes.
  • ESL etch stop layer
  • the second dielectric layer 206 b may be deposited over the first dielectric layer 206 a .
  • the second dielectric layer 206 b may be formed of similar materials and similar processes as the first dielectric layer 206 a , although the first dielectric layer 206 a and the second dielectric layer 206 b need not be the same material.
  • the second dielectric layer 206 b may be formed to a thickness between about 300 ⁇ to about 500 ⁇ .
  • the third dielectric layer 206 c may be deposited over the second dielectric layer 206 b .
  • the third dielectric layer 206 c may be used as an ESL for subsequent processes and may be formed of similar materials and similar processes as the first dielectric layer 206 a and the second dielectric layer 206 b , although the first dielectric layer 206 a , the second dielectric layer 206 b , and the third dielectric layer 206 c need not be the same materials.
  • the third dielectric layer 206 c may be formed to a thickness between about 300 ⁇ to about 700 ⁇ .
  • the first dielectric layer 206 a , the second dielectric layer 206 b , and the third dielectric layer 206 c may be a single dielectric layer rather than three separate layers.
  • the first dielectric layer 206 a , the second dielectric layer 206 b , and the third dielectric layer 206 c comprise an oxide-nitride-oxide (ONO) layer, wherein the first dielectric layer 206 a is an oxide (e.g., silicon oxide), the second dielectric layer 206 b is a nitride (e.g., silicon nitride), and the third dielectric layer 206 c is an oxide (e.g., silicon oxide).
  • OSO oxide-nitride-oxide
  • the polysilicon layer 206 d may be formed by depositing poly-silicon by LPCVD to a thickness in the range of about 50 ⁇ to about 500 ⁇ .
  • the APF 206 e may comprise amorphous carbon formed by a CVD process, although other suitable materials and methods of formation may alternatively be utilized. In some embodiments, the APF 206 e is formed to a thickness of between about 50 ⁇ and about 250 ⁇ . Other thicknesses and materials may be used.
  • the BARC 206 f may be formed over the APF 206 e to aid in a subsequent photolithographic process to pattern an overlying layer (not shown), such as the patterned photoresist layer.
  • the BARC 206 f may comprise SiON, a polymer, the like, or a combination thereof and may be formed by CVD, a spin-on process, the like, or a combination thereof.
  • the BARC 206 f has a thickness sufficient to provide sufficient antireflective qualities based upon the materials and the wavelength. In an embodiment, the BARC layer 206 f is formed to a thickness of between about 100 ⁇ and about 2000 ⁇ .
  • FIG. 3 illustrates the device after a patterning process is performed to form trenches 312 in accordance with some embodiments.
  • photolithography techniques are utilized to pattern the masking layer 206 .
  • a photoresist material (not shown) is deposited over the masking layer 206 .
  • the photoresist material is irradiated (exposed) to energy, e.g. light, through a patterned reticle in order to induce a reaction in those portions of the photoresist material exposed to the energy.
  • the photoresist material is developed to remove a portion of the photoresist material, wherein the remaining photoresist material protects the underlying material from subsequent processing steps, such as etching.
  • the patterning process forms trenches 312 through the alternating epitaxial layer structure 102 and into the substrate 100 .
  • the remaining regions of the alternating epitaxial layer structure 102 and the underlying substrate 100 form fins, such as a first fin 310 a and a second fin 310 b (collectively referred to as fins 310 ).
  • the first fin 310 a will act as a fin of a p-type FinFET and the second fin 310 b will be used to form nanowires for an n-type FinFET.
  • shallow trench isolations (STIs) 412 are formed in the trenches 312 between adjacent fins 310 in accordance with some embodiments.
  • STIs shallow trench isolations
  • one or more liners are formed over the substrate 100 and sidewalls of the fins 310 .
  • the liner 414 has a single layer structure with a thickness between about 10 ⁇ and about 50 ⁇ .
  • the liner 414 has a bilayer structure comprising a first liner sub-layer 414 a and a second liner sub-layer 414 b as illustrated in FIG. 4 .
  • the first liner sub-layer 414 a comprises silicon oxide and has a thickness between about 5 ⁇ and about 20 ⁇
  • the second liner sub-layer 414 b comprises silicon nitride and has a thickness between about 5 ⁇ and about 30 ⁇ .
  • the liner 414 may be deposited through one or more processes such as physical vapor deposition (PVD), CVD, or atomic layer deposition (ALD), although any acceptable process may be utilized. Other materials and/or processes may be used.
  • the STIs 412 may be made of suitable dielectric materials such as silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, a polymer such as polyimide, combinations of these, or the like.
  • FSG fluoride-doped silicate glass
  • low-k dielectrics such as carbon doped oxides
  • extremely low-k dielectrics such as porous carbon doped silicon dioxide
  • a polymer such as polyimide, combinations of these, or the like.
  • the STIs 412 are formed through a process such as CVD, flowable CVD (FCVD), or a spin-on-glass process, although any acceptable process may be utilized.
  • portions of the STIs 412 extending over the top surfaces of the fins 310 , and portions of the liner 414 over the top surfaces of the fins 310 are removed using, for example, an etch process, chemical mechanical polishing (CMP), or the like.
  • CMP chemical mechanical polishing
  • FIG. 5 illustrates recessing of the STIs 412 and the liner 414 to expose sidewalls of the fins 310 in accordance with some embodiments.
  • the STIs 412 and the liner 414 are recessed using one or more selective etch processes utilizing the fins 310 as an etch mask.
  • the STIs 412 and the liner 414 are recessed using a single etch processes.
  • the STIs 412 and the liner 414 are recessed using a multiple etch processes.
  • the STIs 412 are recessed using a first etch process utilizing the fins 310 and the liner 414 as an etch mask, and subsequently, the liner 414 is recessed using a second etch process.
  • a depth of the recess is determined by a height of the alternating epitaxial layer structure 102 .
  • the first epitaxial layers 102 a will be removed. Accordingly, the depth of the recess is such that the bottommost first epitaxial layer 102 a is exposed, thereby allowing the bottommost, as well as the others, first epitaxial layer 102 a to be removed using an etch process.
  • a dummy gate dielectric layer 618 and a dummy gate electrode layer 620 are formed over the exposed fins 310 in accordance with some embodiments.
  • the dummy gate dielectric layer 618 and the dummy gate electrode layer 620 will be subsequently patterned to form a dummy gate stack, which will be used to define and form the source/drain regions.
  • the dummy gate stack will then be removed to allow processing to be performed to the fins in the channel region, and a gate stack will be formed over the channel region.
  • the dummy gate dielectric layer 618 is formed over the exposed fins 310 .
  • the dummy gate dielectric layer 618 may be formed by thermal oxidation, CVD, sputtering, or any other methods known and used in the art for forming a dummy gate dielectric layer.
  • the dummy gate dielectric layer 618 may be formed of a same material as the STIs 412 .
  • the dummy gate dielectric layer 618 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, a polymer such as polyimide, the like, or a combination thereof.
  • the dummy gate dielectric layer 618 includes dielectric materials having a high dielectric constant (k value), for example, greater than 3.9.
  • the materials may include silicon nitrides, oxynitrides, metal oxides such as HfO 2 , HfZrO x , HfSiO x , HfTiO x , HfAlO x , the like, or combinations and multi-layers thereof.
  • the dummy gate electrode layer 620 is formed over the dummy gate dielectric layer 618 .
  • the dummy gate electrode layer 620 is a conductive material and may be selected from a group comprising polycrystalline-silicon (poly-Si), polycrystalline silicon-germanium (poly-SiGe), metallic nitrides, metallic silicides, metallic oxides, and metals.
  • the dummy gate electrode layer 620 may be deposited by PVD, CVD, sputter deposition, or other techniques known and used in the art for depositing conductive materials. Other materials, conductive and non-conductive, may be used.
  • the top surface of the dummy gate electrode layer 620 usually has a non-planar top surface and may be planarized after it is deposited.
  • the hardmask layer 622 comprises one or more masking layers and will be used to pattern the dummy gate electrode layer 620 to form a dummy gate electrode.
  • the hardmask layer 622 may comprise one or more patterning layers.
  • the hardmask layer 622 comprises a first hardmask layer 622 a and a second hardmask layer 622 b .
  • the first hardmask layer 622 a may be an oxide layer (e.g., silicon oxide) and the second hardmask layer 622 b may be a nitride (e.g., silicon nitride).
  • the first hardmask layer 622 a and the second hardmask layer 622 b may be deposited through a process such as CVD, or a spin-on-glass process, although any acceptable process may be utilized.
  • the first hardmask layer 622 a may have a thickness from about 10 ⁇ to about 50 ⁇ and the second hardmask layer 622 b may have a thickness from about 150 ⁇ to about 850 ⁇ .
  • FIG. 7 illustrates patterning of the dummy gate electrode layer 620 and the dummy gate dielectric layer 618 to form a dummy gate electrode 720 and a dummy gate dielectric 718 .
  • the dummy gate electrode 720 and the dummy gate dielectric 718 collectively form a dummy gate stack 726 .
  • spacer layer 826 is deposited over the dummy gate stacks 726 , the fins 310 , and the STIs 412 .
  • FIG. 8B illustrates a cross-section perpendicular to the source/drain regions between adjacent ones of the dummy gate stacks 726 as illustrated by the 8 B- 8 B line in FIG. 8A .
  • spacer layer 826 is formed of silicon nitride, and may have a single-layer structure.
  • the spacer layer may have a composite structure including a plurality of layers.
  • the spacer layer may include a silicon oxide layer and a silicon nitride layer over the silicon oxide layer.
  • the spacer layer 826 (see FIGS. 8A and 8B ) is patterned to form sidewall spacers 828 along sidewalls of the dummy gate stack 726 in accordance with some embodiments.
  • an anisotropic etch process is utilized to remove the spacer layer over horizontal portions of the device and along sidewalls of the dummy gate stacks 726 . Due to the difference in the thicknesses of the spacer layer 826 over horizontal portions of the device and along sidewalls of the fins 310 , the spacer layer 826 remains along sidewalls of the dummy gate stacks 726 while the fins 310 are exposed in the source/drain regions as illustrated in FIG. 9 .
  • FIG. 10 illustrates epitaxial first source/drain regions 930 and second source/drain regions 932 formed on exposed portions of the first fin 310 a and the second fin 310 b , respectively, along opposing sides of the dummy gate stack 726 in accordance with some embodiments.
  • the use of epitaxial grown materials in the source/drain regions allows for the source/drain regions to exert stress in the channel regions, in addition to the stress caused by the alternating epitaxial layer structure 102 .
  • the materials used for the first source/drain regions 930 and the second source/drain regions 932 may be varied for the n-type and p-type FinFETs, such that one type of material is used for the n-type FinFETs to exert a tensile stress in the channel region and another type of material for the p-type FinFETs to exert a compressive stress.
  • SiP or SiC may be used to form n-type FinFETs
  • SiGe or Ge may be used to form p-type FinFETs.
  • Other materials may be used.
  • first source/drain regions 930 and the second source/drain regions 932 may be doped either through an implanting process to implant appropriate dopants, or by in-situ doping as the material is grown.
  • the first source/drain regions 930 are formed of SiGe or Ge doped with boron (B) to form a p-type FinFET device
  • the second source/drain regions 932 are formed of SiC or SiP doped with phosphorus (P) to form an n-type FinFET device.
  • FIG. 10 only illustrates the first source/drain regions 930 and the second source/drain regions 932 on one side of the dummy gate stacks 726 , the first source/drain regions 930 and the second source/drain regions 932 on the opposite sides of the dummy gate stacks have a similar structural configuration.
  • FIG. 11 a first inter-layer dielectric (ILD) 1136 is formed over the structure illustrated in FIG. 10 .
  • ILD inter-layer dielectric
  • FIGS. 1-10 cross sections are taken through the source/drain regions (except where otherwise noted) to illustrate the formation of the source/drain regions.
  • FIGS. 11-25 are directed toward processing steps performed on the channel regions, and as such, FIGS. 11-25 are taken along the gate electrode illustrated by the A-A line in FIG. 10 .
  • a protective layer 1138 may be conformally deposited over the first source/drain regions 930 and the second source/drain regions 932 to protect the first source/drain regions 930 and the second source/drain regions 932 during the subsequent formation of contacts through the first ILD 1136 to the first source/drain regions 930 and the second source/drain regions 932 .
  • the protective layer 1138 has a bilayer structure comprising a first protective sub-layer 1138 a and a second protective sub-layer 1138 b as illustrated in FIG. 11 .
  • the first protective sub-layer 1138 a comprises silicon oxide and has a thickness between about 10 ⁇ and about 30 ⁇
  • the second protective sub-layer 1138 b comprises silicon nitride and has a thickness between about 20 ⁇ and about 60 ⁇ .
  • the protective layer 1138 may be deposited through one or more processes such as PVD, CVD, or ALD, although any acceptable process may be utilized. Other materials and/or processes may be used.
  • the first ILD 1136 may comprise silicon oxide, silicon nitride, the like, or a combination thereof.
  • the first ILD 1136 may be formed by CVD, a high density plasma (HDP), the like, or a combination thereof.
  • the first ILD 1136 may be planarized to be substantially coplanar with top surfaces of the dummy gate electrode 720 .
  • the first ILD 1136 is planarized by using, for example, a CMP to remove portions of the first ILD 1136 .
  • other planarization techniques may be used, such as etching.
  • the first ILD 1136 is recessed, and protective layer 1140 is deposited, followed by a planarization step, resulting in the structure illustrated in FIG. 11 .
  • Protective layer 1140 may comprise silicon nitride (Si 3 N 4 ), which protects first ILD 1136 and the underlying structures in the subsequent process steps.
  • FIG. 12 illustrates a cross-sectional view of the structure in FIG. 11 along the dummy gate electrode 720 .
  • FIGS. 12-23 are shown in cross-sectional view to better and more clearly illustrate the processing of the channel region.
  • FIG. 13 illustrates formation of a patterned mask 1360 over the second fin 310 b in accordance with some embodiments.
  • the channel regions of the first fin 310 a and the second fin 310 b will be processed separately.
  • the first fin 310 a will form p-type FinFET device and the first fins 310 a are processed to thin or recess the second epitaxial layer 102 b , and the second fins 310 b are processed to remove the first epitaxial layer 102 a .
  • the patterned mask 1360 may be formed of any suitable masking material exhibiting a sufficient etch selectivity to protect the underlying layers during the etch process.
  • the patterned mask 1360 comprises a layer of silicon nitride with a thickness between about 10 ⁇ and about 100 ⁇ .
  • the patterned mask 1360 has a silicon oxide with a thickness between about 5 ⁇ and about 50 ⁇ , and a silicon nitride layer over the silicon oxide layer with a thickness between about 5 ⁇ and about 50 ⁇ .
  • the patterned mask 1360 may be deposited through one or more processes such as PVD, CVD, or ALD, although any acceptable process may be utilized, and patterned using photolithography techniques. Other materials and/or processes may be used.
  • an etch process is performed to partially remove the dummy gate electrode 720 over the first fin 310 a (e.g., the fin for the p-type device) as illustrated in FIG. 14 in accordance with some embodiments.
  • the silicon germanium layers act as the channel region in which current flows in the p-type device.
  • the uppermost layer may be that of silicon germanium (e.g., the current carrying layer), thereby allowing the subsequently formed overlying gate electrode to interact better with the uppermost layer, and in these embodiments, it may be desirable to remove a top layer of the first fin 310 a (e.g., the uppermost second epitaxial layer 102 b , silicon in this example).
  • the alternating epitaxial layer structure 102 comprises alternating layers of silicon germanium for the first epitaxial layer 102 a and silicon for the second epitaxial layer 102 b
  • the uppermost layer of the first fin 310 a be a layer of silicon germanium.
  • the dummy gate electrode 720 is recessed by an etch process that is selective to the material of the dummy gate electrode 720 .
  • an etch process that is selective to the material of the dummy gate electrode 720 .
  • the dummy gate electrode 720 comprises polysilicon
  • a dry etch using NF 3 , SF 6 , Cl 2 , HBr, the like, or a combination thereof or a wet etch using NH 4 OH, tetramethylammonium hydroxide (TMAH), the like, or a combination thereof may be used to remove the dummy gate electrode 720 .
  • the dummy gate electrode 720 is recessed to a depth such that the uppermost second epitaxial layer 102 b extends above a bottom of the recess.
  • FIG. 15 illustrates the removal of the dummy gate dielectric 718 over the uppermost second epitaxial layer 102 b and FIG. 16 illustrates removal of the uppermost second epitaxial layer 102 b , in accordance with some embodiments.
  • the dummy gate dielectric 718 comprises silicon oxide
  • wet etch using a diluted HF acid may be used to remove the exposed portions of the dummy gate dielectric layer 618 .
  • a wet etch using a tetramethylammonium hydroxide (TMAH) solution may be used to remove the second epitaxial layer 102 b .
  • TMAH tetramethylammonium hydroxide
  • FIG. 17 illustrates the resulting structure after removal of the remainder of the dummy gate electrode 720 over the first fin 310 a in accordance with some embodiments.
  • the etch process described above may be used to remove the remainder of the dummy gate electrode 720 over the first fin 310 a.
  • the dummy gate dielectric 718 (see FIG. 17 ) is removed from along the sidewalls of the first fin 310 a in accordance with some embodiments.
  • the second epitaxial layers 102 b are thinned or recessed. Accordingly, the dummy gate dielectric 718 is removed to expose the second epitaxial layers 102 b .
  • wet etch using a diluted HF acid may be used to remove the exposed portions of the dummy gate dielectric 718 .
  • FIG. 19 illustrates recessing of the second epitaxial layers 102 b in the first fin 310 a in accordance with some embodiments.
  • the second epitaxial layers 102 b may be recessed using a wet etch using a tetramethylammonium hydroxide (TMAH) solution.
  • TMAH tetramethylammonium hydroxide
  • a width W 1 of the first epitaxial layers 102 a and a width W 2 of the second epitaxial layers 102 b may be adjusted such that sufficient gate control is achieved on the first epitaxial layers 102 a as well as a desired stress applied to the first epitaxial layers 102 a from the second epitaxial layers 102 b .
  • the width W 1 of the first epitaxial layers 102 a is from about 5 nm to about 10 nm
  • the width W 2 of the second epitaxial layers 102 b is from about 1 nm to about 7 nm.
  • the first fin 310 a is protected by a protective mask 2062 in accordance with some embodiments.
  • the protective mask 2062 protects the first fin 310 a while processing is performed on the second fin 310 b .
  • the first fin 310 a will form a p-type FinFET having alternating layers of epitaxial material, while the second fin 310 b will be processed to remove the first epitaxial layers 102 a to form nanowires of the second epitaxial layers 102 b .
  • the protective mask 2062 is a silicon oxide material formed through a process such as CVD, flowable CVD (FCVD), or a spin-on-glass process, although any acceptable process may be utilized.
  • a CMP process or other planarizing process may be performed to remove material over the patterned mask 1360 . Other materials and processes may be used.
  • the patterned mask 1360 and the dummy gate electrode 720 over the second fin 310 b may be removed in accordance with some embodiments.
  • the patterned mask 1360 comprises silicon nitride
  • the patterned mask 1360 may be removed using H 3 PO 4 .
  • the dummy gate electrode 720 may be removed using similar processes as discussed above.
  • FIGS. 22 and 23 illustrate the removal of the dummy gate dielectric 718 over the second fin 310 b and the subsequent removal of the first epitaxial layers 102 a in accordance with some embodiments.
  • the removal of the dummy gate dielectric 718 exposes the second fin 310 b , thereby allowing removal of the first epitaxial layer 102 a .
  • the dummy gate dielectric 718 over the second fin 310 b may be removed using similar processes and materials as the removal of the dummy gate dielectric 718 over the first fin 310 a discussed above.
  • the first epitaxial layers 102 a may be removed using an etchant that etches the silicon germanium at a higher rate than the silicon, such as NH 4 OH:H 2 O 2 :H 2 O (ammonia peroxide mixture, APM), H 2 SO 4 +H 2 O 2 (sulfuric acid peroxide mixture, SPM), or the like. This etching process removes the first epitaxial layers 102 a , thereby forming nanowires 2264 .
  • an etchant that etches the silicon germanium at a higher rate than the silicon, such as NH 4 OH:H 2 O 2 :H 2 O (ammonia peroxide mixture, APM), H 2 SO 4 +H 2 O 2 (sulfuric acid peroxide mixture, SPM), or the like. This etching process removes the first epitaxial layers 102 a , thereby forming nanowires 2264 .
  • a rounding process may be performed to obtain rounded nanowires as illustrated in FIG. 23 .
  • the rounding process may be performed, for example, using a thermal oxidation process at a temperature of about 300° C. to about 700° C. in an ambient of O 2 and a pressure of about 0.5 torr to about 20 torr.
  • the oxidation layer may be removed using HF or annealing in an ambient of H 2 at a temperature from about 250° C. to about 600° C. with a pressure from about 1 torr to about 100 torr to expose the underlying semiconductor material.
  • a width W 3 of the second epitaxial layers 102 b prior to removal of the first epitaxial layers 102 a is from about 5 nm to about 10 nm, and a W 4 of the second epitaxial layers 102 b after rounding is from about 1 nm to about 7 nm.
  • FIGS. 24A and 24B illustrate formation of an interfacial layer 2466 formed along a surface of the first fin 310 a and the nanowires 2264 in accordance with some embodiments.
  • FIG. 24A is a perspective view and FIG. 24B is a cross-sectional view taken along the 24 B- 24 B line of FIG. 24A .
  • the interfacial layer 2466 helps buffer a subsequently formed high-k dielectric layer from the underlying semiconductor material.
  • the interfacial layer 2466 is a chemical silicon oxide, which may be formed of chemical reactions.
  • a chemical oxide may be formed using deionized water+ozone (DIO 3 ), NH 4 OH+H 2 O 2 +H 2 O (APM), or other methods.
  • DIO 3 deionized water+ozone
  • API NH 4 OH+H 2 O 2 +H 2 O
  • Other embodiments may utilize a different material or processes for the interfacial layer 2466 .
  • the interfacial layer 2466 may have
  • a gate dielectric layer 2468 is formed on the interfacial layer 2466 .
  • the gate dielectric layer 2468 includes one or more high-k dielectric layers (e.g., having a dielectric constant greater than 3.9).
  • the one or more gate dielectric layers may include one or more layers of a metal oxide or a silicate of Hf, Al, Zr, combinations thereof, and multi-layers thereof.
  • suitable materials include La, Mg, Ba, Ti, Pb, Zr, in the form of metal oxides, metal alloyed oxides, and combinations thereof.
  • Exemplary materials include MgO x , BaTi x O y , BaSr x Ti y O z , PbTi x O y , PbZr x Ti y O z , and the like.
  • the formation methods of gate dielectric layer 2468 include molecular-beam deposition (MBD), ALD, PVD, and the like.
  • the gate dielectric layer 2468 may have a thickness of about 3 ⁇ to about 30 ⁇ .
  • FIGS. 24A and 24B further illustrate a gate electrode 2470 formed over the gate dielectric layer 2468 .
  • the gate electrode 2470 may be a metal selected from a group of W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, and Zr.
  • the gate electrode 2470 comprises a metal selected from a group of TiN, WN, TaN, and Ru.
  • the gate electrode 2470 has a thickness in the range of about 5 nm to about 100 nm.
  • the gate electrode 2470 may be formed using a suitable process such as ALD, CVD, PVD, plating, or combinations thereof.
  • a planarization process, such as a CMP may be performed to remove excess materials.
  • one or more dielectric layers such as an etch stop layer 2568 and a second ILD 2570 , is formed over the structure, and contacts 2572 are formed through various dielectric layers to various components.
  • the second ILD 2570 may comprise silicon oxide, TEOS, PSG, BPSG, FSG, SiO x C y , Spin-On-Glass, Spin-On-Polymers, silicon carbon material, compounds thereof, composites thereof, combinations thereof, or the like, formed by any suitable method, such as CVD, PECVD, spinning, the like, or a combination thereof.
  • a planarization process such as a CMP, can be performed to planarize the second ILD 2570 .
  • Openings for the contacts 2572 can be formed using photolithography techniques and one or more etching steps.
  • a liner 2574 such as a diffusion barrier layer, an adhesion layer, or the like, and a conductive material 2674 are formed in the openings.
  • the liner may include titanium, titanium nitride, tantalum, tantalum nitride, or the like formed by ALD, CVD, or the like.
  • the conductive material may be copper, a copper alloy, silver, gold, tungsten, aluminum, nickel, or the like formed by ALD, CVD, PVD, or the like.
  • a planarization process such as a CMP, may be performed to remove excess material from a surface of the second ILD 2570 .
  • FIGS. 27A-27D illustrate various other embodiments that may be used for the n-type FinFET, other than the nanowire structure discussed above with reference to FIGS. 1-26
  • FIGS. 28A-28D illustrate various other embodiments that may be used for the p-type FinFET discussed above with reference to FIGS. 1-26 . It is noted that FIGS. 27A-28D illustrate a perspective view of the channel region and a source/drain region.
  • Embodiments such as those illustrated in FIGS. 27A-28D begin with processes similar to those discussed above with reference to FIG. 1 , except a strain relaxed buffer (SRB) 2710 is formed prior to forming the alternating epitaxial layer structure 102 , and the alternating epitaxial layer structure 102 is formed over the SRB 2710.
  • the SRB 2710 comprises a Si 0.75 Ge 0.25 and may be grown by an LPCVD process performed at a temperature of about 400° C. to about 800° C. and under a pressure of about 1 to about 200 Torr, using SiH 2 Cl 2 or SiH 4 , GeH 4 , and HCl, B 2 H 6 , or H 2 as reaction gases.
  • the alternating epitaxial layer structure 102 may be formed over the SRB 2710.
  • the first epitaxial layer 102 a comprises Si 0.5 Ge 0.5 grown by an LPCVD process performed at a temperature of about 400° C. to about 800° C. and under a pressure of about 1 to about 200 Torr, using SiH 2 Cl 2 or SiH 4 , GeH 4 , and HCl, B 2 H 6 , or H 2 as reaction gases.
  • the second epitaxial layer 102 b comprises silicon, which may be grown by an LPCVD process performed at a temperature of about 400° C. to about 750° C. and under a pressure of about 10 to about 200 Torr, using SiH 2 Cl 2 or SiH 4 as reaction gases.
  • the second epitaxial layer 102 b has a larger lattice constant than the first epitaxial layer 102 a , which has a larger lattice constant than the SRB 2710.
  • the silicon layers act as the channel region for the current flow between source and drain regions, and the Si 0.5 Ge 0.5 causes the Si layers to be under a tensile strain, thereby increasing the efficiency of the n-type FinFET structures.
  • the Si 0.5 Ge 0.5 layers act as the channel region for the current flow between source and drain regions, and the Si layers causes the Si 0.5 Ge 0.5 layers to be under a compressive strain, thereby increasing the efficiency of the p-type FinFET structures.
  • the trenches 312 may extend at least partially into the SRB 2710, and may extend to the underlying substrate 100 .
  • other materials may be used to form the epitaxial first source/drain regions 930 and the epitaxial second source/drain regions 932 .
  • the material used to form the second source/drain regions 932 for n-type FinFET devices in FIGS. 27A-27D may comprise a SiGeP, which may be grown by an LPCVD process performed at a temperature of about 400° C. to about 800° C.
  • the material used to form the first source/drain regions 930 for p-type FinFET devices in FIGS. 28A-28D may comprise a GeSn, which may be grown by an LPCVD process performed at a temperature of about 400° C. to about 700° C. and under a pressure of about 10 to about 200 Torr, using GeH 4 , SnCl 4 as reaction gases. Thereafter, similar processes may be performed as described above with reference to FIGS. 11-26 wherein the etching processes described below is utilized to obtain the desired shapes.
  • FIGS. 27A and 28A utilize first epitaxial layers 102 a and second epitaxial layers 102 b having similar shapes and sizes. In other embodiments, the first epitaxial layers 102 a and the second epitaxial layers 102 b may have different shapes.
  • FIGS. 27B-27D illustrate various embodiments that utilize partially etched first epitaxial layers 102 a
  • FIGS. 28B-28D illustrate various embodiments that utilize partially etched second epitaxial layers 102 b.
  • the first epitaxial layers 102 a may be partially etched to form a “V” shaped recess in sidewalls of the first epitaxial layers 102 a .
  • the second epitaxial layers 102 b e.g., the silicon layers
  • Etching with a diluted APM or SPM solution at a temperature of about 5° C. to about 50° C. for about 5 seconds to about 100 seconds selectively etches the first epitaxial layers 102 a along the (111) crystal orientation, thereby providing a “V” shaped recess having a (111) crystal orientation.
  • the first epitaxial layers 102 a may be partially etched to form a “U” shaped recess in sidewalls of the first epitaxial layers 102 a .
  • the second epitaxial layers 102 b e.g., the silicon layers
  • Performing a dry etch process with HCl or Cl 2 gas at a temperature of about 20° C. to about 100° C. and under a pressure of about 5 to about 50 Torr for about 10 seconds to about 100 seconds selectively etches the first epitaxial layers 102 a , thereby providing a “U” shaped recess having a (111) crystal orientation.
  • the first epitaxial layers 102 a may be uniformly etched to form a recess in sidewalls of or thin the first epitaxial layers 102 a .
  • the second epitaxial layers 102 b e.g., the silicon layers
  • Etching using APM or SPM solution at a temperature of about 5° C. to about 50° C. for about 5 seconds to about 100 seconds selectively etches the first epitaxial layers 102 a , thereby providing a recessed surface having a (111) crystal orientation.
  • the second epitaxial layers 102 b may be partially etched to form a “V” shaped recess in sidewalls of the second epitaxial layers 102 b .
  • the second epitaxial layers 102 b e.g., the silicon layers
  • Etching with a TMAH or NH 4 OH at a temperature of about 5° C. to about 50° C. for about 5 seconds to about 100 seconds selectively etches the second epitaxial layers 102 b along the (111) crystal orientation, thereby providing a “V” shaped recess having a (111) crystal orientation.
  • the second epitaxial layers 102 b may be partially etched to form a “U” shaped recess in sidewalls of the second epitaxial layers 102 b .
  • the second epitaxial layers 102 b e.g., the silicon layers
  • Performing a dry etch process with HCl or Cl 2 gas at a temperature of about 20° C. to about 100° C. and under a pressure of about 5 to about 50 Torr for about 5 seconds to about 100 seconds selectively etches the second epitaxial layers 102 b , thereby providing a “U” shaped recess.
  • the first epitaxial layers 102 a may be uniformly etched to form a recess in sidewalls of or thin the first epitaxial layers 102 a .
  • the second epitaxial layers 102 b e.g., the silicon layers
  • the first epitaxial layers 102 a exhibit (111) crystal orientation along sidewalls.
  • Etching using TMAH or NH 4 OH at a temperature of about 5° C. to about 50° C. for about 5 seconds to about 100 seconds selectively etches the first epitaxial layers 102 a , thereby providing a recessed surface having a (111) crystal orientation.
  • FIGS. 29A and 29B illustrate embodiments in which upper layers of the alternating epitaxial layer structure 102 are separated from the SRB 2710 in the channel region in accordance with some embodiments.
  • FIG. 29A illustrates an embodiment in which the bottommost first epitaxial layer 102 a is completely removed
  • FIG. 29B illustrates an embodiment in which a middle portion of the bottommost first epitaxial layer is thinned until the upper layers of the alternating epitaxial layer structure 102 is completely separated from the SRB 2710 in the channel region.
  • the SRB 2710 comprises Si 0.3 Ge 0.7
  • the first epitaxial layer 102 a comprises Si 0.5 Ge 0.5
  • the second epitaxial layer 102 b comprises Ge
  • Embodiments such as these may be particularly beneficial when forming an n-type FinFET.
  • the Ge material has a larger lattice constant than the first epitaxial layer 102 a and the SRB 2710, and the SRB 2710 has a larger lattice constant than the first epitaxial layer 102 a .
  • the Si 0.5 Ge 0.5 acts as a carrier for electrons in the channel region. Removing the bottommost first epitaxial layer 102 a relaxes the adjacent second epitaxial layer 102 b (Ge in this illustrated embodiment), and as a result, reduces the compressive stress or induces a tensile stress in the remaining second epitaxial layers 102 b.
  • FIGS. 30A-30F illustrate various intermediate process steps for removing the bottommost first epitaxial layer 102 a in accordance with some embodiments.
  • FIGS. 30A-30F assume processes similar to those discussed above with reference to FIGS. 1-26 , wherein like reference numerals refer to like elements. Referring now to FIG. 30A , it is assumed that processes discussed above with reference to FIGS. 1-4 have been performed. Whereas FIG. 5 illustrates an embodiment in which the STIs 412 are recessed such that the bottommost first epitaxial layer 102 a is exposed, the embodiment illustrated in FIG. 30A recesses the STIs 412 such that the bottommost first epitaxial layer 102 a is not exposed.
  • an additional mask layer 3080 may be formed over the fins prior to forming dummy gate dielectric layer 618 as discussed above with reference to FIG. 6 .
  • the additional mask layer 3080 provides additional protection to the fins 310 during subsequent processing to remove the bottommost first epitaxial layer 102 a .
  • the additional mask layer 3080 may be a crystalline silicon cap layer or a silicon nitride layer formed over the fins 310 .
  • FIG. 30C illustrates the dummy gate electrode 720 formed over the fins 310 and formation of other structures as discussed above with reference to FIGS. 6-21 .
  • FIG. 30D illustrates masking of the p-type first fin 310 a using a patterned mask 3072 in accordance with some embodiments.
  • FIGS. 30A-30E illustrate that the p-type first fin 310 a is masked for illustrative purposes, but it is understood that the p-type first fin 310 a may be processed in accordance with the other processes discussed herein, including those discussed above with reference to FIGS. 1-26 .
  • the patterned mask may be formed of a silicon nitride, though other materials may be used
  • FIG. 30E exposed portions of the dummy gate electrode 720 is removed to expose the second fin 310 b and a surface of the STI 412
  • FIG. 30F illustrates recessing the STIs 410 to expose the bottommost first epitaxial layer 102 a of the second fin 310 b
  • the additional mask layer 3080 protects the upper layers of the alternating epitaxial layer structure 102 while the bottommost first epitaxial layer 102 a is unprotected by the additional mask layer 3080 .
  • the bottommost first epitaxial layer 102 a may be etched to separate the upper layers of the alternating epitaxial layer structure 102 from the SRB 2710, thereby relaxing or reducing the compressive stress of the remaining layers of the alternating epitaxial layer structure 102 .
  • FIG. 30G illustrates the removal of the bottommost first epitaxial layer 102 a in accordance with some embodiments.
  • the removal of the bottommost first epitaxial layer 102 a may be removed using any suitable process. For example, processes such as those discussed above with reference to FIG. 22 may be performed to completely remove the bottommost epitaxial layer 102 a as illustrated in FIG. 29A . As another example, processes such as those discussed above with reference to FIG. 27B may be performed for a longer time period to recess opposing sidewalls of the bottommost first epitaxial layer 102 a until the upper layers of the alternating epitaxial layer structure 102 are separated from the SRB 2710 as illustrated in FIG. 29B .
  • FIGS. 29A and 29B may be combined with embodiments such as those illustrated in FIGS. 27B-27D and FIGS. 28B-28D .
  • FIG. 31A illustrates an embodiment in which the bottommost first epitaxial layer 102 a is removed as discussed above with reference to FIG. 29A and the remaining first epitaxial layers 102 a are etched to achieve a “V” shaped sidewall as discussed above with reference to FIG. 27B .
  • FIG. 31B illustrates an embodiment in which the bottommost first epitaxial layer 102 a is removed as discussed above with reference to FIG. 29A and the remaining first epitaxial layers 102 a are etched to achieve a “U” shaped sidewall as discussed above with reference to FIG.
  • FIG. 31C illustrates an embodiment in which the bottommost first epitaxial layer 102 a is removed as discussed above with reference to FIG. 29A and the remaining first epitaxial layers 102 a are etched to form recess sidewalls as discussed above with reference to FIG. 27D .
  • FIG. 31D illustrates an embodiment in which the bottommost first epitaxial layer 102 a is notched as discussed above with reference to FIG. 29B and the remaining first epitaxial layers 102 a are etched to achieve a “V” shaped sidewall as discussed above with reference to FIG. 27B .
  • FIG. 31E illustrates an embodiment in which the bottommost first epitaxial layer 102 a is notched as discussed above with reference to FIG. 29B and the remaining first epitaxial layers 102 a are etched to achieve a “U” shaped sidewall as discussed above with reference to FIG. 27C
  • FIG. 31F illustrates an embodiment in which the bottommost first epitaxial layer 102 a is notched as discussed above with reference to FIG. 29B and the remaining first epitaxial layers 102 a are etched to form recess sidewalls as discussed above with reference to FIG. 27D .
  • FIG. 31G illustrates an embodiment combining features of FIGS. 1-26 in which all of the first epitaxial layers 102 a are removed and a feature of FIG. 27A in which the alternating epitaxial layer structure 102 is formed over the SRB 2710. Relevant processes as those discussed above with reference the above figures may be used.
  • FIGS. 32A-32B illustrate various embodiments that may be used to form p-type devices using the materials discussed above with reference to FIGS. 29A and 29B , in accordance with some embodiments.
  • the SRB 2710 comprises Si 0.3 Ge 0.7
  • the first epitaxial layer 102 a comprises Si 0.5 Ge 0.5
  • the second epitaxial layer 102 b comprises Ge.
  • the first source/drain regions for the p-type FinFET may comprise GeSn. Referring first to FIG.
  • the Ge material of the second epitaxial layers 102 b act as a carrier for holes in a p-type FinFET and will be under a compressive stress due to the smaller lattice constant of the Si 0.5 Ge 0.5 of the first epitaxial layer 102 a and the Si 0.3 Ge 0.7 of the SRB 2710.
  • FIGS. 32B-32D illustrate embodiments similar to FIGS. 28B-28D , except sidewalls of the first epitaxial layers 102 a are thinned in FIG. 32B-32D , rather than sidewalls of the second epitaxial layers 102 b of FIGS. 28B-28D .
  • FIGS. 28B-28D illustrate embodiments in which the first epitaxial layers 102 a comprise Si 0.5 Ge 0.5 and the second epitaxial layers 102 b comprise Si.
  • the Si 0.5 Ge 0.5 acts as the hole carrier and would be under a compressive stress due to the smaller lattice constant of the Si material of the second epitaxial layer 102 b .
  • the Ge material of the second epitaxial layers 102 b act as the hole carriers and are under a compressive stress due to the smaller lattice constant of the Si 0.5 Ge 0.5 of the first epitaxial layers 102 a and the Si 0.3 Ge 0.7 of the SRB 2710. Accordingly, the first epitaxial layers 102 a are thinned, thereby improving the gate control of the second epitaxial layers 102 b .
  • Similar processes may be used to form the “V” shaped sidewall in the Si 0.5 Ge 0.5 of the first epitaxial layers 102 a in FIG. 32B as discussed above with reference to the Si 0.5 Ge 0.5 of the first epitaxial layers 102 A in FIG. 28B .
  • Similar processes may be used to form the “U” shaped sidewall in the Si 0.5 Ge 0.5 of the first epitaxial layers 102 a in FIG. 32C as discussed above with reference to the Si 0.5 Ge 0.5 of the first epitaxial layers 102 A in FIG. 28C .
  • Similar processes may be used to form the indented or notched sidewall in the Si 0.5 Ge 0.5 of the first epitaxial layers 102 a in FIG. 32D as discussed above with reference to the Si 0.5 Ge 0.5 of the first epitaxial layers 102 A in FIG. 28D .
  • embodiments such as those discussed herein provide greater gate control by providing, for example nanowire structures and greater gate interaction with the current carrying layers.
  • the creation of the nanowire structures in the channel region allows a GAA structure and greater gate control.
  • using alternating layers of epitaxial materials allows for a greater stress (compressive or tensile) and thinning select layers allows for greater gate control as well.
  • similar materials may be used for both n-type and p-type devices that utilize different processing in the channel regions, thereby reducing manufacturing costs and processing time related to the growth of different epitaxial layers.
  • the use of the alternating epitaxial layer structure 102 provides an improvement in device performance.
  • the alternating epitaxial layer structure 102 prevents or reduces strain relaxation by inserting alternating stress layers.
  • the stress compressed or tensile
  • the stress in the current carrying layer may be controlled to a greater degree.
  • a method of forming a semiconductor device includes forming a first fin and a second fin, each of the first fin and the second fin comprising an alternating epitaxial structure, the alternating epitaxial structure having a plurality of epitaxial layers, the plurality of epitaxial layers comprising first epitaxial layers and second epitaxial layers, the first epitaxial layers comprising a first semiconductor material, the second epitaxial layers comprising a second semiconductor material, layers of the alternating epitaxial structure alternating between one of the first epitaxial layers and one of the second epitaxial layers.
  • a first dielectric layer is formed over the first fin and the second fin, and a channel region of the second fin is exposed.
  • the method further includes removing at least a portion of the first epitaxial layers in the channel region of the second fin, forming a first gate stack over the first fin, the first gate stack extending along sidewalls of the first epitaxial layers and the second epitaxial layers of the first fin, and forming a second gate stack over the second fin, the second gate stack extending along sidewalls of the second epitaxial layers.
  • a method of forming a semiconductor device includes forming a first fin and a second fin, each of the first fin and the second fin comprising an alternating epitaxial structure, the alternating epitaxial having a plurality of epitaxial layers, the plurality of epitaxial layers comprising first epitaxial layers and second epitaxial layers, the first epitaxial layers comprising a first semiconductor material, the second epitaxial layers comprising a second semiconductor material, layers of the alternating epitaxial structure alternating between one of the first epitaxial layers and one of the second epitaxial layers.
  • a first gate stack is formed over the first fin, and a second gate stack is formed over the second fin.
  • a semiconductor device in yet another embodiment, includes a substrate, first source/drain regions and a first channel region interposed between the first source/drain regions, the first source/drain regions and the channel region comprising alternating layers of first epitaxial layers and second epitaxial layers, and second source/drain regions and a second channel region interposed between the second source/drain regions, the second source/drain regions comprising alternating layers of the first epitaxial layers and the second epitaxial layers, the second channel region comprising the second epitaxial layer wherein a gap in the first epitaxial layer exists between the second source/drain regions.
  • a first gate electrode extends over the first channel region, and a second gate electrode extends over the second channel region.

Abstract

Transistor structures and methods of forming transistor structures are provided. The transistor structures include alternating layers of a first epitaxial material and a second epitaxial material. In some embodiments, one of the first epitaxial material and the second epitaxial material may be removed for one of an n-type or p-type transistor. A bottommost layer of the first epitaxial material and the second epitaxial material maybe be removed, and sidewalls of one of the first epitaxial material and the second epitaxial material may be indented or recessed.

Description

    PRIORITY CLAIM AND CROSS-REFERENCE
  • This application is a continuation of U.S. patent application Ser. No. 17/656,258, filed Mar. 24, 2022, and entitled “Strained Nanowire CMOS Device and Method of Forming,” which is a divisional of U.S. patent application Ser. No. 16/939,726, filed Jul. 27, 2020, now U.S. Pat. No. 11,309,385 issued Apr. 19, 2022, and entitled “Strained Nanowire CMOS Device and Method of Forming,” which is a continuation of U.S. patent application Ser. No. 16/722,291, filed Dec. 20, 2019, now U.S. Pat. No. 10,727,298 issued Jul. 28, 2020, and entitled “Strained Nanowire CMOS Device and Method of Forming,” which is a continuation of U.S. patent application Ser. No. 15/817,601, filed Nov. 20, 2017, now U.S. Pat. No. 10,535,732 issued Jan. 14, 2020, and entitled “Strained Nanowire CMOS Device and Method of Forming,” which is a divisional of U.S. patent application Ser. No. 14/935,195, filed Nov. 6, 2015, now U.S. Pat. No. 9,853,101 issued Dec. 26, 2017, and entitled “Strained Nanowire CMOS Device and Method of Forming,” which claims the benefit of the earlier filed provisional application U.S. Patent Application No. 62/238,490, filed Oct. 7, 2015, and entitled “Strained Nanowire CMOS Design and Flow,” each application is hereby incorporated herein by reference.
  • BACKGROUND
  • Technological advances in Integrated Circuit (IC) materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generations. In the course of IC evolution, functional density (for example, the number of interconnected devices per chip area) has generally increased while geometry sizes have decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs.
  • Such scaling down has also increased the complexity of processing and manufacturing ICs and, for these advances to be realized, similar developments in IC processing and manufacturing are needed. For example, Fin Field-Effect Transistors (FinFETs) have been introduced to replace planar transistors. The structures of FinFETs and methods of fabricating FinFETs are being developed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIGS. 1-26 illustrate various cross-sectional and perspective views of intermediate stages in the formation of FinFETs in accordance with some embodiments;
  • FIGS. 27A-27D illustrate perspective views of various configurations for n-type FinFETs in accordance with some embodiments;
  • FIGS. 28A-28D illustrate perspective views of various configurations for p-type FinFETs in accordance with some embodiments;
  • FIGS. 29A-29B illustrate perspective views of various configurations for n-type FinFETs in accordance with some embodiments;
  • FIGS. 30A-30G illustrate cross-sectional views of intermediate stages in the formation of FinFETs in accordance with some embodiments;
  • FIGS. 31A-31G illustrate perspective views of various configurations for n-type FinFETs in accordance with some embodiments; and
  • FIGS. 32A-32D illustrate perspective views of various configurations for p-type FinFETs in accordance with some embodiments.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • Fin Field-Effect Transistors (FinFETs) with Gate-All-Around (GAA) structures and the methods of forming the same are provided in accordance with various exemplary embodiments. The intermediate stages of forming the FinFETs are illustrated. The variations of the embodiments are discussed. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements. It is appreciated that although FIGS. 1-26 illustrate formation of a p-type FinFET and an n-type FinFET for illustrative purposes, wherein the p-type FinFET exhibits a fin-like structure and a multi-gate gate electrode and the n-type FinFET exhibits nanowire-like structures with a GAA electrode. These examples are provided for illustrative purposes only and one of ordinary skill in the art would realize that the n-type FinFET may utilize a fin-like structure and the p-type FinFET may utilize nanowire-like structures when using different materials. It should also be appreciated that the various embodiments illustrated in FIGS. 27A-32D illustrate single structures for illustrative purposes and that the various n-type and p-type structures disclosed herein may be combined to form devices designed with various types of materials and operating characteristics.
  • FIGS. 1-26 illustrate various cross-sectional and perspective views for forming a p-type FinFET and an n-type FinFET on a substrate using the similar materials in accordance with some embodiments. Referring first to FIG. 1, a cross-sectional view of a substrate 100, which may be a part of a wafer, and an alternating epitaxial layer structure 102 is provided. In some embodiments, the substrate 100 comprises a crystalline silicon substrate (e.g., wafer). The substrate 100 may comprise various doped regions depending on design requirements (e.g., p-type substrate or n-type substrate). In some embodiments, the doped regions may be doped with p-type or n-type dopants. For example, the doped regions may be doped with p-type dopants, such as boron or BF2; n-type dopants, such as phosphorus or arsenic; and/or combinations thereof. The doped regions may be configured for an n-type FinFET, or alternatively configured for a p-type FinFET.
  • In some alternative embodiments, the substrate 100 may be made of some other suitable elemental semiconductor, such as diamond or germanium; a suitable compound semiconductor, such as gallium arsenide, silicon carbide, indium arsenide, or indium phosphide; or a suitable alloy semiconductor, such as silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide. Further, the substrate 100 may include an epitaxial layer (epi-layer), may be strained for performance enhancement, and/or may include a silicon-on-insulator (SOI) structure.
  • The alternating epitaxial layer structure 102 is formed over the substrate 100. As explained in greater detail below, the substrate 100 and the alternating epitaxial layer structure 102 will be patterned to form fins extending from the substrate 100. The fins will in turn be used to form p-type FinFETs and/or n-type FinFETs. Alternating ones of the alternating eptitaxial layers may be removed from channel regions of one conductivity type of fins, such as the n-type fins, thereby forming a nanowire structure extending between the source and drain regions. The alternating layers may be utilized for exerting stress in the channel region for another conductivity type of fins, such as a p-type fin. The embodiments described in this embodiment illustrate processes and materials that may be used to form nanowire structures with a GAA design for n-type FinFETs and stressed alternating layers for p-type FinFETs. However, other types of materials may be selected such that nanowires with GAA may be used to form p-type FinFETs and stressed alternating layers may be utilized for n-type FinFETs.
  • For example, in some embodiments the alternating epitaxial layer structure 102 may include alternating layers of a first epitaxial layer 102 a and a second epitaxial layer 102 b (collectively referred to as the alternating epitaxial layer structure 102), wherein the alternating epitaxial layer structure 102 may include any number of alternating layers from one layer of each of the first epitaxial layer 102 a and a second epitaxial layer 102 b to many layers (e.g., 2, 4, 6, or more) of each of the first epitaxial layer 102 a and the second epitaxial layer 102 b. In an embodiment in which nanowires are to be formed for n-type transistors, the first epitaxial layer 102 a may be a silicon germanium layer and the second epitaxial layer 102 b may be a silicon layer, wherein the silicon layer will form nanowires for the n-type FinFETs and the silicon germanium layers will act as the channel regions while the silicon layers act as stressors for the p-type FinFETs.
  • In some embodiments the silicon germanium layers are grown by a low pressure chemical vapor deposition (LPCVD) process performed at a temperature of about 400° C. to about 800° C. and under a pressure of about 1 to about 200 Torr, using SiH2Cl2 or SiH4, GeH4, and HCl, B2H6, or H2 as reaction gases. The silicon layers are grown by an LPCVD process performed at a temperature of about 400° C. to about 750° C. and under a pressure of about 10 to about 200 Torr, using SiH2Cl2 or SiH4 as reaction gases. In some embodiments the first epitaxial layer 102 a and the second epitaxial layer 102 b are each formed to a thickness from about 5 nm to about 10 nm. Thickness such as these allow the silicon layers to exert a compressive stress to the silicon germanium layers without allowing a surface of the silicon germanium layers to become relaxed, thereby improving the electrical performance of the p-type FinFETs. As mentioned above, these processes may be repeated any number of times to obtain the desired number of layers, which corresponds to the number of nanowires and channel regions.
  • FIG. 2 illustrates the device after forming a masking layer 206 over the alternating epitaxial layer structure 102 in accordance with some embodiments. As an example, the masking layer 206 may comprise a first dielectric layer 206 a, a second dielectric layer 206 b, a third dielectric layer 206 c, a polysilicon layer 206 d, an advanced patterning film (APF) 206 e, and a bottom anti-reflective coating (BARC) 206 f, although different materials, layers, number of layers, or the like may be used. Generally, the first dielectric layer 206 a, the second dielectric layer 206 b, and third dielectric layer 206 c act as a hardmask while the polysilicon layer 206 d, the APF 206 e and the BARC 206 f in combination will be used to pattern the hardmask and for critical dimension control in order to obtain and control the desired dimensions of the patterning of the hardmask, e.g., the first dielectric layer 206 a, the second dielectric layer 206 b, and third dielectric layer 206 c. The thickness of each layer may be adjusted such that each of the layers has sufficient thickness during the respective etching processes (discussed below) to protect the underlying material. The following materials are provided as an example and are not meant to limit the disclosure.
  • The first dielectric layer 206 a may be deposited over the alternating epitaxial layer structure 102. The first dielectric layer 206 a may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, a polymer such as polyimide, combinations of these, or the like. The first dielectric layer 206 a may be deposited through a process such as chemical vapor deposition (CVD), or a spin-on-glass process, although any acceptable process may be utilized to form the first dielectric layer 206 a to a thickness between about 20 Å to about 80 Å. In some embodiments, the first dielectric layer 206 a may be used as an etch stop layer (ESL) for subsequent processes.
  • The second dielectric layer 206 b may be deposited over the first dielectric layer 206 a. The second dielectric layer 206 b may be formed of similar materials and similar processes as the first dielectric layer 206 a, although the first dielectric layer 206 a and the second dielectric layer 206 b need not be the same material. In some embodiments, the second dielectric layer 206 b may be formed to a thickness between about 300 Å to about 500 Å.
  • The third dielectric layer 206 c may be deposited over the second dielectric layer 206 b. The third dielectric layer 206 c may be used as an ESL for subsequent processes and may be formed of similar materials and similar processes as the first dielectric layer 206 a and the second dielectric layer 206 b, although the first dielectric layer 206 a, the second dielectric layer 206 b, and the third dielectric layer 206 c need not be the same materials. In an embodiment, the third dielectric layer 206 c may be formed to a thickness between about 300 Å to about 700 Å. In some embodiments, the first dielectric layer 206 a, the second dielectric layer 206 b, and the third dielectric layer 206 c may be a single dielectric layer rather than three separate layers.
  • In some embodiments the first dielectric layer 206 a, the second dielectric layer 206 b, and the third dielectric layer 206 c comprise an oxide-nitride-oxide (ONO) layer, wherein the first dielectric layer 206 a is an oxide (e.g., silicon oxide), the second dielectric layer 206 b is a nitride (e.g., silicon nitride), and the third dielectric layer 206 c is an oxide (e.g., silicon oxide).
  • The polysilicon layer 206 d may be formed by depositing poly-silicon by LPCVD to a thickness in the range of about 50 Å to about 500 Å. The APF 206 e may comprise amorphous carbon formed by a CVD process, although other suitable materials and methods of formation may alternatively be utilized. In some embodiments, the APF 206 e is formed to a thickness of between about 50 Å and about 250 Å. Other thicknesses and materials may be used.
  • The BARC 206 f may be formed over the APF 206 e to aid in a subsequent photolithographic process to pattern an overlying layer (not shown), such as the patterned photoresist layer. The BARC 206 f may comprise SiON, a polymer, the like, or a combination thereof and may be formed by CVD, a spin-on process, the like, or a combination thereof. The BARC 206 f has a thickness sufficient to provide sufficient antireflective qualities based upon the materials and the wavelength. In an embodiment, the BARC layer 206 f is formed to a thickness of between about 100 Å and about 2000 Å.
  • FIG. 3 illustrates the device after a patterning process is performed to form trenches 312 in accordance with some embodiments. In some embodiments, photolithography techniques are utilized to pattern the masking layer 206. Generally, a photoresist material (not shown) is deposited over the masking layer 206. The photoresist material is irradiated (exposed) to energy, e.g. light, through a patterned reticle in order to induce a reaction in those portions of the photoresist material exposed to the energy. The photoresist material is developed to remove a portion of the photoresist material, wherein the remaining photoresist material protects the underlying material from subsequent processing steps, such as etching.
  • As shown in FIG. 3, the patterning process forms trenches 312 through the alternating epitaxial layer structure 102 and into the substrate 100. The remaining regions of the alternating epitaxial layer structure 102 and the underlying substrate 100 form fins, such as a first fin 310 a and a second fin 310 b (collectively referred to as fins 310). As discussed in greater detail below, the first fin 310 a will act as a fin of a p-type FinFET and the second fin 310 b will be used to form nanowires for an n-type FinFET.
  • Referring now to FIG. 4, shallow trench isolations (STIs) 412 are formed in the trenches 312 between adjacent fins 310 in accordance with some embodiments. Prior to forming the STIs 412, one or more liners (collectively referred to as a liner 414) are formed over the substrate 100 and sidewalls of the fins 310. In some embodiments, the liner 414 has a single layer structure with a thickness between about 10 Å and about 50 Å. In other embodiments, the liner 414 has a bilayer structure comprising a first liner sub-layer 414 a and a second liner sub-layer 414 b as illustrated in FIG. 4. In some embodiments, the first liner sub-layer 414 a comprises silicon oxide and has a thickness between about 5 Å and about 20 Å, and the second liner sub-layer 414 b comprises silicon nitride and has a thickness between about 5 Å and about 30 Å. The liner 414 may be deposited through one or more processes such as physical vapor deposition (PVD), CVD, or atomic layer deposition (ALD), although any acceptable process may be utilized. Other materials and/or processes may be used.
  • The STIs 412 may be made of suitable dielectric materials such as silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, a polymer such as polyimide, combinations of these, or the like. In some embodiments, the STIs 412 are formed through a process such as CVD, flowable CVD (FCVD), or a spin-on-glass process, although any acceptable process may be utilized. Subsequently, portions of the STIs 412 extending over the top surfaces of the fins 310, and portions of the liner 414 over the top surfaces of the fins 310 are removed using, for example, an etch process, chemical mechanical polishing (CMP), or the like.
  • FIG. 5 illustrates recessing of the STIs 412 and the liner 414 to expose sidewalls of the fins 310 in accordance with some embodiments. In some embodiments, the STIs 412 and the liner 414 are recessed using one or more selective etch processes utilizing the fins 310 as an etch mask. For example, the STIs 412 and the liner 414 are recessed using a single etch processes. In alternative embodiments, the STIs 412 and the liner 414 are recessed using a multiple etch processes. For example, the STIs 412 are recessed using a first etch process utilizing the fins 310 and the liner 414 as an etch mask, and subsequently, the liner 414 is recessed using a second etch process.
  • A depth of the recess is determined by a height of the alternating epitaxial layer structure 102. As explained in greater detail below, the first epitaxial layers 102 a will be removed. Accordingly, the depth of the recess is such that the bottommost first epitaxial layer 102 a is exposed, thereby allowing the bottommost, as well as the others, first epitaxial layer 102 a to be removed using an etch process.
  • Referring to FIG. 6, a dummy gate dielectric layer 618 and a dummy gate electrode layer 620 are formed over the exposed fins 310 in accordance with some embodiments. The dummy gate dielectric layer 618 and the dummy gate electrode layer 620 will be subsequently patterned to form a dummy gate stack, which will be used to define and form the source/drain regions. The dummy gate stack will then be removed to allow processing to be performed to the fins in the channel region, and a gate stack will be formed over the channel region.
  • In some embodiments, the dummy gate dielectric layer 618 is formed over the exposed fins 310. The dummy gate dielectric layer 618 may be formed by thermal oxidation, CVD, sputtering, or any other methods known and used in the art for forming a dummy gate dielectric layer. In some embodiments, the dummy gate dielectric layer 618 may be formed of a same material as the STIs 412. In other embodiments, the dummy gate dielectric layer 618 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, a polymer such as polyimide, the like, or a combination thereof. In other embodiments, the dummy gate dielectric layer 618 includes dielectric materials having a high dielectric constant (k value), for example, greater than 3.9. The materials may include silicon nitrides, oxynitrides, metal oxides such as HfO2, HfZrOx, HfSiOx, HfTiOx, HfAlOx, the like, or combinations and multi-layers thereof.
  • Subsequently, the dummy gate electrode layer 620 is formed over the dummy gate dielectric layer 618. In some embodiments, the dummy gate electrode layer 620 is a conductive material and may be selected from a group comprising polycrystalline-silicon (poly-Si), polycrystalline silicon-germanium (poly-SiGe), metallic nitrides, metallic silicides, metallic oxides, and metals. In an embodiment, the dummy gate electrode layer 620 may be deposited by PVD, CVD, sputter deposition, or other techniques known and used in the art for depositing conductive materials. Other materials, conductive and non-conductive, may be used. The top surface of the dummy gate electrode layer 620 usually has a non-planar top surface and may be planarized after it is deposited.
  • Also shown in FIG. 6 is a hardmask layer 622 formed over the dummy gate electrode layer 620. The hardmask layer 622 comprises one or more masking layers and will be used to pattern the dummy gate electrode layer 620 to form a dummy gate electrode. The hardmask layer 622 may comprise one or more patterning layers. In some embodiments, the hardmask layer 622 comprises a first hardmask layer 622 a and a second hardmask layer 622 b. The first hardmask layer 622 a may be an oxide layer (e.g., silicon oxide) and the second hardmask layer 622 b may be a nitride (e.g., silicon nitride). The first hardmask layer 622 a and the second hardmask layer 622 b may be deposited through a process such as CVD, or a spin-on-glass process, although any acceptable process may be utilized. The first hardmask layer 622 a may have a thickness from about 10 Å to about 50 Å and the second hardmask layer 622 b may have a thickness from about 150 Å to about 850 Å. FIG. 7 illustrates patterning of the dummy gate electrode layer 620 and the dummy gate dielectric layer 618 to form a dummy gate electrode 720 and a dummy gate dielectric 718. The dummy gate electrode 720 and the dummy gate dielectric 718 collectively form a dummy gate stack 726.
  • Referring now to FIGS. 8A and 8B, a spacer layer 826 is deposited over the dummy gate stacks 726, the fins 310, and the STIs 412. FIG. 8B illustrates a cross-section perpendicular to the source/drain regions between adjacent ones of the dummy gate stacks 726 as illustrated by the 8B-8B line in FIG. 8A. In some embodiments, spacer layer 826 is formed of silicon nitride, and may have a single-layer structure. In alternative embodiments, the spacer layer may have a composite structure including a plurality of layers. For example, the spacer layer may include a silicon oxide layer and a silicon nitride layer over the silicon oxide layer.
  • Referring now to FIG. 9, the spacer layer 826 (see FIGS. 8A and 8B) is patterned to form sidewall spacers 828 along sidewalls of the dummy gate stack 726 in accordance with some embodiments. In some embodiments, an anisotropic etch process is utilized to remove the spacer layer over horizontal portions of the device and along sidewalls of the dummy gate stacks 726. Due to the difference in the thicknesses of the spacer layer 826 over horizontal portions of the device and along sidewalls of the fins 310, the spacer layer 826 remains along sidewalls of the dummy gate stacks 726 while the fins 310 are exposed in the source/drain regions as illustrated in FIG. 9.
  • FIG. 10 illustrates epitaxial first source/drain regions 930 and second source/drain regions 932 formed on exposed portions of the first fin 310 a and the second fin 310 b, respectively, along opposing sides of the dummy gate stack 726 in accordance with some embodiments. The use of epitaxial grown materials in the source/drain regions allows for the source/drain regions to exert stress in the channel regions, in addition to the stress caused by the alternating epitaxial layer structure 102. The materials used for the first source/drain regions 930 and the second source/drain regions 932 may be varied for the n-type and p-type FinFETs, such that one type of material is used for the n-type FinFETs to exert a tensile stress in the channel region and another type of material for the p-type FinFETs to exert a compressive stress. For example, SiP or SiC may be used to form n-type FinFETs, and SiGe or Ge may be used to form p-type FinFETs. Other materials may be used.
  • In embodiments in which different materials are utilized for the n-type devices and the p-type devices, it may be desirable to mask one (e.g., the n-type fins) while forming the epitaxial material on the other (e.g., the p-type fins), and repeating the process for the other. The first source/drain regions 930 and the second source/drain regions 932 may be doped either through an implanting process to implant appropriate dopants, or by in-situ doping as the material is grown. In some embodiments, the first source/drain regions 930 are formed of SiGe or Ge doped with boron (B) to form a p-type FinFET device, and the second source/drain regions 932 are formed of SiC or SiP doped with phosphorus (P) to form an n-type FinFET device.
  • Although FIG. 10 only illustrates the first source/drain regions 930 and the second source/drain regions 932 on one side of the dummy gate stacks 726, the first source/drain regions 930 and the second source/drain regions 932 on the opposite sides of the dummy gate stacks have a similar structural configuration.
  • Next, as shown in FIG. 11, a first inter-layer dielectric (ILD) 1136 is formed over the structure illustrated in FIG. 10. It should be noted that FIGS. 1-10 cross sections are taken through the source/drain regions (except where otherwise noted) to illustrate the formation of the source/drain regions. FIGS. 11-25 are directed toward processing steps performed on the channel regions, and as such, FIGS. 11-25 are taken along the gate electrode illustrated by the A-A line in FIG. 10.
  • In some embodiments, a protective layer 1138 may be conformally deposited over the first source/drain regions 930 and the second source/drain regions 932 to protect the first source/drain regions 930 and the second source/drain regions 932 during the subsequent formation of contacts through the first ILD 1136 to the first source/drain regions 930 and the second source/drain regions 932. In some embodiments, the protective layer 1138 has a bilayer structure comprising a first protective sub-layer 1138 a and a second protective sub-layer 1138 b as illustrated in FIG. 11. In some embodiments, the first protective sub-layer 1138 a comprises silicon oxide and has a thickness between about 10 Å and about 30 Å, and the second protective sub-layer 1138 b comprises silicon nitride and has a thickness between about 20 Å and about 60 Å. The protective layer 1138 may be deposited through one or more processes such as PVD, CVD, or ALD, although any acceptable process may be utilized. Other materials and/or processes may be used.
  • In some embodiments, the first ILD 1136 may comprise silicon oxide, silicon nitride, the like, or a combination thereof. The first ILD 1136 may be formed by CVD, a high density plasma (HDP), the like, or a combination thereof. Subsequently, the first ILD 1136 may be planarized to be substantially coplanar with top surfaces of the dummy gate electrode 720. In an embodiment, the first ILD 1136 is planarized by using, for example, a CMP to remove portions of the first ILD 1136. In other embodiments, other planarization techniques may be used, such as etching.
  • In some embodiments, the first ILD 1136 is recessed, and protective layer 1140 is deposited, followed by a planarization step, resulting in the structure illustrated in FIG. 11. Protective layer 1140 may comprise silicon nitride (Si3N4), which protects first ILD 1136 and the underlying structures in the subsequent process steps.
  • FIG. 12 illustrates a cross-sectional view of the structure in FIG. 11 along the dummy gate electrode 720. For ease of illustration, FIGS. 12-23 are shown in cross-sectional view to better and more clearly illustrate the processing of the channel region.
  • FIG. 13 illustrates formation of a patterned mask 1360 over the second fin 310 b in accordance with some embodiments. As will be discussed in greater detail below, the channel regions of the first fin 310 a and the second fin 310 b will be processed separately. In particular, in some embodiments the first fin 310 a will form p-type FinFET device and the first fins 310 a are processed to thin or recess the second epitaxial layer 102 b, and the second fins 310 b are processed to remove the first epitaxial layer 102 a. The patterned mask 1360 may be formed of any suitable masking material exhibiting a sufficient etch selectivity to protect the underlying layers during the etch process. For example, in some embodiments the patterned mask 1360 comprises a layer of silicon nitride with a thickness between about 10 Å and about 100 Å. In other embodiments, the patterned mask 1360 has a silicon oxide with a thickness between about 5 Å and about 50 Å, and a silicon nitride layer over the silicon oxide layer with a thickness between about 5 Å and about 50 Å. The patterned mask 1360 may be deposited through one or more processes such as PVD, CVD, or ALD, although any acceptable process may be utilized, and patterned using photolithography techniques. Other materials and/or processes may be used.
  • Thereafter, an etch process is performed to partially remove the dummy gate electrode 720 over the first fin 310 a (e.g., the fin for the p-type device) as illustrated in FIG. 14 in accordance with some embodiments. In embodiments in which a p-type device of alternating layers of silicon and silicon germanium is being formed, the silicon germanium layers act as the channel region in which current flows in the p-type device. As such, it may be desirable for the uppermost layer to be that of silicon germanium (e.g., the current carrying layer), thereby allowing the subsequently formed overlying gate electrode to interact better with the uppermost layer, and in these embodiments, it may be desirable to remove a top layer of the first fin 310 a (e.g., the uppermost second epitaxial layer 102 b, silicon in this example). For example, in an embodiment in which the alternating epitaxial layer structure 102 comprises alternating layers of silicon germanium for the first epitaxial layer 102 a and silicon for the second epitaxial layer 102 b, it may be desirable for the uppermost layer of the first fin 310 a be a layer of silicon germanium.
  • In some embodiments, the dummy gate electrode 720 is recessed by an etch process that is selective to the material of the dummy gate electrode 720. For example, if the dummy gate electrode 720 comprises polysilicon, a dry etch using NF3, SF6, Cl2, HBr, the like, or a combination thereof or a wet etch using NH4OH, tetramethylammonium hydroxide (TMAH), the like, or a combination thereof may be used to remove the dummy gate electrode 720. As illustrated in FIG. 14, the dummy gate electrode 720 is recessed to a depth such that the uppermost second epitaxial layer 102 b extends above a bottom of the recess.
  • FIG. 15 illustrates the removal of the dummy gate dielectric 718 over the uppermost second epitaxial layer 102 b and FIG. 16 illustrates removal of the uppermost second epitaxial layer 102 b, in accordance with some embodiments. In embodiments in which the dummy gate dielectric 718 comprises silicon oxide, wet etch using a diluted HF acid may be used to remove the exposed portions of the dummy gate dielectric layer 618. In embodiments in which the second epitaxial layer 102 b comprises silicon, a wet etch using a tetramethylammonium hydroxide (TMAH) solution may be used to remove the second epitaxial layer 102 b. Other processes and materials may be used.
  • FIG. 17 illustrates the resulting structure after removal of the remainder of the dummy gate electrode 720 over the first fin 310 a in accordance with some embodiments. The etch process described above may be used to remove the remainder of the dummy gate electrode 720 over the first fin 310 a.
  • Referring now to FIG. 18, the dummy gate dielectric 718 (see FIG. 17) is removed from along the sidewalls of the first fin 310 a in accordance with some embodiments. As discussed above, the second epitaxial layers 102 b are thinned or recessed. Accordingly, the dummy gate dielectric 718 is removed to expose the second epitaxial layers 102 b. In embodiments in which the dummy gate dielectric 718 comprises silicon oxide, wet etch using a diluted HF acid may be used to remove the exposed portions of the dummy gate dielectric 718.
  • FIG. 19 illustrates recessing of the second epitaxial layers 102 b in the first fin 310 a in accordance with some embodiments. In embodiments in which the first epitaxial layers 102 a are formed of silicon germanium and the second epitaxial layers 102 b are formed of silicon, the second epitaxial layers 102 b may be recessed using a wet etch using a tetramethylammonium hydroxide (TMAH) solution. Other processes and materials may be used.
  • A width W1 of the first epitaxial layers 102 a and a width W2 of the second epitaxial layers 102 b may be adjusted such that sufficient gate control is achieved on the first epitaxial layers 102 a as well as a desired stress applied to the first epitaxial layers 102 a from the second epitaxial layers 102 b. In some embodiments, the width W1 of the first epitaxial layers 102 a is from about 5 nm to about 10 nm, and the width W2 of the second epitaxial layers 102 b is from about 1 nm to about 7 nm.
  • Referring now to FIG. 20, the first fin 310 a is protected by a protective mask 2062 in accordance with some embodiments. The protective mask 2062 protects the first fin 310 a while processing is performed on the second fin 310 b. In this example, the first fin 310 a will form a p-type FinFET having alternating layers of epitaxial material, while the second fin 310 b will be processed to remove the first epitaxial layers 102 a to form nanowires of the second epitaxial layers 102 b. In some embodiments, the protective mask 2062 is a silicon oxide material formed through a process such as CVD, flowable CVD (FCVD), or a spin-on-glass process, although any acceptable process may be utilized. Optionally, a CMP process or other planarizing process may be performed to remove material over the patterned mask 1360. Other materials and processes may be used.
  • Thereafter, as illustrated in FIG. 21, the patterned mask 1360 and the dummy gate electrode 720 over the second fin 310 b may be removed in accordance with some embodiments. In some embodiments in which the patterned mask 1360 comprises silicon nitride, the patterned mask 1360 may be removed using H3PO4. The dummy gate electrode 720 may be removed using similar processes as discussed above.
  • FIGS. 22 and 23 illustrate the removal of the dummy gate dielectric 718 over the second fin 310 b and the subsequent removal of the first epitaxial layers 102 a in accordance with some embodiments. The removal of the dummy gate dielectric 718 exposes the second fin 310 b, thereby allowing removal of the first epitaxial layer 102 a. The dummy gate dielectric 718 over the second fin 310 b may be removed using similar processes and materials as the removal of the dummy gate dielectric 718 over the first fin 310 a discussed above.
  • In embodiments in which the first epitaxial layers 102 a are formed of silicon germanium and the second epitaxial layers 102 b are formed of silicon, the first epitaxial layers 102 a may be removed using an etchant that etches the silicon germanium at a higher rate than the silicon, such as NH4OH:H2O2:H2O (ammonia peroxide mixture, APM), H2SO4+H2O2 (sulfuric acid peroxide mixture, SPM), or the like. This etching process removes the first epitaxial layers 102 a, thereby forming nanowires 2264.
  • Optionally, a rounding process may be performed to obtain rounded nanowires as illustrated in FIG. 23. The rounding process may be performed, for example, using a thermal oxidation process at a temperature of about 300° C. to about 700° C. in an ambient of O2 and a pressure of about 0.5 torr to about 20 torr. The oxidation layer may be removed using HF or annealing in an ambient of H2 at a temperature from about 250° C. to about 600° C. with a pressure from about 1 torr to about 100 torr to expose the underlying semiconductor material. In some embodiments, a width W3 of the second epitaxial layers 102 b prior to removal of the first epitaxial layers 102 a is from about 5 nm to about 10 nm, and a W4 of the second epitaxial layers 102 b after rounding is from about 1 nm to about 7 nm.
  • FIGS. 24A and 24B illustrate formation of an interfacial layer 2466 formed along a surface of the first fin 310 a and the nanowires 2264 in accordance with some embodiments. FIG. 24A is a perspective view and FIG. 24B is a cross-sectional view taken along the 24B-24B line of FIG. 24A. The interfacial layer 2466 helps buffer a subsequently formed high-k dielectric layer from the underlying semiconductor material. In some embodiments, the interfacial layer 2466 is a chemical silicon oxide, which may be formed of chemical reactions. For example, a chemical oxide may be formed using deionized water+ozone (DIO3), NH4OH+H2O2+H2O (APM), or other methods. Other embodiments may utilize a different material or processes for the interfacial layer 2466. In an embodiment, the interfacial layer 2466 may have a thickness of about 3 Å to about 7 Å.
  • A gate dielectric layer 2468 is formed on the interfacial layer 2466. In an embodiment, the gate dielectric layer 2468 includes one or more high-k dielectric layers (e.g., having a dielectric constant greater than 3.9). For example, the one or more gate dielectric layers may include one or more layers of a metal oxide or a silicate of Hf, Al, Zr, combinations thereof, and multi-layers thereof. Other suitable materials include La, Mg, Ba, Ti, Pb, Zr, in the form of metal oxides, metal alloyed oxides, and combinations thereof. Exemplary materials include MgOx, BaTixOy, BaSrxTiyOz, PbTixOy, PbZrxTiyOz, and the like. The formation methods of gate dielectric layer 2468 include molecular-beam deposition (MBD), ALD, PVD, and the like. In an embodiment, the gate dielectric layer 2468 may have a thickness of about 3 Å to about 30 Å.
  • FIGS. 24A and 24B further illustrate a gate electrode 2470 formed over the gate dielectric layer 2468. The gate electrode 2470 may be a metal selected from a group of W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, and Zr. In an alternative embodiment, the gate electrode 2470 comprises a metal selected from a group of TiN, WN, TaN, and Ru. In the present embodiment, the gate electrode 2470 has a thickness in the range of about 5 nm to about 100 nm. The gate electrode 2470 may be formed using a suitable process such as ALD, CVD, PVD, plating, or combinations thereof. A planarization process, such as a CMP, may be performed to remove excess materials.
  • In FIGS. 25 and 26, one or more dielectric layers, such as an etch stop layer 2568 and a second ILD 2570, is formed over the structure, and contacts 2572 are formed through various dielectric layers to various components. The second ILD 2570 may comprise silicon oxide, TEOS, PSG, BPSG, FSG, SiOxCy, Spin-On-Glass, Spin-On-Polymers, silicon carbon material, compounds thereof, composites thereof, combinations thereof, or the like, formed by any suitable method, such as CVD, PECVD, spinning, the like, or a combination thereof. A planarization process, such as a CMP, can be performed to planarize the second ILD 2570.
  • Openings for the contacts 2572 can be formed using photolithography techniques and one or more etching steps. A liner 2574, such as a diffusion barrier layer, an adhesion layer, or the like, and a conductive material 2674 are formed in the openings. The liner may include titanium, titanium nitride, tantalum, tantalum nitride, or the like formed by ALD, CVD, or the like. The conductive material may be copper, a copper alloy, silver, gold, tungsten, aluminum, nickel, or the like formed by ALD, CVD, PVD, or the like. A planarization process, such as a CMP, may be performed to remove excess material from a surface of the second ILD 2570.
  • Other embodiments may utilize different materials and/or shapes for the n-type FinFET and/or the p-type FinFET. For example, FIGS. 27A-27D illustrate various other embodiments that may be used for the n-type FinFET, other than the nanowire structure discussed above with reference to FIGS. 1-26, and FIGS. 28A-28D illustrate various other embodiments that may be used for the p-type FinFET discussed above with reference to FIGS. 1-26. It is noted that FIGS. 27A-28D illustrate a perspective view of the channel region and a source/drain region.
  • Embodiments such as those illustrated in FIGS. 27A-28D begin with processes similar to those discussed above with reference to FIG. 1, except a strain relaxed buffer (SRB) 2710 is formed prior to forming the alternating epitaxial layer structure 102, and the alternating epitaxial layer structure 102 is formed over the SRB 2710. In some embodiments, the SRB 2710 comprises a Si0.75Ge0.25 and may be grown by an LPCVD process performed at a temperature of about 400° C. to about 800° C. and under a pressure of about 1 to about 200 Torr, using SiH2Cl2 or SiH4, GeH4, and HCl, B2H6, or H2 as reaction gases.
  • Thereafter, the alternating epitaxial layer structure 102 may be formed over the SRB 2710. In some embodiments, the first epitaxial layer 102 a comprises Si0.5Ge0.5 grown by an LPCVD process performed at a temperature of about 400° C. to about 800° C. and under a pressure of about 1 to about 200 Torr, using SiH2Cl2 or SiH4, GeH4, and HCl, B2H6, or H2 as reaction gases. The second epitaxial layer 102 b comprises silicon, which may be grown by an LPCVD process performed at a temperature of about 400° C. to about 750° C. and under a pressure of about 10 to about 200 Torr, using SiH2Cl2 or SiH4 as reaction gases. In these embodiments, the second epitaxial layer 102 b has a larger lattice constant than the first epitaxial layer 102 a, which has a larger lattice constant than the SRB 2710.
  • For the n-type FinFET structures, the silicon layers act as the channel region for the current flow between source and drain regions, and the Si0.5Ge0.5 causes the Si layers to be under a tensile strain, thereby increasing the efficiency of the n-type FinFET structures.
  • For the p-type FinFET structures, the Si0.5Ge0.5 layers act as the channel region for the current flow between source and drain regions, and the Si layers causes the Si0.5Ge0.5 layers to be under a compressive strain, thereby increasing the efficiency of the p-type FinFET structures.
  • Processes similar to those discussed above with reference to FIGS. 2-9 may be performed, wherein the trenches 312 (see FIG. 3) may extend at least partially into the SRB 2710, and may extend to the underlying substrate 100. As noted above, other materials may be used to form the epitaxial first source/drain regions 930 and the epitaxial second source/drain regions 932. For example, the material used to form the second source/drain regions 932 for n-type FinFET devices in FIGS. 27A-27D may comprise a SiGeP, which may be grown by an LPCVD process performed at a temperature of about 400° C. to about 800° C. and under a pressure of about 10 to about 200 Torr, using SiH4 or Si2H2Cl2, GeH4 or Ge2H2Cl2, and PH3(phosphine) as reaction gases. The material used to form the first source/drain regions 930 for p-type FinFET devices in FIGS. 28A-28D may comprise a GeSn, which may be grown by an LPCVD process performed at a temperature of about 400° C. to about 700° C. and under a pressure of about 10 to about 200 Torr, using GeH4, SnCl4 as reaction gases. Thereafter, similar processes may be performed as described above with reference to FIGS. 11-26 wherein the etching processes described below is utilized to obtain the desired shapes.
  • The embodiments illustrated in FIGS. 27A and 28A utilize first epitaxial layers 102 a and second epitaxial layers 102 b having similar shapes and sizes. In other embodiments, the first epitaxial layers 102 a and the second epitaxial layers 102 b may have different shapes. For example, FIGS. 27B-27D illustrate various embodiments that utilize partially etched first epitaxial layers 102 a, and FIGS. 28B-28D illustrate various embodiments that utilize partially etched second epitaxial layers 102 b.
  • Referring now to FIG. 27B, in embodiments in which the first epitaxial layers 102 a are Si0.5Ge0.5 and the second epitaxial layers 102 b are Si, the first epitaxial layers 102 a may be partially etched to form a “V” shaped recess in sidewalls of the first epitaxial layers 102 a. In this embodiment, the second epitaxial layers 102 b (e.g., the silicon layers) exhibit a (001) crystal orientation along a top surface and a (110) crystal orientation along sidewalls. Etching with a diluted APM or SPM solution at a temperature of about 5° C. to about 50° C. for about 5 seconds to about 100 seconds selectively etches the first epitaxial layers 102 a along the (111) crystal orientation, thereby providing a “V” shaped recess having a (111) crystal orientation.
  • Referring now to FIG. 27C, in embodiments in which the first epitaxial layers 102 a are Si0.5Ge0.5 and the second epitaxial layers 102 b are Si, the first epitaxial layers 102 a may be partially etched to form a “U” shaped recess in sidewalls of the first epitaxial layers 102 a. In this embodiment, the second epitaxial layers 102 b (e.g., the silicon layers) exhibit a (001) crystal orientation along a top surface and a (110) crystal orientation along sidewalls. Performing a dry etch process with HCl or Cl2 gas at a temperature of about 20° C. to about 100° C. and under a pressure of about 5 to about 50 Torr for about 10 seconds to about 100 seconds selectively etches the first epitaxial layers 102 a, thereby providing a “U” shaped recess having a (111) crystal orientation.
  • Referring now to FIG. 27D, in embodiments in which the first epitaxial layers 102 a are Si0.5Ge0.5 and the second epitaxial layers 102 b are Si, the first epitaxial layers 102 a may be uniformly etched to form a recess in sidewalls of or thin the first epitaxial layers 102 a. In this embodiment, the second epitaxial layers 102 b (e.g., the silicon layers) exhibit a (110) crystal orientation along a top surface and a (111) crystal orientation along sidewalls. Etching using APM or SPM solution at a temperature of about 5° C. to about 50° C. for about 5 seconds to about 100 seconds selectively etches the first epitaxial layers 102 a, thereby providing a recessed surface having a (111) crystal orientation.
  • Referring now to FIG. 28B, in embodiments in which the first epitaxial layers 102 a are Si0.5Ge0.5 and the second epitaxial layers 102 b are Si, the second epitaxial layers 102 b may be partially etched to form a “V” shaped recess in sidewalls of the second epitaxial layers 102 b. In this embodiment, the second epitaxial layers 102 b (e.g., the silicon layers) exhibit a (001) crystal orientation along a top surface and the second epitaxial layers 102 b exhibit a (110) crystal orientation along sidewalls. Etching with a TMAH or NH4OH at a temperature of about 5° C. to about 50° C. for about 5 seconds to about 100 seconds selectively etches the second epitaxial layers 102 b along the (111) crystal orientation, thereby providing a “V” shaped recess having a (111) crystal orientation.
  • Referring now to FIG. 28C, in embodiments in which the first epitaxial layers 102 a are Si0.5Ge0.5 and the second epitaxial layers 102 b are Si, the second epitaxial layers 102 b may be partially etched to form a “U” shaped recess in sidewalls of the second epitaxial layers 102 b. In this embodiment, the second epitaxial layers 102 b (e.g., the silicon layers) exhibit a (001) crystal orientation along a top surface and the first epitaxial layers 102 a exhibits a (110) crystal orientation along sidewalls. Performing a dry etch process with HCl or Cl2 gas at a temperature of about 20° C. to about 100° C. and under a pressure of about 5 to about 50 Torr for about 5 seconds to about 100 seconds selectively etches the second epitaxial layers 102 b, thereby providing a “U” shaped recess.
  • Referring now to FIG. 28D, in embodiments in which the first epitaxial layers 102 a are Si0.5Ge0.5 and the second epitaxial layers 102 b are Si, the first epitaxial layers 102 a may be uniformly etched to form a recess in sidewalls of or thin the first epitaxial layers 102 a. In this embodiment, the second epitaxial layers 102 b (e.g., the silicon layers) exhibit a (110) crystal orientation along a top surface and the first epitaxial layers 102 a exhibit (111) crystal orientation along sidewalls. Etching using TMAH or NH4OH at a temperature of about 5° C. to about 50° C. for about 5 seconds to about 100 seconds selectively etches the first epitaxial layers 102 a, thereby providing a recessed surface having a (111) crystal orientation.
  • FIGS. 29A and 29B illustrate embodiments in which upper layers of the alternating epitaxial layer structure 102 are separated from the SRB 2710 in the channel region in accordance with some embodiments. In particular, FIG. 29A illustrates an embodiment in which the bottommost first epitaxial layer 102 a is completely removed, and FIG. 29B illustrates an embodiment in which a middle portion of the bottommost first epitaxial layer is thinned until the upper layers of the alternating epitaxial layer structure 102 is completely separated from the SRB 2710 in the channel region.
  • In some embodiments, such as, for example, when the SRB 2710 comprises Si0.3Ge0.7, the first epitaxial layer 102 a comprises Si0.5Ge0.5, and the second epitaxial layer 102 b comprises Ge, it may be desirable to remove the one or more layers of the alternating epitaxial layer structure 102, such as the lowermost first epitaxial layer 102 a as illustrated in FIG. 29A. Embodiments such as these may be particularly beneficial when forming an n-type FinFET.
  • In this embodiment, the Ge material has a larger lattice constant than the first epitaxial layer 102 a and the SRB 2710, and the SRB 2710 has a larger lattice constant than the first epitaxial layer 102 a. In an n-type device, the Si0.5Ge0.5 acts as a carrier for electrons in the channel region. Removing the bottommost first epitaxial layer 102 a relaxes the adjacent second epitaxial layer 102 b (Ge in this illustrated embodiment), and as a result, reduces the compressive stress or induces a tensile stress in the remaining second epitaxial layers 102 b.
  • FIGS. 30A-30F illustrate various intermediate process steps for removing the bottommost first epitaxial layer 102 a in accordance with some embodiments. FIGS. 30A-30F assume processes similar to those discussed above with reference to FIGS. 1-26, wherein like reference numerals refer to like elements. Referring now to FIG. 30A, it is assumed that processes discussed above with reference to FIGS. 1-4 have been performed. Whereas FIG. 5 illustrates an embodiment in which the STIs 412 are recessed such that the bottommost first epitaxial layer 102 a is exposed, the embodiment illustrated in FIG. 30A recesses the STIs 412 such that the bottommost first epitaxial layer 102 a is not exposed.
  • In some embodiments, an additional mask layer 3080 may be formed over the fins prior to forming dummy gate dielectric layer 618 as discussed above with reference to FIG. 6. The additional mask layer 3080 provides additional protection to the fins 310 during subsequent processing to remove the bottommost first epitaxial layer 102 a. In these embodiments, the additional mask layer 3080 may be a crystalline silicon cap layer or a silicon nitride layer formed over the fins 310.
  • Thereafter, processing such as that discussed above with reference to FIGS. 6-21 may be performed. For example, FIG. 30C illustrates the dummy gate electrode 720 formed over the fins 310 and formation of other structures as discussed above with reference to FIGS. 6-21.
  • FIG. 30D illustrates masking of the p-type first fin 310 a using a patterned mask 3072 in accordance with some embodiments. It is noted that FIGS. 30A-30E illustrate that the p-type first fin 310 a is masked for illustrative purposes, but it is understood that the p-type first fin 310 a may be processed in accordance with the other processes discussed herein, including those discussed above with reference to FIGS. 1-26. In some embodiments, the patterned mask may be formed of a silicon nitride, though other materials may be used
  • Turning now to FIG. 30E, exposed portions of the dummy gate electrode 720 is removed to expose the second fin 310 b and a surface of the STI 412, and FIG. 30F illustrates recessing the STIs 410 to expose the bottommost first epitaxial layer 102 a of the second fin 310 b. As illustrated in FIG. 30F, the additional mask layer 3080 protects the upper layers of the alternating epitaxial layer structure 102 while the bottommost first epitaxial layer 102 a is unprotected by the additional mask layer 3080. As such, the bottommost first epitaxial layer 102 a may be etched to separate the upper layers of the alternating epitaxial layer structure 102 from the SRB 2710, thereby relaxing or reducing the compressive stress of the remaining layers of the alternating epitaxial layer structure 102. FIG. 30G illustrates the removal of the bottommost first epitaxial layer 102 a in accordance with some embodiments.
  • The removal of the bottommost first epitaxial layer 102 a may be removed using any suitable process. For example, processes such as those discussed above with reference to FIG. 22 may be performed to completely remove the bottommost epitaxial layer 102 a as illustrated in FIG. 29A. As another example, processes such as those discussed above with reference to FIG. 27B may be performed for a longer time period to recess opposing sidewalls of the bottommost first epitaxial layer 102 a until the upper layers of the alternating epitaxial layer structure 102 are separated from the SRB 2710 as illustrated in FIG. 29B.
  • Embodiments illustrated in FIGS. 29A and 29B may be combined with embodiments such as those illustrated in FIGS. 27B-27D and FIGS. 28B-28D. For example FIG. 31A illustrates an embodiment in which the bottommost first epitaxial layer 102 a is removed as discussed above with reference to FIG. 29A and the remaining first epitaxial layers 102 a are etched to achieve a “V” shaped sidewall as discussed above with reference to FIG. 27B. Similarly, FIG. 31B illustrates an embodiment in which the bottommost first epitaxial layer 102 a is removed as discussed above with reference to FIG. 29A and the remaining first epitaxial layers 102 a are etched to achieve a “U” shaped sidewall as discussed above with reference to FIG. 27C, and FIG. 31C illustrates an embodiment in which the bottommost first epitaxial layer 102 a is removed as discussed above with reference to FIG. 29A and the remaining first epitaxial layers 102 a are etched to form recess sidewalls as discussed above with reference to FIG. 27D.
  • As further examples, FIG. 31D illustrates an embodiment in which the bottommost first epitaxial layer 102 a is notched as discussed above with reference to FIG. 29B and the remaining first epitaxial layers 102 a are etched to achieve a “V” shaped sidewall as discussed above with reference to FIG. 27B. Similarly, FIG. 31E illustrates an embodiment in which the bottommost first epitaxial layer 102 a is notched as discussed above with reference to FIG. 29B and the remaining first epitaxial layers 102 a are etched to achieve a “U” shaped sidewall as discussed above with reference to FIG. 27C, and FIG. 31F illustrates an embodiment in which the bottommost first epitaxial layer 102 a is notched as discussed above with reference to FIG. 29B and the remaining first epitaxial layers 102 a are etched to form recess sidewalls as discussed above with reference to FIG. 27D.
  • FIG. 31G illustrates an embodiment combining features of FIGS. 1-26 in which all of the first epitaxial layers 102 a are removed and a feature of FIG. 27A in which the alternating epitaxial layer structure 102 is formed over the SRB 2710. Relevant processes as those discussed above with reference the above figures may be used.
  • FIGS. 32A-32B illustrate various embodiments that may be used to form p-type devices using the materials discussed above with reference to FIGS. 29A and 29B, in accordance with some embodiments. As noted above, in some embodiments the SRB 2710 comprises Si0.3Ge0.7, the first epitaxial layer 102 a comprises Si0.5Ge0.5, and the second epitaxial layer 102 b comprises Ge. In these embodiments, the first source/drain regions for the p-type FinFET may comprise GeSn. Referring first to FIG. 32A, there is shown an embodiment in which all of the first epitaxial layers 102 a and the second epitaxial layers 102 b remain and sidewalls of neither the first epitaxial layers 102 a and the second epitaxial layers 102 b are thinned (e.g., “V” shaped, “U” shaped, or notched). In such an embodiment, the Ge material of the second epitaxial layers 102 b act as a carrier for holes in a p-type FinFET and will be under a compressive stress due to the smaller lattice constant of the Si0.5Ge0.5 of the first epitaxial layer 102 a and the Si0.3Ge0.7 of the SRB 2710.
  • FIGS. 32B-32D illustrate embodiments similar to FIGS. 28B-28D, except sidewalls of the first epitaxial layers 102 a are thinned in FIG. 32B-32D, rather than sidewalls of the second epitaxial layers 102 b of FIGS. 28B-28D. For example, FIGS. 28B-28D illustrate embodiments in which the first epitaxial layers 102 a comprise Si0.5Ge0.5 and the second epitaxial layers 102 b comprise Si. In a p-type device, the Si0.5Ge0.5 acts as the hole carrier and would be under a compressive stress due to the smaller lattice constant of the Si material of the second epitaxial layer 102 b. In FIGS. 30B-30D, the Ge material of the second epitaxial layers 102 b act as the hole carriers and are under a compressive stress due to the smaller lattice constant of the Si0.5Ge0.5 of the first epitaxial layers 102 a and the Si0.3Ge0.7 of the SRB 2710. Accordingly, the first epitaxial layers 102 a are thinned, thereby improving the gate control of the second epitaxial layers 102 b. Similar processes may be used to form the “V” shaped sidewall in the Si0.5Ge0.5 of the first epitaxial layers 102 a in FIG. 32B as discussed above with reference to the Si0.5Ge0.5 of the first epitaxial layers 102A in FIG. 28B. Similar processes may be used to form the “U” shaped sidewall in the Si0.5Ge0.5 of the first epitaxial layers 102 a in FIG. 32C as discussed above with reference to the Si0.5Ge0.5 of the first epitaxial layers 102A in FIG. 28C. Similar processes may be used to form the indented or notched sidewall in the Si0.5Ge0.5 of the first epitaxial layers 102 a in FIG. 32D as discussed above with reference to the Si0.5Ge0.5 of the first epitaxial layers 102A in FIG. 28D.
  • As will be appreciated, embodiments such as those discussed herein provide greater gate control by providing, for example nanowire structures and greater gate interaction with the current carrying layers. For example, the creation of the nanowire structures in the channel region allows a GAA structure and greater gate control. Similarly, using alternating layers of epitaxial materials allows for a greater stress (compressive or tensile) and thinning select layers allows for greater gate control as well. As such, similar materials may be used for both n-type and p-type devices that utilize different processing in the channel regions, thereby reducing manufacturing costs and processing time related to the growth of different epitaxial layers.
  • Furthermore, the use of the alternating epitaxial layer structure 102 provides an improvement in device performance. The alternating epitaxial layer structure 102 prevents or reduces strain relaxation by inserting alternating stress layers. By selecting materials such that the stress (compressive or tensile) is exerted on the layer that acts as the carrier (e.g., for holes or electrons), the stress in the current carrying layer may be controlled to a greater degree.
  • In an embodiment, a method of forming a semiconductor device is provided. The method includes forming a first fin and a second fin, each of the first fin and the second fin comprising an alternating epitaxial structure, the alternating epitaxial structure having a plurality of epitaxial layers, the plurality of epitaxial layers comprising first epitaxial layers and second epitaxial layers, the first epitaxial layers comprising a first semiconductor material, the second epitaxial layers comprising a second semiconductor material, layers of the alternating epitaxial structure alternating between one of the first epitaxial layers and one of the second epitaxial layers. A first dielectric layer is formed over the first fin and the second fin, and a channel region of the second fin is exposed. The method further includes removing at least a portion of the first epitaxial layers in the channel region of the second fin, forming a first gate stack over the first fin, the first gate stack extending along sidewalls of the first epitaxial layers and the second epitaxial layers of the first fin, and forming a second gate stack over the second fin, the second gate stack extending along sidewalls of the second epitaxial layers.
  • In another embodiment, a method of forming a semiconductor device is provided. The method includes forming a first fin and a second fin, each of the first fin and the second fin comprising an alternating epitaxial structure, the alternating epitaxial having a plurality of epitaxial layers, the plurality of epitaxial layers comprising first epitaxial layers and second epitaxial layers, the first epitaxial layers comprising a first semiconductor material, the second epitaxial layers comprising a second semiconductor material, layers of the alternating epitaxial structure alternating between one of the first epitaxial layers and one of the second epitaxial layers. Sidewalls of at least one of the first epitaxial layers in a first channel region of the first fins are electively etched, and sidewalls of at least one of the second epitaxial layers in a second channel region of the second fin are selectively etched. A first gate stack is formed over the first fin, and a second gate stack is formed over the second fin.
  • In yet another embodiment, a semiconductor device is formed. The device includes a substrate, first source/drain regions and a first channel region interposed between the first source/drain regions, the first source/drain regions and the channel region comprising alternating layers of first epitaxial layers and second epitaxial layers, and second source/drain regions and a second channel region interposed between the second source/drain regions, the second source/drain regions comprising alternating layers of the first epitaxial layers and the second epitaxial layers, the second channel region comprising the second epitaxial layer wherein a gap in the first epitaxial layer exists between the second source/drain regions. A first gate electrode extends over the first channel region, and a second gate electrode extends over the second channel region.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A semiconductor device comprising:
a substrate;
first source/drain regions and a first channel region between the first source/drain regions, the first channel region comprising alternating layers of first epitaxial layers and second epitaxial layers, the first epitaxial layers and the second epitaxial layers extending continuously between the first source/drain regions;
second source/drain regions and a second channel region between the second source/drain regions, the second channel region comprising the second epitaxial layers;
a first gate electrode extending over the first channel region; and
a second gate electrode extending over the second channel region, wherein the second gate electrode extends between adjacent ones of the second epitaxial layers.
2. The semiconductor device of claim 1, wherein the first source/drain regions are part of an p-type transistor.
3. The semiconductor device of claim 2, wherein the second source/drain regions are part of a n-type transistor.
4. The semiconductor device of claim 3, wherein the second epitaxial layers are silicon.
5. The semiconductor device of claim 4, wherein the first epitaxial layers are silicon germanium.
6. The semiconductor device of claim 1, wherein sidewalls of the first epitaxial layers in the first region are recessed from sidewalls of adjacent ones of the second epitaxial layers in the first region.
7. The semiconductor device of claim 1, wherein a width of the first epitaxial layers in the first region is less than a width of the second epitaxial layers in the first region.
8. A semiconductor device, comprising:
a first active structure on a first region of a substrate, the first active structure including first silicon elements vertically stacked, the first silicon elements being spaced apart from each other;
a first gate structure on the substrate and the first active structure, the first gate structure extending between the silicon elements in the first region of the substrate;
a second active structure on a second region of the substrate, the second active structure including alternating silicon germanium elements and second silicon elements vertically stacked; and
a second gate structure on the second active structure and the substrate.
9. The semiconductor device of claim 8, wherein:
the first gate structure includes a first gate insulation layer and a first gate electrode,
the second gate structure includes a second gate insulation layer and a second gate electrode, and
a thickness of the second gate insulation layer is greater than a thickness of the first gate insulation layer.
10. The semiconductor device of claim 8, wherein the first gate structure completely fills the space between adjacent ones of the silicon elements in the first active structure.
11. The semiconductor device of claim 8, wherein the second active structure and the second gate structure are parts of a p-type transistor.
12. The semiconductor device of claim 8, wherein the first active structure and the first gate structure are parts of an n-type transistor.
13. The semiconductor device of claim 8, wherein sidewalls of the second silicon elements in the second region are concave.
14. The semiconductor device of claim 8, wherein a bottommost layer of the second active structure in the second region is lower than a bottommost layer of the first active structure in the first region.
15. A semiconductor device comprising:
a substrate;
a first source region, a first drain region, and a first channel region between the first source region and the first drain region over the substrate, the first channel region comprising alternating layers of first silicon germanium layers and first silicon layers, the first silicon germanium layers and the first silicon layers extending continuously between the first source region and the first drain region;
a second source region, a second drain region, and a second channel region between the second source region and the second drain region over the substrate, the second channel region comprising second silicon layers, wherein the second channel region is free of silicon germanium layers, wherein a bottommost layer of the first channel region is lower than a bottommost layer of the second channel region;
a first gate structure extending over the first channel region, the first gate structure extending along sidewalls of the first silicon germanium layers and the first silicon layers; and
a second gate structure extending over the second channel region, the second gate structure extending between adjacent ones of the second silicon layers.
16. The semiconductor device of claim 15, wherein the first gate structure and the second gate structure comprise a single continuous conductive element extending over the first channel region and the second channel region.
17. The semiconductor device of claim 15, wherein:
the first gate structure includes a first gate insulation layer and a first gate electrode,
the second gate structure includes a second gate insulation layer and a second gate electrode, and
a thickness of the second gate insulation layer between adjacent ones of the second silicon layers is greater than a thickness of the first gate insulation layer.
18. The semiconductor device of claim 15, wherein the substrate comprises a strain relaxation buffer between a semiconductor substrate and the first channel region.
19. The semiconductor device of claim 15, wherein the second gate structure extends completely between a bottommost layer of the second silicon layers of the second channel region and the substrate.
20. The semiconductor device of claim 15, wherein the first source region and the first drain region are p-type regions, wherein the second source region and the second drain region are n-type regions.
US17/805,719 2015-10-07 2022-06-07 Strained nanowire CMOS device and method of forming Active US11798989B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/805,719 US11798989B2 (en) 2015-10-07 2022-06-07 Strained nanowire CMOS device and method of forming

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201562238490P 2015-10-07 2015-10-07
US14/935,195 US9853101B2 (en) 2015-10-07 2015-11-06 Strained nanowire CMOS device and method of forming
US15/817,601 US10535732B2 (en) 2015-10-07 2017-11-20 Strained nanowire CMOS device and method of forming
US16/722,291 US10727298B2 (en) 2015-10-07 2019-12-20 Strained nanowire CMOS device and method of forming
US16/939,726 US11309385B2 (en) 2015-10-07 2020-07-27 Strained nanowire CMOS device and method of forming
US17/656,258 US11652141B2 (en) 2015-10-07 2022-03-24 Strained nanowire CMOS device and method of forming
US17/805,719 US11798989B2 (en) 2015-10-07 2022-06-07 Strained nanowire CMOS device and method of forming

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US17/656,258 Division US11652141B2 (en) 2015-10-07 2022-03-24 Strained nanowire CMOS device and method of forming

Publications (2)

Publication Number Publication Date
US20220302257A1 true US20220302257A1 (en) 2022-09-22
US11798989B2 US11798989B2 (en) 2023-10-24

Family

ID=58405944

Family Applications (6)

Application Number Title Priority Date Filing Date
US14/935,195 Active US9853101B2 (en) 2015-10-07 2015-11-06 Strained nanowire CMOS device and method of forming
US15/817,601 Active 2036-02-08 US10535732B2 (en) 2015-10-07 2017-11-20 Strained nanowire CMOS device and method of forming
US16/722,291 Active US10727298B2 (en) 2015-10-07 2019-12-20 Strained nanowire CMOS device and method of forming
US16/939,726 Active 2035-11-15 US11309385B2 (en) 2015-10-07 2020-07-27 Strained nanowire CMOS device and method of forming
US17/656,258 Active US11652141B2 (en) 2015-10-07 2022-03-24 Strained nanowire CMOS device and method of forming
US17/805,719 Active US11798989B2 (en) 2015-10-07 2022-06-07 Strained nanowire CMOS device and method of forming

Family Applications Before (5)

Application Number Title Priority Date Filing Date
US14/935,195 Active US9853101B2 (en) 2015-10-07 2015-11-06 Strained nanowire CMOS device and method of forming
US15/817,601 Active 2036-02-08 US10535732B2 (en) 2015-10-07 2017-11-20 Strained nanowire CMOS device and method of forming
US16/722,291 Active US10727298B2 (en) 2015-10-07 2019-12-20 Strained nanowire CMOS device and method of forming
US16/939,726 Active 2035-11-15 US11309385B2 (en) 2015-10-07 2020-07-27 Strained nanowire CMOS device and method of forming
US17/656,258 Active US11652141B2 (en) 2015-10-07 2022-03-24 Strained nanowire CMOS device and method of forming

Country Status (5)

Country Link
US (6) US9853101B2 (en)
KR (1) KR101795208B1 (en)
CN (1) CN106571340B (en)
DE (1) DE102016100022B4 (en)
TW (1) TWI637437B (en)

Families Citing this family (378)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160372600A1 (en) * 2015-06-19 2016-12-22 International Business Machines Corporation Contact-first field-effect transistors
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10115807B2 (en) * 2015-11-18 2018-10-30 Globalfoundries Inc. Method, apparatus and system for improved performance using tall fins in finFET devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9978649B2 (en) * 2016-03-21 2018-05-22 Tokyo Electron Limited Solid source doping for source and drain extension doping
US11018254B2 (en) 2016-03-31 2021-05-25 International Business Machines Corporation Fabrication of vertical fin transistor with multiple threshold voltages
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
FR3057702B1 (en) * 2016-10-13 2018-12-07 Commissariat A L'energie Atomique Et Aux Energies Alternatives METHOD FOR MANUFACTURING A COILGROUND FIELD EFFECT TRANSISTOR
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
TWI749100B (en) * 2016-11-14 2021-12-11 日商東京威力科創股份有限公司 Method of forming gate spacer for nanowire fet device
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) * 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10269934B2 (en) 2017-03-23 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing tunnel field effect transistor
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10522417B2 (en) * 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10535780B2 (en) * 2017-05-08 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including an epitaxial layer wrapping around the nanowires
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10283414B2 (en) 2017-06-20 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation manufacturing method for semiconductor structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10211307B2 (en) 2017-07-18 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing inner spacers in a gate-all-around (GAA) FET through multi-layer spacer replacement
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10332985B2 (en) 2017-08-31 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10497624B2 (en) 2017-09-29 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10818777B2 (en) 2017-10-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10714592B2 (en) 2017-10-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10847622B2 (en) 2017-11-13 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming source/drain structure with first and second epitaxial layers
US10355102B2 (en) 2017-11-15 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10923595B2 (en) * 2017-11-30 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a SiGe epitaxial layer containing Ga
DE102018106581B4 (en) 2017-11-30 2020-07-09 Taiwan Semiconductor Manufacturing Co. Ltd. Semiconductor component and method for its production
US10685887B2 (en) * 2017-12-04 2020-06-16 Tokyo Electron Limited Method for incorporating multiple channel materials in a complimentary field effective transistor (CFET) device
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) * 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11081567B2 (en) * 2018-03-12 2021-08-03 International Business Machines Corporation Replacement-channel fabrication of III-V nanosheet devices
US10943822B2 (en) 2018-03-15 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Forming gate line-end of semiconductor structures
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10854714B2 (en) 2018-04-20 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium containing nanowires and methods for forming the same
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10276719B1 (en) 2018-04-30 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
US11398476B2 (en) 2018-05-16 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with hybrid fins
US10756089B2 (en) * 2018-05-16 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid semiconductor transistor structure and manufacturing method for the same
US10636878B2 (en) 2018-05-18 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Steep sloped vertical tunnel field-effect transistor
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11043556B2 (en) * 2018-06-26 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Local epitaxy nanofilms for nanowire stack GAA device
US10651314B2 (en) 2018-06-26 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with inner spacer and methods for producing the same
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US11031239B2 (en) 2018-06-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium nanosheets and methods of forming the same
US10672899B2 (en) 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Tunnel field-effect transistor with reduced trap-assisted tunneling leakage
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10861750B2 (en) 2018-07-02 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10872825B2 (en) * 2018-07-02 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11031395B2 (en) * 2018-07-13 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming high performance MOSFETs having varying channel structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10886182B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10741558B2 (en) * 2018-08-14 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet CMOS device and method of forming
CN110828541B (en) * 2018-08-14 2023-05-16 中芯国际集成电路制造(北京)有限公司 Semiconductor structure and forming method thereof
US10522623B1 (en) 2018-08-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium nitride layers on semiconductor structures, and methods for forming the same
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11367783B2 (en) 2018-08-17 2022-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11043578B2 (en) 2018-08-30 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with inner spacer
US10608083B2 (en) 2018-08-31 2020-03-31 International Business Machines Corporation Non-planar field effect transistor devices with low-resistance metallic gate structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11245011B2 (en) 2018-09-25 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical tunnel field-effect transistor with U-shaped gate and band aligner
US11038036B2 (en) 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack GAA device
US10923659B2 (en) 2018-09-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Wafers for use in aligning nanotubes and methods of making and using the same
CN110970432A (en) * 2018-09-28 2020-04-07 芯恩(青岛)集成电路有限公司 Fully-enclosed gate nanosheet complementary inverter structure and manufacturing method thereof
US11069812B2 (en) * 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
CN110970369B (en) * 2018-09-30 2022-08-02 中芯国际集成电路制造(上海)有限公司 CMOS inverter structure and forming method thereof
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11335604B2 (en) * 2018-10-31 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN111180513B (en) 2018-11-12 2023-07-14 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11276832B2 (en) 2018-11-28 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with diffusion break and method
US11101360B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
DE102019115490B4 (en) * 2018-11-30 2022-10-20 Taiwan Semiconductor Manufacturing Co. Ltd. SEMICONDUCTOR DEVICE AND METHOD OF PRODUCTION THEREOF
US11387362B2 (en) * 2018-11-30 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11522048B2 (en) * 2019-03-22 2022-12-06 Intel Corporation Gate-all-around integrated circuit structures having source or drain structures with epitaxial nubs
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
US11532734B2 (en) * 2019-03-29 2022-12-20 Intel Corporation Gate-all-around integrated circuit structures having germanium nanowire channel structures
US11532706B2 (en) * 2019-03-29 2022-12-20 Intel Corporation Gate-all-around integrated circuit structures having embedded GeSnB source or drain structures
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200139295A (en) * 2019-06-03 2020-12-14 삼성전자주식회사 Semiconductor devices
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
KR20210018573A (en) 2019-08-05 2021-02-18 삼성전자주식회사 Semiconductor device including active region and gate structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
KR102638741B1 (en) 2019-08-19 2024-02-22 삼성전자주식회사 Semiconductor memory device
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11239368B2 (en) 2019-08-30 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11201243B2 (en) 2019-09-03 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device and methods for producing the same
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11031292B2 (en) 2019-09-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11049937B2 (en) * 2019-10-18 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054354A (en) * 2019-11-05 2021-05-13 삼성전자주식회사 Semiconductor devices
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
US11410889B2 (en) * 2019-12-31 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11183584B2 (en) 2020-01-17 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11705372B2 (en) 2020-02-11 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin loss prevention
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
DE102021108221A1 (en) * 2020-05-26 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Channel configuration to improve the performance of a multigate device and method of manufacturing the same
US11616151B2 (en) * 2020-05-26 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd Channel configuration for improving multigate device performance and method of fabrication thereof
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
US11302580B2 (en) * 2020-05-29 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet thickness
US11699735B2 (en) 2020-06-05 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11367784B2 (en) 2020-06-15 2022-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US20210399104A1 (en) * 2020-06-17 2021-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Triple Layer High-K Gate Dielectric Stack for Workfunction Engineering
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
US11699736B2 (en) 2020-06-25 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11728171B2 (en) 2020-06-25 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with metal gate fill structure
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
US11729967B2 (en) 2020-07-08 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitor, memory device, and method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
KR20220010662A (en) * 2020-07-17 2022-01-26 삼성전자주식회사 Semiconductor devices
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11653581B2 (en) 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM device structure and manufacturing method
US11508621B2 (en) 2020-08-21 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11380685B2 (en) * 2020-10-02 2022-07-05 Qualcomm Incorporated Semiconductor device with superlattice fin
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
US11626485B2 (en) 2020-10-14 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and method
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
US11728401B2 (en) * 2020-10-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods thereof
US11735590B2 (en) 2020-11-13 2023-08-22 International Business Machines Corporation Fin stack including tensile-strained and compressively strained fin portions
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
KR20220080770A (en) 2020-12-07 2022-06-15 삼성전자주식회사 Semiconductor device
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
US11749566B2 (en) * 2021-01-15 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Inner filler layer for multi-patterned metal gate for nanostructure transistor
KR102515659B1 (en) * 2021-03-03 2023-03-30 한국과학기술원 Metal-oxide-semiconductor field effect transistor with planar or vertical nano-sheet channel wrapped by gate all around and fabricating method thereof
US11855079B2 (en) 2021-04-30 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with backside trench for metal gate definition
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11764277B2 (en) * 2021-06-04 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
CN113394295B (en) * 2021-06-10 2023-12-19 上海集成电路制造创新中心有限公司 P-type ring gate device stacking structure and method for enhancing channel stress of P-type ring gate device
CN113611743B (en) * 2021-06-11 2022-06-07 联芯集成电路制造(厦门)有限公司 Semiconductor transistor structure and manufacturing method thereof
US11916122B2 (en) 2021-07-08 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around transistor with dual inner spacers
US11804532B2 (en) 2021-08-27 2023-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices with superlattice channel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023225155A1 (en) * 2022-05-20 2023-11-23 Tokyo Electron Limited Sequential complimentary fet incorporating backside power distribution network through wafer bonding prior to formation of active devices

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120261643A1 (en) * 2011-04-18 2012-10-18 International Business Machines Corporation GRAPHENE NANORIBBONS AND CARBON NANOTUBES FABRICATED FROM SiC FINS OR NANOWIRE TEMPLATES
US20140151639A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Nanomesh complementary metal-oxide-semiconductor field effect transistors
US8785981B1 (en) * 2013-03-12 2014-07-22 International Business Machines Corporation Non-replacement gate nanomesh field effect transistor with pad regions
US20150069328A1 (en) * 2013-09-12 2015-03-12 International Business Machines Corporation Stacked nanowire device with variable number of nanowire channels
US20150129830A1 (en) * 2013-03-15 2015-05-14 Intel Corporation Nanowire transistor fabrication with hardmask layers
US20150228480A1 (en) * 2012-10-16 2015-08-13 Institute of Microelectronics, Chinese Academy of Sciences Method of manufacturing stacked nanowire mos transistor
US20150287614A1 (en) * 2014-04-07 2015-10-08 International Business Machines Corporation FINFET SEMICONDUCTOR DEVICE HAVING INTEGRATED SiGe FIN
US20150303198A1 (en) * 2014-04-16 2015-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for finfet device
US20150364603A1 (en) * 2014-06-16 2015-12-17 International Business Machines Corporation Finfet and nanowire semiconductor devices with suspended channel regions and gate structures surrounding the suspended channel regions
US20160118480A1 (en) * 2014-10-28 2016-04-28 Globalfoundries Inc. Methods of forming a tri-gate finfet device and the resulting device
US9660028B1 (en) * 2016-10-31 2017-05-23 International Business Machines Corporation Stacked transistors with different channel widths
US20180026038A1 (en) * 2015-04-30 2018-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and Methods of Forming FETS
US20190019551A1 (en) * 2017-07-14 2019-01-17 SK Hynix Inc. Nonvolatile memory device and method of operating nonvolatile memory device

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974729B2 (en) * 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
JP4724231B2 (en) 2009-01-29 2011-07-13 株式会社東芝 Semiconductor device and manufacturing method thereof
JP5353278B2 (en) 2009-02-06 2013-11-27 富士通株式会社 Communication device
US7893492B2 (en) 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8273617B2 (en) * 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US9362290B2 (en) * 2010-02-08 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell layout
CN106847814B (en) * 2011-12-19 2020-12-08 英特尔公司 CMOS implementation of germanium and III-V nanowires and nanoribbons in gate-wound architectures
US9240410B2 (en) * 2011-12-19 2016-01-19 Intel Corporation Group III-N nanowire transistors
CN106653694B (en) * 2011-12-23 2019-10-18 英特尔公司 CMOS nanowire structure
US9093550B1 (en) * 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US8994002B2 (en) * 2012-03-16 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having superlattice stressor
KR101894221B1 (en) * 2012-03-21 2018-10-04 삼성전자주식회사 Field effect transistor and semiconductor device including the same
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
CN103579295B (en) * 2012-07-25 2016-12-28 中国科学院微电子研究所 Semiconductor device and manufacture method thereof
CN103779226B (en) * 2012-10-23 2016-08-10 中国科学院微电子研究所 Quasi-nano-wire transistor and manufacture method thereof
CN103811341B (en) * 2012-11-09 2016-05-11 中国科学院微电子研究所 Semiconductor devices and manufacture method thereof
CN103811344B (en) * 2012-11-09 2016-08-10 中国科学院微电子研究所 Semiconductor device and manufacture method thereof
US9059044B2 (en) * 2012-11-15 2015-06-16 International Business Machines Corporation On-chip diode with fully depleted semiconductor devices
US20140151638A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Hybrid nanomesh structures
US20140175531A1 (en) * 2012-12-20 2014-06-26 United Microelectronics Corp. Non-volatile memory structure and manufacturing method thereof
KR102037304B1 (en) * 2013-03-15 2019-10-29 인텔 코포레이션 Nanowire transistor with underlayer etch stops
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
KR102069609B1 (en) 2013-08-12 2020-01-23 삼성전자주식회사 Semiconductor device and method for forming the same
US9764950B2 (en) 2013-08-16 2017-09-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with one or more semiconductor columns
CN106030810B (en) * 2013-09-27 2019-07-16 英特尔公司 Via the improved clad extension of integrated form work engineering heterogeneous on silicon
WO2015050546A1 (en) * 2013-10-03 2015-04-09 Intel Corporation Internal spacers for nanowire transistors and method of fabrication thereof
CN104576382B (en) * 2013-10-14 2017-09-12 中国科学院微电子研究所 A kind of asymmetric FinFET structure and its manufacture method
US9484423B2 (en) 2013-11-01 2016-11-01 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet III-V channel FETs
US9246005B2 (en) * 2014-02-12 2016-01-26 International Business Machines Corporation Stressed channel bulk fin field effect transistor
US9224833B2 (en) 2014-02-13 2015-12-29 Taiwan Semiconductor Manufacturing Company Limited Method of forming a vertical device
US9147683B2 (en) * 2014-02-18 2015-09-29 International Business Machines Corporation CMOS transistors including gate spacers of the same thickness
US9647113B2 (en) * 2014-03-05 2017-05-09 International Business Machines Corporation Strained FinFET by epitaxial stressor independent of gate pitch
US9312136B2 (en) * 2014-03-06 2016-04-12 International Business Machines Corporation Replacement metal gate stack for diffusion prevention
US9653563B2 (en) 2014-04-18 2017-05-16 Taiwan Semiconductor Manufacturing Company Limited Connection structure for vertical gate all around (VGAA) devices on semiconductor on insulator (SOI) substrate
US9123627B1 (en) 2014-05-01 2015-09-01 Globalfoundries Inc. Methods of forming alternative material fins with reduced defect density for a FinFET semiconductor device
US9490365B2 (en) * 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
TWI615976B (en) * 2014-07-07 2018-02-21 聯華電子股份有限公司 Fin field effect transistor and method of manufacturing the same
KR102219295B1 (en) * 2014-07-25 2021-02-23 삼성전자 주식회사 Semiconductor device and method for manufacturing the same
US9306067B2 (en) * 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
US9847329B2 (en) * 2014-09-04 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of fin feature and method of making same
US9251888B1 (en) 2014-09-15 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cells with vertical gate-all-round MOSFETs
US9293459B1 (en) * 2014-09-30 2016-03-22 International Business Machines Corporation Method and structure for improving finFET with epitaxy source/drain
US9508719B2 (en) * 2014-11-26 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device with controlled end-to-end critical dimension and method for forming the same
US9412814B2 (en) * 2014-12-24 2016-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of FinFET device
US10170608B2 (en) * 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9583399B1 (en) * 2015-11-30 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9929266B2 (en) * 2016-01-25 2018-03-27 International Business Machines Corporation Method and structure for incorporating strain in nanosheet devices
US9484267B1 (en) * 2016-02-04 2016-11-01 International Business Machines Corporation Stacked nanowire devices
US9978772B1 (en) * 2017-03-14 2018-05-22 Micron Technology, Inc. Memory cells and integrated structures
EP3503199A1 (en) * 2017-12-22 2019-06-26 IMEC vzw A method for forming a ferroelectric field-effect transistor

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120261643A1 (en) * 2011-04-18 2012-10-18 International Business Machines Corporation GRAPHENE NANORIBBONS AND CARBON NANOTUBES FABRICATED FROM SiC FINS OR NANOWIRE TEMPLATES
US20150228480A1 (en) * 2012-10-16 2015-08-13 Institute of Microelectronics, Chinese Academy of Sciences Method of manufacturing stacked nanowire mos transistor
US20140151639A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Nanomesh complementary metal-oxide-semiconductor field effect transistors
US8785981B1 (en) * 2013-03-12 2014-07-22 International Business Machines Corporation Non-replacement gate nanomesh field effect transistor with pad regions
US20150129830A1 (en) * 2013-03-15 2015-05-14 Intel Corporation Nanowire transistor fabrication with hardmask layers
US20150069328A1 (en) * 2013-09-12 2015-03-12 International Business Machines Corporation Stacked nanowire device with variable number of nanowire channels
US20150287614A1 (en) * 2014-04-07 2015-10-08 International Business Machines Corporation FINFET SEMICONDUCTOR DEVICE HAVING INTEGRATED SiGe FIN
US20150303198A1 (en) * 2014-04-16 2015-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for finfet device
US20150364603A1 (en) * 2014-06-16 2015-12-17 International Business Machines Corporation Finfet and nanowire semiconductor devices with suspended channel regions and gate structures surrounding the suspended channel regions
US20160118480A1 (en) * 2014-10-28 2016-04-28 Globalfoundries Inc. Methods of forming a tri-gate finfet device and the resulting device
US20180026038A1 (en) * 2015-04-30 2018-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and Methods of Forming FETS
US9660028B1 (en) * 2016-10-31 2017-05-23 International Business Machines Corporation Stacked transistors with different channel widths
US20190019551A1 (en) * 2017-07-14 2019-01-17 SK Hynix Inc. Nonvolatile memory device and method of operating nonvolatile memory device

Also Published As

Publication number Publication date
TW201730956A (en) 2017-09-01
US20200135854A1 (en) 2020-04-30
DE102016100022A1 (en) 2017-04-13
CN106571340A (en) 2017-04-19
US9853101B2 (en) 2017-12-26
CN106571340B (en) 2020-01-10
US11798989B2 (en) 2023-10-24
KR20170041605A (en) 2017-04-17
US11652141B2 (en) 2023-05-16
US20170104061A1 (en) 2017-04-13
US20220216301A1 (en) 2022-07-07
TWI637437B (en) 2018-10-01
KR101795208B1 (en) 2017-11-07
US11309385B2 (en) 2022-04-19
US20180090570A1 (en) 2018-03-29
US10727298B2 (en) 2020-07-28
US20200357885A1 (en) 2020-11-12
DE102016100022B4 (en) 2021-03-18
US10535732B2 (en) 2020-01-14

Similar Documents

Publication Publication Date Title
US11309385B2 (en) Strained nanowire CMOS device and method of forming
US11239345B2 (en) Gate formation with varying work function layers
US11605728B2 (en) Semiconductor device structure with inner spacer layer
US20230387246A1 (en) Methods of forming gate structures with uniform gate length
US20230335406A1 (en) Masking Layer with Post Treatment
US20230387228A1 (en) Contact plug structure of semiconductor device and method of forming same
US20210242093A1 (en) Transistor Gates and Methods of Forming Thereof
US20220262911A1 (en) Semiconductor Device and Method
US11894277B2 (en) Transistor gates and methods of forming thereof
US11545559B2 (en) Semiconductor device and method
US20230411219A1 (en) Semiconductor structure and method for manufacturing the same
US11854899B2 (en) Semiconductor devices and methods of manufacturing thereof
US20230411480A1 (en) Method of forming semiconductor device with implanted nanosheets
US11855185B2 (en) Multilayer masking layer and method of forming same
US20240128364A1 (en) Semiconductor device and formation method thereof
US11798943B2 (en) Transistor source/drain contacts and methods of forming the same
US20230395693A1 (en) Semiconductor device and manufacturing method thereof
US20240038901A1 (en) Field effect transistor with isolation structure and method
US20230114216A1 (en) Nanostructure fet and method of forming same
US20240113164A1 (en) Film modification for gate cut process
US20220246479A1 (en) Source/drain regions and methods of forming same
US20220392998A1 (en) Semiconductor gates and methods of forming the same

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE