CN106571340B - 应变纳米线cmos器件和形成方法 - Google Patents

应变纳米线cmos器件和形成方法 Download PDF

Info

Publication number
CN106571340B
CN106571340B CN201610621501.0A CN201610621501A CN106571340B CN 106571340 B CN106571340 B CN 106571340B CN 201610621501 A CN201610621501 A CN 201610621501A CN 106571340 B CN106571340 B CN 106571340B
Authority
CN
China
Prior art keywords
epitaxial
epitaxial layer
forming
fin
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610621501.0A
Other languages
English (en)
Other versions
CN106571340A (zh
Inventor
彭成毅
江宏礼
杨玉麟
叶致锴
杨育佳
刘继文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106571340A publication Critical patent/CN106571340A/zh
Application granted granted Critical
Publication of CN106571340B publication Critical patent/CN106571340B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Abstract

本发明提供了晶体管结构和晶体管结构的形成方法。晶体管结构包括第一外延材料和第二外延材料的交替层。在一些实施例中,对于一个n‑型或p‑型晶体管,可以去除第一外延材料或第二外延材料。可以去除第一外延材料和第二外延材料的最下的层,并且可以使第一外延材料或第二外延材料的侧壁缩进或凹进。本发明的实施例还涉及应变纳米线CMOS器件和形成方法。

Description

应变纳米线CMOS器件和形成方法
优先权声明和交叉引用
本申请要求2015年10月7日提交的标题为“应变纳米线CMOS设计和流量”的更早提交的临时申请美国专利申请第62/238,490号的权益,该申请结合于此作为参考。
技术领域
本发明的实施例涉及集成电路器件,更具体地,涉及应变纳米线CMOS器件和形成方法。
背景技术
集成电路(IC)材料和设计中的技术进步已经产生了多代IC,其中,每一代都比上一代具有更小和更复杂的电路。在IC演化过程中,功能密度(例如,每芯片面积的互连器件的数量)已经普遍增大,而几何尺寸已经减小。这种按比例缩小工艺通常通过提高生产效率和降低相关成本来提供益处。
这种按比例缩小也已经增加了处理和制造IC的复杂性,并且为了实现这些进步,需要IC处理和制造中的类似发展。例如,已经引进代替平面晶体管的鳍式场效应晶体管(FinFET)。正在发展FinFET的结构和制造FinFET的方法。
发明内容
本发明的实施例提供了一种形成半导体器件的方法,所述方法包括:形成第一鳍和第二鳍,每个所述第一鳍和每个所述第二鳍均包括交替外延结构,所述交替外延结构具有多个外延层,所述多个外延层包括第一外延层和第二外延层,所述第一外延层包括第一半导体材料,所述第二外延层包括第二半导体材料,所述交替外延结构的层在一个所述第一外延层和一个所述第二外延层之间交替;在所述第一鳍和所述第二鳍上方形成第一介电层;暴露所述第二鳍的沟道区域;去除所述第二鳍的所述沟道区域中的至少部分所述第一外延层;在所述第一鳍上方形成第一栅极堆叠件,所述第一栅极堆叠件沿着所述第一鳍的所述第一外延层的侧壁和所述第二外延层的侧壁延伸;以及在所述第二鳍上方形成第二栅极堆叠件,所述第二栅极堆叠件沿着所述第二外延层的侧壁延伸。
本发明的另一实施例提供了一种形成半导体器件的方法,所述方法包括:形成第一鳍和第二鳍,每个所述第一鳍和每个所述第二鳍均包括交替外延结构,所述交替外延结构具有多个外延层,所述多个外延层包括第一外延层和第二外延层,所述第一外延层包括第一半导体材料,所述第二外延层包括第二半导体材料,所述交替外延结构的层在一个所述第一外延层和一个所述第二外延层之间交替;选择性地蚀刻所述第一鳍的第一沟道区域中的至少一个所述第一外延层的侧壁;选择性地蚀刻所述第二鳍的第二沟道区域中的至少一个所述第二外延层的侧壁;在所述第一鳍上方形成第一栅极堆叠件;以及在所述第二鳍上方形成第二栅极堆叠件。
本发明的又一实施例提供了一种半导体器件,包括:衬底;第一源极/漏极区域和在所述第一源极/漏极区域之间插入的第一沟道区域,所述第一源极/漏极区域和所述第一沟道区域包括第一外延层和第二外延层的交替层;第二源极/漏极区域和在所述第二源极/漏极区域之间插入的第二沟道区域,所述第二源极/漏极区域包括所述第一外延层和所述第二外延层的所述交替层,所述第二沟道区域包括所述第二外延层,其中,在所述第二源极/漏极区域之间的所述第一外延层中存在间隙;第一栅电极,在所述第一沟道区域上方延伸;以及第二栅电极,在所述第二沟道区域上方延伸。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1至图26示出了根据一些实施例的FinFET的形成中的中间阶段的各个截面图和立体图;
图27A至图27D示出了根据一些实施例的用于n-型FinFET的各个配置的立体图;
图28A至图28D示出了根据一些实施例的用于p-型FinFET的各个配置的立体图;
图29A至图29B示出了根据一些实施例的用于n-型FinFET的各个配置的立体图;
图30A至图30G示出了根据一些实施例的FinFET的形成中的中间阶段的截面图;
图31A至图31G示出了根据一些实施例的用于n-型FinFET的各个配置的立体图;以及
图32A至图32D示出了根据一些实施例的用于p-型FinFET的各个配置的立体图。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实例。此外,本发明可在各个实施例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)原件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
根据各个示例性实施例,提供了具有全环栅(GAA)结构的鳍式场效应晶体管(FinFET)及其形成方法。示出了形成FinFET的中间阶段。讨论了变化的实施例。贯穿各个视图和示例性实施例,相同的参考标号用于指定相同的元件。应该理解,虽然为了说明的目的,图1至图26示出了p-型FinFET和n-型FinFET的形成,其中,p-型FinFET显示了鳍式结构和多栅极栅电极并且n-型FinFET显示了具有GAA电极的纳米线式结构。这些提供的实例仅仅用于说明的目的并且本领域的普通技术人员应该意识到,当使用不同的材料时,n-型FinFET可以使用鳍式结构并且p-型FinFET可以使用纳米线式结构。同样,应该理解,为了说明的目的,图27A至图32D中示出的各个实施例示出了单个的结构,并且此处公开的各个n-型和p-型结构可以组合以形成设计为具有各种类型的材料和操作特性的器件。
图1至图26示出了根据一些实施例的使用类似的材料在衬底上形成p-型FinFET和n-型FinFET的截面图和立体图。首先参照图1,提供了衬底100和交替外延层结构102的截面图,衬底100可以是部分晶圆。在一些实施例中,衬底100包括晶体硅衬底(例如,晶圆)。依赖于设计需求(例如,p-型衬底或n-型衬底),衬底100可以包括各个掺杂的区域。在一些实施例中,掺杂的区域可以掺杂有p-型或n-型掺杂剂。例如,掺杂的区域可以掺杂有诸如硼或BF2的p-型掺杂剂;诸如磷或砷的n-型掺杂剂;和/或它们的组合。掺杂的区域可以配置为用于n-型FinFET或可选地配置为用于p-型FinFET。
在一些可选实施例中,衬底100可以由诸如金刚石或锗的一些其它的合适的元素半导体;诸如砷化镓、碳化硅、砷化铟或磷化铟的合适的化合物半导体;或诸如碳化硅锗、磷砷化镓或磷化镓铟的合适的合金半导体制成。此外,衬底100可以包括用于性能增强的应变的外延层(epi层)和/或可以包括绝缘体上硅(SOI)结构。
在衬底100上方形成交替外延层结构102。如下面更详细地解释,将图案化衬底100和交替外延层结构102以形成从衬底100延伸的鳍。进而使用该鳍形成p-型FinFET和/或n-型FinFET。可以从诸如n-型鳍的一种导电型的鳍的沟道区域去除交替的交替外延层,从而形成在源极和漏极区域之间延伸的纳米线结构。可以使用交替层对诸如p-型鳍的另一导电型的鳍的沟道区域施加应力。在这个实施例中描述的实施例示出了可以用于形成n-型FinFET的具有GAA设计的纳米线结构以及p-型FinFET的应力交替层的工艺和材料。然而,可以选择其它类型的材料,从而使得具有GAA的纳米线可以用于形成p-型FinFET并且应力交替层可以用于n-型FinFET。
例如,在一些实施例中,交替外延层结构102可以包括第一外延层102a和第二外延层102b的交替层(统称为交替外延层结构102),其中,交替外延层结构102可以包括从每个第一外延层102a和第二外延层102b的一层至每个第一外延层102a和第二外延层102b的许多层(例如,2、4、6或更多)的任何数量的交替层。在实施例中,其中,将形成用于n-型晶体管的纳米线,第一外延层102a可以是硅锗层并且第二外延层102b可以是硅层,其中,硅层将形成用于n-型FinFET的纳米线,并且硅锗层将用作沟道区域而硅层用作p-型FinFET的应力源。
在一些实施例中,使用SiH2Cl2或SiH4、GeH4和HCl、B2H6或H2作为反应气体,在约400℃至约800℃的温度下以及在约1托至约200托的压力下实施低压化学汽相沉积(LPCVD)工艺生长硅锗层。使用SiH2Cl2或SiH4作为反应气体,在约400℃至约750℃的温度下以及在约10托至约200托的压力下实施LPCVD工艺生长硅层。在一些实施例中,每个形成的第一外延层102a和第二外延层102b的厚度为从约5nm至约10nm。这样的厚度允许硅层向硅锗层施加压缩应力而不允许硅锗层的表面变得松弛,从而改进了p-型FinFET的电性能。如上所述,可以任意次数地重复这些工艺以获得对应于纳米线和沟道区域的数量的期望数量的层。
图2示出了根据一些实施例的在交替外延层结构102上方形成掩模层206之后的器件。例如,掩模层206可以包括第一介电层206a、第二介电层206b、第三介电层206c、多晶硅层206d、先进图案化膜(APF)206e和底部抗反射涂层(BARC)206f,但是可以使用不同的材料、层、层的数量等。一般地,第一介电层206a、第二介电层206b和第三介电层206c用作硬掩模,而多晶硅层206d、APF 206e和BARC 206f的组合将用于图案化硬掩模并且用于控制临界尺寸,以获得并且控制图案化的硬掩模(例如,第一介电层206a、第二介电层206b和第三介电层206c)的期望的尺寸。每层的厚度可以调整,从而使得每层在相应的蚀刻工艺(下述讨论的)期间具有足够的厚度保护下面的材料。例如,提供了以下材料作为实例并且并不意味着限制本发明。
可以在交替外延层结构102上方沉积第一介电层206a。第一介电层206a可以由诸如氧化硅、氮化硅、诸如碳掺杂的氧化物的低k电介质、诸如多孔碳掺杂的二氧化硅的极低k电介质、诸如聚酰亚胺的聚合物、这些的组合等的一种或多种合适的介电材料制成。可以通过诸如化学汽相沉积(CVD)或旋涂玻璃工艺的工艺沉积第一介电层206a,但是可以使用任何可接受的工艺形成厚度介于约
Figure BDA0001066608800000061
至约
Figure BDA0001066608800000062
之间的第一介电层206a。在一些实施例中,第一介电层206a可以用作随后工艺的蚀刻停止层(ESL)。
可以在第一介电层206a上方沉积第二介电层206b。第二介电层206b可以由与第一介电层206a类似的材料和类似的工艺形成,但是第一介电层206a和第二介电层206b不需要是相同的材料。在一些实施例中,形成的第二介电层206b的厚度介于约
Figure BDA0001066608800000063
至约
Figure BDA0001066608800000064
之间。
可以在第二介电层206b上方沉积第三介电层206c。第三介电层206c可以用作随后工艺的ESL并且可以由与第一介电层206a和第二介电层206b类似的材料和类似的工艺形成,但是第一介电层206a、第二介电层206b和第三介电层206c不需要是相同的材料。在实施例中,形成的第三介电层206c的厚度介于约至约
Figure BDA0001066608800000066
之间。在一些实施例中,第一介电层206a、第二介电层206b和第三介电层206c可以是单介电层而不是三个分离的层。
在一些实施例中,第一介电层206a、第二介电层206b和第三介电层206c包括氧化物-氮化物-氧化物(ONO)层,其中,第一介电层206a是氧化物(例如,氧化硅)、第二介电层206b是氮化物(例如,氮化硅)并且第三介电层206c是氧化物(例如,氧化硅)。
可以通过LPCVD沉积多晶硅形成厚度在约
Figure BDA0001066608800000067
至约
Figure BDA0001066608800000068
的范围内的多晶硅层206d。APF 206e可以包括通过CVD工艺形成的无定形碳,但是可以可选地使用其它合适的材料和形成方法。在一些实施例中,形成的APF 206e的厚度介于约至约之间。可以使用其它的厚度和材料。
可以在APF 206e上方形成BARC 206f,有助于随后的光刻工艺图案化上面的层(诸如图案化的光刻胶层)(未示出)。BARC 206f可以包括SiON、聚合物等或它们的组合并且可以通过CVD、旋涂工艺等或它们的组合形成。BARC 206f具有足够的厚度以根据材料和波长提供足够的抗反射性质。在实施例中,形成的BARC层206f的厚度介于约至约
Figure BDA0001066608800000074
之间。
图3示出了根据一些实施例的在实施图案化工艺形成沟槽312之后的器件。在一些实施例中,光刻技术用于图案化掩模层206。一般地,在掩模层206上方沉积光刻胶材料(未示出)。将光刻胶材料通过图案化的中间掩模辐照(暴露)于能量(例如,光),以引起暴露于能量的光刻胶材料的那些部分中的反应。显影光刻胶材料以去除部分光刻胶材料,其中,剩余的光刻胶材料保护下面的材料免受诸如蚀刻的随后的工艺步骤的损害。
如图3所示,图案化工艺形成了穿过交替外延层结构102并且至衬底100的沟槽312。交替外延层结构102和下面的衬底100的剩余的区域形成了鳍(诸如第一鳍310a和第二鳍310b(统称为鳍310))。如以下更详细地讨论的,第一鳍310a将用作p-型FinFET的鳍并且第二鳍310b将用于形成n-型FinFET的纳米线。
现在参照图4,根据一些实施例,在邻近的鳍310之间的沟槽312中形成浅沟槽隔离(STI)412。在形成STI 412之前,在衬底100和鳍310的侧壁上方形成一个或多个衬垫(统称为衬垫414)。在一些实施例中,衬垫414具有厚度介于约
Figure BDA0001066608800000075
至约
Figure BDA0001066608800000076
之间的单层结构。在其它实施例中,如图4示出的,衬垫414具有双层结构,该双层结构包括第一衬垫子层414a和第二衬垫子层414b。在一些实施例中,第一衬垫子层414a包括厚度介于约
Figure BDA0001066608800000077
至约
Figure BDA0001066608800000078
之间的氧化硅,并且第二衬垫子层414b包括厚度介于
Figure BDA0001066608800000079
至约
Figure BDA00010666088000000710
之间的氮化硅。可以通过诸如物理汽相沉积(PVD)、CVD或原子层沉积(ALD)的一个或多个工艺沉积衬垫414,但是可以使用任何可接受的工艺。可以使用其它的材料和/或工艺。
STI 412可以由诸如氧化硅、氮化硅、氮氧化硅、氟掺杂的硅酸盐玻璃(FSG)、诸如碳掺杂的氧化物的低k电介质、诸如多孔碳掺杂的二氧化硅的极低k电介质、诸如聚酰亚胺的聚合物、这些的组合等的合适的介电材料制成。在一些实施例中,可以通过诸如CVD、可流动CVD(FCVD)或旋涂玻璃工艺的工艺形成STI 412,但是可以使用任何可接受的工艺。随后,例如,使用蚀刻工艺、化学机械抛光(CMP)等去除鳍310的顶面上方延伸的部分STI 412和位于鳍310的顶面上方的部分衬垫414。
图5示出了根据一些实施例的使STI 412和衬垫414凹进以暴露鳍310的侧壁。在一些实施例中,使用鳍310作为蚀刻掩模,使用一个或多个选择性蚀刻工艺使STI 412和衬垫414凹进。例如,使用单个蚀刻工艺使STI412和衬垫414凹进。在可选实施例中,使用多个蚀刻工艺使STI 412和衬垫414凹进。例如,使用鳍310和衬垫414作为蚀刻掩模使用第一蚀刻工艺使STI 412凹进,并且随后,使用第二蚀刻工艺使衬垫414凹进。
凹槽的深度由交替外延层结构102的高度决定。如以下更详细的说明,将去除第一外延层102a。相应地,凹槽的深度是这样的:使得暴露最下的第一外延层102a,从而允许使用蚀刻工艺去除最下的以及其它的第一外延层102a。
参照图6,根据一些实施例,在暴露的鳍310上方形成伪栅极介电层618和伪栅电极层620。随后将图案化伪栅极介电层618和伪栅电极层620以形成将用于限定和形成源极/漏极区域的伪栅极堆叠件。之后,将去除伪栅极堆叠件以允许对沟道区域中的鳍实施处理,并且在沟道区域上方将形成栅极堆叠件。
在一些实施例中,在暴露的鳍310上方形成伪栅极介电层618。可以通过热氧化、CVD、溅射或任何用于形成伪栅极介电层的本领域中已知的其它的方法形成伪栅极介电层618。在一些实施例中,伪栅极介电层618可以由与STI 412相同的材料形成。在其它实施例中,伪栅极介电层618可以由诸如氧化硅、氮化硅、诸如碳掺杂的氧化物的低k电介质、诸如多孔碳掺杂的二氧化硅的极低k电介质、诸如聚酰亚胺的聚合物等或它们的组合的一种或多种合适的介电材料制成。在其它实施例中,例如,伪栅极介电层618包括具有大于3.9的高介电常数(k值)的介电材料。该材料可以包括氮化硅、氮氧化物、诸如HfO2、HfZrOx、HfSiOx、HfTiOx、HfAlOx的金属氧化物等或它们的组合和它们的多层。
随后,在伪栅极介电层618上方形成伪栅电极层620。在一些实施例中,伪栅电极层620是导电材料并且可以从包括多晶硅(多晶Si)、多晶硅锗(多晶SiGe)、金属氮化物、金属硅化物、金属氧化物和金属的组中选择。在实施例中,可以通过PVD、CVD、溅射沉积或用于沉积导电材料的本领域中已知的其它技术沉积伪栅电极层620。可以使用导电和非导电的其它材料。伪栅电极层620的顶面通常具有非平坦的顶面并且可以在沉积之后平坦化。
图6也示出了在伪栅电极层620上方形成的硬掩模层622。硬掩模层622包括一个或多个掩模层并且将用于图案化伪栅电极层620以形成伪栅电极。硬掩模层622可以包括一个或多个图案化层。在一些实施例中,硬掩模层622包括第一硬掩模层622a和第二硬掩模层622b。第一硬掩模层622a可以是氧化物层(例如,氧化硅)并且第二硬掩模层622b可以是氮化物(例如,氮化硅)。可以通过诸如CVD或旋涂玻璃工艺的工艺沉积第一硬掩模层622a和第二硬掩模层622b,但是可以使用任何可接受的工艺。第一硬掩模层622a的厚度为从约至约并且第二硬掩模层622b的厚度为从约
Figure BDA0001066608800000093
至约图7示出了图案化伪栅电极层620和伪栅极介电层618以形成伪栅电极720和伪栅极电介质718。伪栅电极720和伪栅极电介质718共同形成了伪栅极堆叠件726。
现在参照图8A和图8B,在伪栅极堆叠件726、鳍310和STI 412上方沉积间隔件层826。图8B示出了如由图8A中的8B-8B线示出的垂直于邻近的伪栅极堆叠件726之间的源极/漏极区域的截面图。在一些实施例中,间隔件层826由氮化硅形成并且可以具有单层结构。在可选实施例中,间隔件层可以具有包括多个层的组合结构。例如,间隔件层可以包括氧化硅层和氧化硅层上方的氮化硅层。
现在参照图9,根据一些实施例,图案化间隔件层826(见图8A和图8B)以沿着伪栅极堆叠件726的侧壁形成侧壁间隔件828。在一些实施例中,使用各向异性蚀刻工艺去除器件的水平部分上方和沿着伪栅极堆叠件726的侧壁的间隔件层。如图9示出的,由于器件的水平部分上方和沿着鳍310的侧壁上方的间隔件层826的厚度的不同,因此沿着伪栅极堆叠件726的侧壁的间隔件层826保留而鳍310暴露在源极/漏极区域中。
图10示出了根据一些实施例的分别沿着伪栅极堆叠件726的相对侧的,在第一鳍310a和第二鳍310b的暴露的部分上形成的外延的第一源极/漏极区域930和第二源极/漏极区域932。,除了由交替外延层结构102引起的应力之外,在源极/漏极区域中使用外延生长材料允许源极/漏极区域对沟道区域施加应力。对于n-型和p-型FinFET,可以改变用于第一源极/漏极区域930和第二源极/漏极区域932的材料,从而使得用于n-型FinFET的一种类型的材料对沟道区域施加拉伸应力,并且用于p-型FinFET的另一类型的材料施加压缩应力。例如,SiP或SiC可以用于形成n-型FinFET,以及SiGe或Ge可以用于形成p-型FinFET。可以使用其它的材料。
在实施例中,其中,不同的材料用于n-型器件和p-型器件,掩蔽一个(例如,n-型鳍)而在另一个(例如,p-型鳍)上形成外延材料是期望的,并且对另一个重复该工艺。第一源极/漏极区域930和第二源极/漏极区域932可以通过注入工艺注入适当的掺杂剂或通过在生长材料时原位掺杂来掺杂。在一些实施例中,第一源极/漏极区域930由掺杂有硼(B)的SiGe或Ge形成以形成p-型FinFET器件,并且第二源极/漏极区域932由掺杂有磷(P)的SiC或SiP形成以形成n-型FinFET器件。
虽然图10仅示出了位于伪栅极堆叠件726的一侧上的第一源极/漏极区域930和第二源极/漏极区域932,位于伪栅极堆叠件的相对侧上的第一源极/漏极区域930和第二源极/漏极区域932具有类似的结构配置。
下一步,如图11所示,在图10示出的结构上方形成第一层间电介质(ILD)1136。应该注意,图1至图10的截面图穿过源极/漏极区域截取(除了其它注意的地方之外)以示出源极/漏极区域的形成。图11至图25直接朝向对沟道区域实施的工艺步骤,并且由此,图11至图25是沿着图10中的A-A线示出的栅电极截取的。
在一些实施例中,可以在第一源极/漏极区域930和第二源极/漏极区域932上方共形地沉积保护层1138以在随后的穿过第一ILD 1136至第一源极/漏极区域930和第二源极/漏极区域932的接触件的形成期间保护第一源极/漏极区域930和第二源极/漏极区域932。在一些实施例中,如图11示出的,保护层1138具有包括第一保护子层1138a和第二保护子层1138b的双层结构。在一些实施例中,第一保护子层1138a包括氧化硅并且具有介于约
Figure BDA0001066608800000111
至约
Figure BDA0001066608800000112
之间的厚度,并且第二保护子层1138b包括氮化硅并且具有介于
Figure BDA0001066608800000113
至约之间的厚度。可以通过诸如PVD、CVD或ALD的一个或多个工艺沉积保护层1138,但是可以使用任何可接受的工艺。可以使用其它的材料和/或工艺。
在一些实施例中,第一ILD 1136可以包括氧化硅、氮化硅等或它们的组合。可以通过CVD、高密度等离子体(HDP)等或它们的组合形成第一ILD 1136。随后可以平坦化第一ILD1136至与伪栅电极720的顶面基本共面。在实施例中,例如,通过使用CMP平坦化第一ILD1136以去除部分第一ILD 1136。在其它实施例中,可以使用诸如蚀刻的其它平坦化技术。
在一些实施例中,使第一ILD 1136凹进,并且沉积保护层1140和随后进行平坦化步骤,产生图11示出的结构。保护层1140可以包括氮化硅(Si3N4),该氮化硅在随后的工艺步骤中保护第一ILD 1136和下面的结构。
图12示出了沿着伪栅电极720的图11中的结构的截面图。为了易于说明,图12至图23示出了截面图以更好和更清楚地说明沟道区域的处理。
图13示出了根据一些实施例的在第二鳍310b上方的图案化的掩模1360的形成。如以下将更详细地讨论的,将单独地处理第一鳍310a和第二鳍310b的沟道区域。具体地,在一些实施例中,第一鳍310a将形成p-型FinFET器件,并且处理第一鳍310a以削薄第二外延层120b或使第二外延层120b凹进,并且处理第二鳍310b以去除第一外延层120a。可以由显示足够的蚀刻选择性的任何合适的掩模材料形成图案化的掩模1360以在蚀刻工艺期间保护下面的层。例如,在一些实施例中,图案化的掩模1360包括厚度介于约
Figure BDA0001066608800000115
至约
Figure BDA0001066608800000116
之间的氮化硅层。在其它实施例中,图案化的掩模1360具有厚度介于约
Figure BDA0001066608800000117
至约之间的氧化硅以及位于氧化硅层上方的厚度介于约
Figure BDA0001066608800000119
至约
Figure BDA00010666088000001110
之间的氮化硅层。可以通过诸如PVD、CVD或ALD的一个或多个工艺沉积图案化的掩模1360,但是可以使用任何可接受的工艺,并且使用光刻技术图案化。可以使用其它材料和/或工艺。
之后,根据一些实施例,如图14示出的,实施蚀刻工艺以部分地去除第一鳍310a(例如,用于p-型器件的鳍)上方的伪栅电极720。在实施例中,其中,形成了硅和硅锗的交替层的p-型器件,在p-型器件中,硅锗层用作电流流动的沟道区域。因此,将硅锗层(例如,载流层)用于最上层是期望的,从而允许随后形成的上面的栅电极与最上层更好地相互作用,并且在这些实施例中,去除第一鳍310a的顶层(例如,最上的第二外延层102b,在这个实例中是硅)是期望的。例如,在实施例中,其中,交替外延层结构102包括用于第一外延层102a的硅锗以及用于第二外延层102b的硅的交替层,第一鳍310a的最上层是硅锗层是期望的。
在一些实施例中,通过对伪栅电极720的材料具有选择性的蚀刻工艺使伪栅电极720凹进。例如,如果伪栅电极720包括多晶硅,则可以使用NF3、SF6、Cl2、HBr等或它们的组合的干蚀刻或使用NH4OH、四甲基氢氧化铵(TMAH)等或它们的组合的湿蚀刻以去除伪栅电极720。如图14示出的,使伪栅电极720凹进至一定的深度,从而使得最上的第二外延层102b在凹槽的底部之上延伸。
根据一些实施例,图15示出了最上的第二外延层102b上方的伪栅极电介质718的去除并且图16示出了最上的第二外延层102b的去除。在实施例中,其中,伪栅极电介质718包括氧化硅,可以使用稀释的HF酸的湿蚀刻去除伪栅极电介质718的暴露的部分。在实施例中,其中,第二外延层102b包括硅,可以使用四甲基氢氧化铵(TMAH)溶液的湿蚀刻去除第二外延层102b。可以使用其它的工艺和材料。
图17示出了根据一些实施例的在去除第一鳍310a上方的伪栅电极720的剩余物之后产生的结构。上述描述的蚀刻工艺可以用于去除第一鳍310a上方的伪栅电极720的剩余物。
现在参照图18,根据一些实施例,沿着第一鳍310a的侧壁去除伪栅极电介质718(见图17)。如上述讨论的,削薄第二外延层102b或使第二外延层102b凹进。相应地,去除伪栅极电介质718以暴露第二外延层102b。在实施例中,其中,伪栅极电介质718包括氧化硅,可以使用稀释的HF酸的湿蚀刻去除伪栅极电介质718的暴露的部分。
图19示出了根据一些实施例的第一鳍310a中的第二外延层102b的凹进。在实施例中,其中,第一外延层102a由硅锗形成并且第二外延层102b由硅形成,可以使用四甲基氢氧化铵(TMAH)溶液的湿蚀刻使第二外延层102b凹进。可以使用其它的工艺和材料。
可以调整第一外延层102a的宽度W1和第二外延层102b的宽度W2,从而使得在第一外延层102a上实现足够的栅极控制以及第二外延层102b对第一外延层102a施加期望的应力。在一些实施例中,第一外延层102a的宽度W1为从约5nm至约10nm,并且第二外延层102b的宽度W2为从约1nm至约7nm。
现在参照图20,根据一些实施例,由保护掩模2062保护第一鳍310a。在对第二鳍310b实施处理时,保护掩模2062保护第一鳍310a。在这个实例中,第一鳍310a将形成具有外延材料的交替层的p-型FinFET,而将处理第二鳍310b以去除第一外延层102a,从而形成第二外延层102b的纳米线。在一些实施例中,保护掩模2602是通过诸如CVD、可流动CVD(FCVD)或旋涂玻璃工艺的工艺形成的氧化硅材料,但是可以使用任何合适的工艺。可选择地,可以实施CMP工艺或其它的平坦化工艺以去除图案化的掩模1360上方的材料。可以使用其它的材料和工艺。
之后,如图21示出的,根据一些实施例,可以去除第二鳍310b上方的图案化的掩模1360和伪栅电极720。在一些实施例中,其中,图案化的掩模1360包括氮化硅,可以使用H3PO4去除图案化的掩模1360。可以使用与上述讨论的类似的工艺去除伪栅电极720。
图22和图23示出了根据一些实施例的第二鳍310b上方的伪栅极电介质718的去除和随后的第一外延层102a的去除。伪栅极电介质718的去除暴露了第二鳍310b,从而允许第一外延层102a的去除。可以使用与上述讨论的去除第一鳍310a上方的伪栅极电介质718类似的工艺和材料去除第二鳍310b上方的伪栅极电介质718。
在实施例中,其中,第一外延层102a由硅锗形成并且第二外延层102b由硅形成,可以使用诸如NH4OH:H2O2:H2O(氨水过氧化氢混合物,APM)、H2SO4+H2O2(硫酸过氧化氢混合物,SPM)等的以比蚀刻硅更高的速率蚀刻硅锗的蚀刻剂去除第一外延层102a。该蚀刻工艺去除第一外延层102a,从而形成纳米线2264。
可选择地,如图23示出的,可以实施圆化工艺以获得圆化的、纳米线。例如,可以在O2的环境中在约300℃至约700℃的温度下和约0.5托至约20托的压力下使用热氧化工艺实施圆化工艺。可以在H2的周围下在从约250℃至约600℃的温度下和在从约1托至约100托的压力下使用HF或退火去除氧化层,以暴露下面的半导体材料。在一些实施例中,在去除第一外延层102a之前,第二外延层102b的宽度W3为从约5nm至约10nm,并且在圆化之后,第二外延层的宽度W4为从约1nm至约7nm。
图24A和图24B示出了根据一些实施例的沿着第一鳍310a和纳米线2264的表面形成的界面层2466的形成。图24A是立体图,并且图24B是沿着图24A的24B-24B线截取的截面图。界面层2466有助于缓冲随后形成的高k介电层和下面的半导体材料。在一些实施例中,界面层2466可以是由化学反应形成的化学氧化硅。例如,可以使用去离子水+臭氧(DIO3)、NH4OH+H2O2+H2O(APM)或其它方法形成化学氧化物。对于其它的实施例,界面层2466可以使用不同的材料或工艺。在实施例中,界面层2466具有约
Figure BDA0001066608800000143
至约
Figure BDA0001066608800000144
的厚度。
在界面层2466上形成栅极介电层2468。在实施例中,栅极介电层2468包括一个或多个高k介电层(例如,具有大于3.9的介电常数)。例如,一个或多个栅极介电层可以包括金属氧化物或Hf、Al、Zr的硅酸盐的一层或多层、它们的组合和它们的多层。其它合适的材料包括La、Mg、Ba、Ti、Pb、Zr、金属氧化物的形式、金属合金氧化物和它们的组合。示例性材料包括MgOx、BaTixOy、BaSrxTiyOz、PbTixOy、PbZrxTiyOz等。栅极介电层2468的形成方法包括分子束沉积(MBD)、ALD、PVD等。在实施例中,栅极介电层2468可以具有约至约
Figure BDA0001066608800000142
的厚度。
图24A和图24B还示出了在栅极介电层2468上方形成的栅电极2470。栅电极2470可以是从W、Cu、Ti、Ag、Al、TiAl、TiAlN、TaC、TaCN、TaSiN、Mn和Zr的组中选择的金属。在可选实施例中,栅电极2470包括从TiN、WN、TaN和Ru的组中选择的金属。在本实施例中,栅电极2470的厚度在从约5nm至约100nm的范围内。可以使用诸如ALD、CVD、PVD、镀或它们的组合的合适的工艺形成栅电极2470。可以实施诸如CMP的平坦化工艺以去除过量的材料。
在图25至图26中,在结构上方形成诸如蚀刻停止层2568和第二ILD2570的一个或多个介电层,并且穿过各个介电层至各个组件形成接触件2572。第二ILD 2570可以包括通过诸如CVD、PECVD、旋涂等或它们的组合的任何合适的方法形成的氧化硅、TEOS、PSG、BPSG、FSG、SiOxCy、旋涂玻璃、旋涂聚合物、碳化硅材料、它们的化合物、它们的复合材料、它们的组合等。可以实施诸如CMP的平坦化工艺以平坦化第二ILD 2570。
可以使用光刻技术和一个或多个蚀刻步骤形成用于接触件2572的开口。在开口中形成诸如扩散阻挡层、粘合层等的衬垫2574和导电材料2674。衬垫可以包括通过ALD、CVD等形成的钛、氮化钛、钽、氮化钽等。导电材料可以是通过ALD、CVD、PVD等形成的铜、铜合金、银、金、钨、铝、镍等。可以实施诸如CMP的平坦化工艺以从第二ILD 2570的表面去除过量的材料。
对于n-型FinFET和/或p-型FinFET的其它实施例,可以使用不同的材料和/或形状。例如,图27A至图27D示出了可以用于n-型FinFET的各个其它的实施例,不同于参照图1至图26的上述讨论的纳米线结构,并且图28A至图28D示出了可以用于参照图1至图26的上述讨论的p-型FinFET的各个其它的实施例。应该注意,图27A至如28D示出了沟道区域和源极/漏极区域的立体图。
诸如在图27A至图28D中示出的那些的实施例开始于与参照图1的上述讨论的那些类似的工艺,除了在形成交替外延层结构102之前,形成了应变弛豫缓冲(SRB)2710,并且在SRB 2710上方形成了交替外延结构102。在一些实施例中,SRB 2710包括Si0.75Ge0.25并且可以通过使用SiH2Cl2或SiH4、GeH4和HCl、B2H6或H2作为反应气体,在约400℃至约800℃的温度下以及在约1托至约200托的压力下实施的LPCVD工艺生长。
之后,可以在SBR 2710上方形成交替外延层结构102。在一些实施例中,第一外延层102a包括Si0.5Ge0.5,Si0.5Ge0.5通过使用SiH2Cl2或SiH4、GeH4和HCl、B2H6或H2作为反应气体,在约400℃至约800℃的温度下以及在约1托至约200托的压力下实施LPCVD工艺生长。第二外延层102b包括硅,该硅可以通过使用SiH2Cl2或SiH4作为反应气体,在约400℃至约750℃的温度下以及在约10托至约200托的压力下实施LPCVD工艺生长。在这些实施例中,第二外延层102b具有比第一外延层102a更大的晶格常数,第一外延层102a具有比SRB 2710更大的晶格常数。
对于n-型FinFET结构,硅层用作源极和漏极区域之间电流流动的沟道区域,并且Si0.5Ge0.5使Si层受到拉伸应变,从而增加了n-型FinFET结构的效率。
对于p-型FinFET结构,Si0.5Ge0.5层用作源极和漏极区域之间电流流动的沟道区域,并且Si层使Si0.5Ge0.5层受到压缩应变,从而增加了p-型FinFET结构的效率。
可以实施与参照图2至图9的上述讨论的那些类似的工艺,其中,沟槽312(见图3)可以至少部分地延伸至SRB 2710,并且可以延伸至下面的衬底100。如上所述,其它材料可以用于形成外延的第一源极/漏极区域930和外延的第二源极/漏极区域932。例如,用于形成图27A至图27D中的n-型FinFET器件的第二源极/漏极区域932的材料可以包括SiGeP,该材料可以通过使用SiH4或Si2H2Cl2、GeH4或Ge2H2Cl2和PH3(磷化氢)作为反应气体,在约400℃至约800℃的温度下以及在约10托至约200托的压力下实施LPCVD工艺生长。用于形成图28A至图28D中的p-型FinFET器件的第一源极/漏极区域930的材料可以包括GeSn,该材料可以通过使用GeH4、SnCl4作为反应气体,在约400℃至约700℃的温度下以及在约10托至约200托的压力下实施LPCVD工艺生长。之后,可以实施与参照图11至图26的上述讨论的类似的工艺,其中,使用以下描述的蚀刻工艺以获得期望的形状。
在图27A和图28A中示出的实施例使用了具有类似的形状和尺寸的第一外延层102a和第二外延层102b。在其它实施例中,第一外延层102a和第二外延层102b可以具有不同的形状。例如,图27B至图27D示出了使用部分地蚀刻的第一外延层102a的各个实施例,并且图28B至图28D示出了使用部分地蚀刻的第二外延层102b的各个实施例。
现在参照图27B,在实施例中,其中,第一外延层102a是Si0.5Ge0.5并且第二外延层102b是Si,可以部分地蚀刻第一外延层102a以在第一外延层102a的侧壁中形成“V”形的凹槽。在这个实施例中,第二外延层102b(例如,硅层)显示了沿着顶面的(001)晶向以及沿着侧壁的(110)晶向。在约5℃至约50℃的温度下使用稀释的APM或SPM溶液约5秒至约100秒的蚀刻沿着(111)晶向选择性地蚀刻第一外延层102a,从而提供了具有(111)晶向的“V”形的凹槽。
现在参照图27C,在实施例中,其中,第一外延层102a是Si0.5Ge0.5并且第二外延层102b是Si,可以部分地蚀刻第一外延层102a以在第一外延层102a的侧壁中形成“U”形的凹槽。在这个实施例中,第二外延层102b(例如,硅层)显示了沿着顶面的(001)晶向以及沿着侧壁的(110)晶向。在约5托至约50托的压力下以及在约20℃至约100℃的温度下使用HCl或Cl2气体实施约10秒至约100秒的干蚀刻工艺选择性地蚀刻第一外延层102a,从而提供了具有(111)晶向的“U”形的凹槽。
现在参照图27D,在实施例中,其中,第一外延层102a是Si0.5Ge0.5并且第二外延层102b是Si,可以均匀地蚀刻第一外延层102a以在第一外延层102a的侧壁中形成凹槽。在这个实施例中,第二外延层102b(例如,硅层)显示了沿着顶面的(110)晶向以及沿着侧壁的(111)晶向。在约5℃至约50℃的温度下使用APM或SPM溶液约5秒至约100秒的蚀刻选择性地蚀刻第一外延层102a,从而提供了具有(111)晶向的凹进的表面。
现在参照图28B,在实施例中,其中,第一外延层102a是Si0.5Ge0.5并且第二外延层102b是Si,可以部分地蚀刻第二外延层102b以在第二外延层102b的侧壁中形成“V”形的凹槽。在这个实施例中,第二外延层102b(例如,硅层)显示了沿着顶面的(001)晶向并且第二外延层102b显示了沿着侧壁的(110)晶向。在约5℃至约50℃的温度下使用TMAH或NH4OH约5秒至约100秒的蚀刻沿着(111)晶向选择性地蚀刻第二外延层102b,从而提供了具有(111)晶向的“V”形的凹槽。
现在参照图28C,在实施例中,其中,第一外延层102a是Si0.5Ge0.5并且第二外延层102b是Si,可以部分地蚀刻第二外延层102b以在第二外延层102b的侧壁中形成“U”形的凹槽。在这个实施例中,第二外延层102b(例如,硅层)显示了沿着顶面的(001)晶向并且第一外延层102a显示了沿着侧壁的(110)晶向。在约20℃至约100℃的温度下以及在约5托至约50托的压力下使用HCl或Cl2气体实施约5秒至100秒的干蚀刻工艺选择性地蚀刻第二外延层102b,从而提供了“U”形的凹槽。
现在参照图28D,在实施例中,其中,第一外延层102a是Si0.5Ge0.5并且第二外延层102b是Si,可以均匀地蚀刻第一外延层102a以在第一外延层102a的侧壁中形成凹槽或削薄第一外延层102a。在这个实施例中,第二外延层102b(例如,硅层)显示了沿着顶面的(110)晶向并且第一外延层102a显示了沿着侧壁的(111)晶向。在约5℃至约50℃的温度下使用TMAH或NH4OH约5秒至约100秒的蚀刻选择性地蚀刻第一外延层102a,从而提供具有(111)晶向的凹进的表面。
图29A和图29B示出了根据一些实施例的交替外延层结构102的上层与沟道区域中的SRB 2710分开的实施例。具体地,图29A示出了完全地去除了最下的第一外延层102a的实施例,并且图29B示出了削薄最下的第一外延层的中间部分直至交替外延层结构102的上层与沟道区域中的SRB2710完全地分开的实施例。
例如,在一些实施例中,当诸如SRB 2710包括Si0.3Ge0.7,第一外延层102a包括Si0.5Ge0.5并且第二外延层102b包括Ge时,去除图29A示出的诸如最下的第一外延层102a的交替外延层结构102的一层或多层是期望的。当形成n-型FinFET时,诸如这些的实施例可能会特别有益。
在这个实施例中,与第一外延层102a和SRB 2710相比,Ge材料具有更大的晶格常数,并且与第一外延层102a相比,SRB 2710具有更大的晶格常数。在n-型器件中,Si0.5Ge0.5用作沟道区域中的电子的载体。去除最下的第一外延层102a使邻近的第二外延层102b(在这个示出的实施例中是Ge)弛豫,并且因此,减小了剩余的第二外延层102b中的压缩应力或引起了第二外延层102b中的拉伸应力。
图30A至图30F示出了根据一些实施例的用于去除最下的第一外延层102a的各个中间工艺步骤。图30A至图30F采取类似于参照图1至图26的上述讨论的那些的工艺,其中,相同的参考标号指定相同的元件。现在参照图30A,已经实施了采取的参照图1至图4的上述讨论的工艺。然而,图5示出了使STI 412凹进的实施例,从而使得暴露了最下的第一外延层102a,图30A中示出的实施例使STI 412凹进,使得未暴露最下的第一外延层102a。
在一些实施例中,如参照图6的上述讨论的,在形成伪栅极介电层618之前,可以在鳍上方形成附加掩模层3080。在随后的处理期间,附加掩模层3080对鳍310提供了额外的保护以去除最下的第一外延层102a。在这些实施例中,附加掩模层3080可以是在鳍结构310上方形成的晶体硅覆盖层或氮化硅层。
之后,可以实施诸如参照图6至图21的上述讨论的工艺。例如,图30C示出了参照图6至图21的上述讨论的在鳍310上方形成的伪栅电极720和形成的其它结构。
图30D示出了根据一些实施例的使用图案化的掩模3072的p-型第一鳍310a的掩蔽。应该注意,为了说明的目的,图30A至图30E示出,p-型第一鳍310a被掩蔽,但是应该理解,可以根据此处讨论的其它的工艺(包括参照图1至图26的上述讨论的那些)处理p-型第一鳍310a。在一些实施例中,图案化的掩模可以由氮化硅形成,但是可以使用其它的材料。
现在,转向图30E,去除伪栅电极720的暴露的部分以暴露第二鳍310b和STI 412的表面,并且图30F示出了使STI 410凹进以暴露第二鳍310b的最下的第一外延层102a。如图30F示出的,附加掩模层3080保护了交替外延层结构102的上层而最下的第一外延层102a未由附加掩模层3080保护。因此,可以蚀刻最下的第一外延层102a以使交替外延层结构102的上层与SRB 2710分开,从而弛豫或减小交替外延层结构102的剩余的层的压缩应力。图30G示出了根据一些实施例的最下的第一外延层102a的去除。
可以使用任何合适的工艺去除最下的第一外延层102a。例如,如图29A示出的,可以实施诸如参照图22的上述讨论的那些的工艺以完全地去除最下的外延层102a。如另一个实例,如图29B示出的,可以在较长时间内实施诸如参照图27B的上述讨论的那些的工艺以使最下的第一外延层102a的相对侧壁凹进,直至交替外延层结构102的上层与SRB 2710分开。
图29A和图29B示出的实施例可以与诸如图27B至图27D和图28B至图28D示出的那些的实施例相结合。例如,图31A示出的实施例中,如参照图29A的上述讨论地去除最下的第一外延层102a并且蚀刻剩余的第一外延层102a以实现参照图27B的上述讨论的“V”形的侧壁。类似地,图31B示出的实施例中,如参照图29A的上述讨论地去除最下的第一外延层102a并且蚀刻剩余的第一外延层102a以实现参照图27C的上述讨论的“U”形的侧壁,并且图31C示出的实施例中,如参照图29A的上述讨论地去除最下的第一外延层102a并且蚀刻剩余的第一外延层102a以形成参照图27D的上述讨论的凹进的侧壁。
如进一步的实例,图31D示出的实施例中,参照图29B的上述讨论的在最下的第一外延层102a上刻凹痕并且蚀刻剩余的第一外延层102a以实现参照图27B的上述讨论的“V”形的侧壁。类似地,图31E示出的实施例中,参照图29B的上述讨论的在最下的第一外延层102a上刻凹痕并且蚀刻剩余的第一外延层102a以实现参照图27C的上述讨论的“U”形的侧壁,并且图31F示出的实施例中,参照图29B的上述讨论的在最下的第一外延层102a上刻凹痕并且蚀刻剩余的第一外延层102a以形成参照图27D的上述讨论的凹进的侧壁。
图31G示出了去除全部的第一外延层102a的图1至图26的部件以及在SRB 2710上方形成交替外延层结构102的图27A的部件结合的实施例。可以使用参照上述图的上述讨论的那些的相关的工艺。
根据一些实施例,图32A至图32B示出了使用参照图29A和图29B的上述讨论的可以用于形成p-型器件的材料的各个实施例。如上所述,在一些实施例中,SRB 2710包括Si0.3Ge0.7,第一外延层102a包括Si0.5Ge0.5,并且第二外延层102b包括Ge。在这些实施例中,用于p-型FinFET的第一源极/漏极区域可以包括GeSn。首先参照图32A,这里示出的实施例剩余了全部的第一外延层102a和第二外延层102b并且既没有削薄第一外延层102a的侧壁也没有削薄第二外延层102b的侧壁(例如,“V”形,“U”形或有凹口的)。在这样的实施例中,由于第一外延层102a的Si0.5Ge0.5和SRB 2710的Si0.3Ge0.7的更小的晶格常数,因此第二外延层102b的Ge材料用作p-型FinFET的空穴载体并且将经受压缩应力。
图32B至图32D示出的实施例类似于图28B至图28D,除了图32B至图32D中削薄的是第一外延层102a的侧壁,而不是图28B至图28D的第二外延层102b的侧壁。例如,图28B至图28D示出的实施例中,第一外延层102a包括Si0.5Ge0.5并且第二外延层102b包括Si。在p-型器件中,由于第二外延层102b的Si材料的更小的晶格常数,因此Si0.5Ge0.5用作空穴载体并且经受压缩应力。在图30B至图30D中,由于第一外延层102a的Si0.5Ge0.5和SRB 2710的Si0.3Ge0.7的更小的晶格常数,因此第二外延层102b的Ge材料用作空穴载体并且经受压缩应力。相应地,削薄第一外延层102a,从而改进第二外延层102b的栅极控制。可以使用参照图28B中的第一外延层102a的Si0.5Ge0.5的上述讨论的类似的工艺形成图32B中的第一外延层102a的Si0.5Ge0.5中的“V”形的侧壁。可以使用参照图28C中的第一外延层102a的Si0.5Ge0.5的上述讨论的类似的工艺形成图32C中的第一外延层102a的Si0.5Ge0.5中的“U”形的侧壁。可以使用参照图28D中的第一外延层102a的Si0.5Ge0.5的上述讨论的类似的工艺形成图32D中的第一外延层102a的Si0.5Ge0.5中的缩进的或有凹口的侧壁。
可以明白,例如,诸如此处讨论的那些的实施例通过提供纳米线结构和与载流层的更大的栅极相互作用而提供了更大的栅极控制。例如,沟道区域中的纳米线结构的创建允许GAA结构和更大的栅极控制。类似地,使用外延材料的交替层允许更大的应力(压缩或拉伸)并且削薄选择层也允许更大的栅极控制。因此,在沟道区域中使用不同处理的n-型和p-型器件可以使用类似的材料,从而减小了涉及不同的外延层生长的制造成本和处理时间。
此外,交替外延层结构102的使用提供了改进的器件性能。交替外延层结构102通过插入交替应力层而防止或减小了应变弛豫。通过选择材料,使得在用作载体(例如,用于空穴或电子)的层上施加应力(压缩或拉伸),可以控制载流层中的应力至更大程度。
在实施例中,提供了形成半导体器件的方法,该方法包括形成第一鳍和第二鳍,每个第一鳍和第二鳍均包括交替外延结构,交替外延结构具有多个外延层,多个外延层包括第一外延层和第二外延层,第一外延层包括第一半导体材料,第二外延层包括第二半导体材料,交替外延结构的层在一个第一外延层和一个第二外延层之间交替。在第一鳍和第二鳍上方形成第一介电层,并且暴露了第二鳍的沟道区域。该方法还包括去除第二鳍的沟道区域中的至少部分第一外延层,在第一鳍上方形成第一栅极堆叠件,第一栅极堆叠件沿着第一鳍的第一外延层和第二外延层的侧壁延伸,并且在第二鳍上方形成第二栅极堆叠件,第二栅极堆叠件沿着第二外延层的侧壁延伸。
在上述方法中,其中,去除至少部分所述第一外延层完全地去除了所述沟道区域中的邻近的所述第二外延层之间的所述第一外延层。
在上述方法中,其中,所述第一栅极堆叠件和所述第二栅极堆叠件是相同的栅极堆叠件。
在上述方法中,其中,所述第一鳍包括p-型晶体管的沟道区域并且所述第二鳍包括n-型晶体管的沟道区域。
在上述方法中,还包括:在衬底上方形成应变弛豫缓冲(SRB);在所述应变弛豫缓冲上方形成所述交替外延结构;以及在所述交替外延结构中形成沟槽,所述沟槽至少部分地延伸至所述应变弛豫缓冲,其中,所述第一鳍和所述第二鳍插入在邻近的所述沟槽之间。
在上述方法中,还包括:在衬底上方形成应变弛豫缓冲(SRB);在所述应变弛豫缓冲上方形成所述交替外延结构;以及在所述交替外延结构中形成沟槽,所述沟槽至少部分地延伸至所述应变弛豫缓冲,其中,所述第一鳍和所述第二鳍插入在邻近的所述沟槽之间,其中,所述沟槽完全地穿过所述应变弛豫缓冲延伸。
在上述方法中,还包括:在衬底上方形成应变弛豫缓冲(SRB);在所述应变弛豫缓冲上方形成所述交替外延结构;以及在所述交替外延结构中形成沟槽,所述沟槽至少部分地延伸至所述应变弛豫缓冲,其中,所述第一鳍和所述第二鳍插入在邻近的所述沟槽之间,其中,去除至少部分所述第一外延层包括将所述第二外延层的最下的第二外延层与所述沟道区域中的所述应变弛豫缓冲分开。
在上述方法中,还包括:在形成所述第一介电层之后,暴露所述第一鳍的所述第二外延层的最上的第二外延层;以及去除所述第一鳍的所述第二外延层的所述最上的第二外延层,其中,所述第二栅极堆叠件在所述第一鳍的最上的第一外延层的上表面上方延伸。
在上述方法中,其中,去除至少部分所述第一外延层包括沿着所述第一外延层的所述侧壁形成V形的缩进。
在上述方法中,其中,去除至少部分所述第一外延层包括沿着所述第一外延层的所述侧壁形成U形的缩进。
在上述方法中,其中,去除至少部分所述第一外延层包括使所述第一外延层的所述侧壁凹进。
在另一个实施例中,提供了形成半导体器件的方法。该方法包括形成第一鳍和第二鳍,每个第一鳍和第二鳍均包括交替外延结构,交替外延结构具有多个外延层,多个外延层包括第一外延层和第二外延层,第一外延层包括第一半导体材料,第二外延层包括第二半导体材料,交替外延结构的层在一个第一外延层和一个第二外延层之间交替。选择性地蚀刻第一鳍的第一沟道区域中的至少一个第一外延层的侧壁,并且选择性地蚀刻第二鳍的第二沟道区域中的至少一个第二外延层的侧壁。在第一鳍上方形成第一栅极堆叠件,并且在第二鳍上方形成第二栅极堆叠件。
在上述方法中,其中,选择性地蚀刻至少一个所述第一外延层的所述侧壁完全地去除全部的所述第一外延层。
在上述方法中,其中,选择性地蚀刻至少一个所述第一外延层包括:去除所述第一沟道区域中的所述第一外延层的最下的第一外延层;以及选择性地蚀刻所述第一沟道区域中的剩余的所述第一外延层的侧壁。
在上述方法中,其中,选择性地蚀刻至少一个所述第一外延层包括:去除所述第一沟道区域中的所述第一外延层的最下的第一外延层;以及选择性地蚀刻所述第一沟道区域中的剩余的所述第一外延层的侧壁,其中,选择性地蚀刻剩余的所述第一外延层的所述侧壁包括沿着所述第一外延层的所述侧壁形成V形的缩进。
在上述方法中,其中,选择性地蚀刻至少一个所述第一外延层包括:去除所述第一沟道区域中的所述第一外延层的最下的第一外延层;以及选择性地蚀刻所述第一沟道区域中的剩余的所述第一外延层的侧壁,其中,选择性地蚀刻剩余的所述第一外延层的所述侧壁包括沿着所述第一外延层的所述侧壁形成U形的缩进。
在上述方法中,其中,选择性地蚀刻至少一个所述第一外延层包括:去除所述第一沟道区域中的所述第一外延层的最下的第一外延层;以及选择性地蚀刻所述第一沟道区域中的剩余的所述第一外延层的侧壁,其中,选择性地蚀刻剩余的所述第一外延层的所述侧壁包括使所述第一外延层的所述侧壁凹进。
在上述方法中,还包括:在衬底上方形成应变弛豫缓冲(SRB);在所述应变弛豫缓冲上方形成所述交替外延结构;以及在所述交替外延结构中形成沟槽,所述沟槽至少部分地延伸至所述应变弛豫缓冲,其中,所述第一鳍和所述第二鳍插入在邻近的所述沟槽之间。
在上述方法中,还包括:在衬底上方形成应变弛豫缓冲(SRB);在所述应变弛豫缓冲上方形成所述交替外延结构;以及在所述交替外延结构中形成沟槽,所述沟槽至少部分地延伸至所述应变弛豫缓冲,其中,所述第一鳍和所述第二鳍插入在邻近的所述沟槽之间,其中,选择性地蚀刻所述第一鳍的所述第一沟道区域中的至少一个所述第一外延层的所述侧壁包括形成穿过所述第一鳍的开口。
在又另一个实施例中,形成了半导体器件。该器件包括衬底、第一源极/漏极区域和在第一源极/漏极区域之间插入的第一沟道区域(第一源极/漏极区域和第一沟道区域包括第一外延层和第二外延层的交替层)以及第二源极/漏极区域和在第二源极/漏极区域之间插入的第二沟道区域,第二源极/漏极区域包括第一外延层和第二外延层的交替层,第二沟道区域包括第二外延层,其中,在第二源极/漏极区域之间存在第一外延层中的间隙。第一栅电极在第一沟道区域上方延伸,并且第二栅电极在第二沟道区域上方延伸。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本人所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。

Claims (18)

1.一种形成半导体器件的方法,所述方法包括:
形成第一鳍和第二鳍,每个所述第一鳍和每个所述第二鳍均包括交替外延结构,所述交替外延结构具有多个外延层,所述多个外延层包括第一外延层和第二外延层,所述第一外延层包括第一半导体材料,所述第二外延层包括第二半导体材料,所述交替外延结构的层在一个所述第一外延层和一个所述第二外延层之间交替;
在所述第一鳍和所述第二鳍上方形成第一介电层;
暴露所述第二鳍的沟道区域;
去除所述第二鳍的所述沟道区域中的至少部分所述第一外延层以沿着所述第一外延层的侧壁形成凹进;
在所述第一鳍上方形成第一栅极堆叠件,所述第一栅极堆叠件沿着所述第一鳍的所述第一外延层的侧壁和所述第二外延层的侧壁延伸;以及
在所述第二鳍上方形成第二栅极堆叠件,所述第二栅极堆叠件沿着所述第二外延层的侧壁延伸。
2.根据权利要求1所述的形成半导体器件的方法,其中,去除至少部分所述第一外延层完全地去除了所述沟道区域中的邻近的所述第二外延层之间的所述第一外延层。
3.根据权利要求1所述的形成半导体器件的方法,其中,所述第一栅极堆叠件和所述第二栅极堆叠件是相同的栅极堆叠件。
4.根据权利要求1所述的形成半导体器件的方法,其中,所述第一鳍包括p-型晶体管的沟道区域并且所述第二鳍包括n-型晶体管的沟道区域。
5.根据权利要求1所述的形成半导体器件的方法,还包括:
在衬底上方形成应变弛豫缓冲(SRB);
在所述应变弛豫缓冲上方形成所述交替外延结构;以及
在所述交替外延结构中形成沟槽,所述沟槽至少部分地延伸至所述应变弛豫缓冲,其中,所述第一鳍和所述第二鳍插入在邻近的所述沟槽之间。
6.根据权利要求5所述的形成半导体器件的方法,其中,所述沟槽完全地穿过所述应变弛豫缓冲延伸。
7.根据权利要求5所述的形成半导体器件的方法,其中,去除至少部分所述第一外延层包括将所述第二外延层的最下的第二外延层与所述沟道区域中的所述应变弛豫缓冲分开。
8.根据权利要求1所述的形成半导体器件的方法,还包括:
在形成所述第一介电层之后,暴露所述第一鳍的所述第二外延层的最上的第二外延层;以及
去除所述第一鳍的所述第二外延层的所述最上的第二外延层,其中,所述第二栅极堆叠件在所述第一鳍的最上的第一外延层的上表面上方延伸。
9.根据权利要求1所述的形成半导体器件的方法,其中,去除至少部分所述第一外延层包括沿着所述第一外延层的所述侧壁形成V形的缩进。
10.根据权利要求1所述的形成半导体器件的方法,其中,去除至少部分所述第一外延层包括沿着所述第一外延层的所述侧壁形成U形的缩进。
11.一种形成半导体器件的方法,所述方法包括:
形成第一鳍和第二鳍,每个所述第一鳍和每个所述第二鳍均包括交替外延结构,所述交替外延结构具有多个外延层,所述多个外延层包括第一外延层和第二外延层,所述第一外延层包括第一半导体材料,所述第二外延层包括第二半导体材料,所述交替外延结构的层在一个所述第一外延层和一个所述第二外延层之间交替;
选择性地蚀刻所述第一鳍的第一沟道区域中的至少一个所述第一外延层的侧壁以沿着所述第一外延层的所述侧壁形成凹进;
选择性地蚀刻所述第二鳍的第二沟道区域中的至少一个所述第二外延层的侧壁;
在所述第一鳍上方形成第一栅极堆叠件;以及
在所述第二鳍上方形成第二栅极堆叠件。
12.根据权利要求11所述的形成半导体器件的方法,其中,选择性地蚀刻至少一个所述第一外延层的所述侧壁完全地去除全部的所述第一外延层。
13.根据权利要求11所述的形成半导体器件的方法,其中,选择性地蚀刻至少一个所述第一外延层包括:
去除所述第一沟道区域中的所述第一外延层的最下的第一外延层;以及
选择性地蚀刻所述第一沟道区域中的剩余的所述第一外延层的侧壁。
14.根据权利要求13所述的形成半导体器件的方法,其中,选择性地蚀刻剩余的所述第一外延层的所述侧壁包括沿着所述第一外延层的所述侧壁形成V形的缩进。
15.根据权利要求13所述的形成半导体器件的方法,其中,选择性地蚀刻剩余的所述第一外延层的所述侧壁包括沿着所述第一外延层的所述侧壁形成U形的缩进。
16.根据权利要求11所述的形成半导体器件的方法,还包括:
在衬底上方形成应变弛豫缓冲(SRB);
在所述应变弛豫缓冲上方形成所述交替外延结构;以及
在所述交替外延结构中形成沟槽,所述沟槽至少部分地延伸至所述应变弛豫缓冲,其中,所述第一鳍和所述第二鳍插入在邻近的所述沟槽之间。
17.根据权利要求16所述的形成半导体器件的方法,其中,选择性地蚀刻所述第一鳍的所述第一沟道区域中的至少一个所述第一外延层的所述侧壁包括形成穿过所述第一鳍的开口。
18.一种半导体器件,包括:
衬底;
第一源极区域、第一漏极区域和在所述第一源极区域与所述第一漏极区域之间插入的第一沟道区域,所述第一源极区域、所述第一漏极区域和所述第一沟道区域包括第一外延层和第二外延层的交替层;
第二源极区域、第二漏极区域和在所述第二源极区域与所述第二漏极区域之间插入的第二沟道区域,所述第二源极区域、所述第二漏极区域包括所述第一外延层和所述第二外延层的所述交替层,所述第二沟道区域包括所述第二外延层,其中,在所述第二源极区域和所述第二漏极区域之间的所述第一外延层中存在沿着所述第一外延层的侧壁的间隙;
第一栅电极,在所述第一沟道区域上方延伸;以及
第二栅电极,在所述第二沟道区域上方延伸。
CN201610621501.0A 2015-10-07 2016-08-01 应变纳米线cmos器件和形成方法 Active CN106571340B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562238490P 2015-10-07 2015-10-07
US62/238,490 2015-10-07
US14/935,195 2015-11-06
US14/935,195 US9853101B2 (en) 2015-10-07 2015-11-06 Strained nanowire CMOS device and method of forming

Publications (2)

Publication Number Publication Date
CN106571340A CN106571340A (zh) 2017-04-19
CN106571340B true CN106571340B (zh) 2020-01-10

Family

ID=58405944

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610621501.0A Active CN106571340B (zh) 2015-10-07 2016-08-01 应变纳米线cmos器件和形成方法

Country Status (5)

Country Link
US (6) US9853101B2 (zh)
KR (1) KR101795208B1 (zh)
CN (1) CN106571340B (zh)
DE (1) DE102016100022B4 (zh)
TW (1) TWI637437B (zh)

Families Citing this family (380)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160372600A1 (en) * 2015-06-19 2016-12-22 International Business Machines Corporation Contact-first field-effect transistors
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10115807B2 (en) * 2015-11-18 2018-10-30 Globalfoundries Inc. Method, apparatus and system for improved performance using tall fins in finFET devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9978649B2 (en) * 2016-03-21 2018-05-22 Tokyo Electron Limited Solid source doping for source and drain extension doping
US11018254B2 (en) 2016-03-31 2021-05-25 International Business Machines Corporation Fabrication of vertical fin transistor with multiple threshold voltages
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
FR3057702B1 (fr) * 2016-10-13 2018-12-07 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de fabrication d'un transistor a effet de champ a grille enrobante
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
WO2018090001A1 (en) * 2016-11-14 2018-05-17 Tokyo Electron Limited Method of forming gate spacer for nanowire fet device
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) * 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10269934B2 (en) 2017-03-23 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing tunnel field effect transistor
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10522417B2 (en) * 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10535780B2 (en) * 2017-05-08 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including an epitaxial layer wrapping around the nanowires
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10283414B2 (en) 2017-06-20 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation manufacturing method for semiconductor structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10211307B2 (en) 2017-07-18 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing inner spacers in a gate-all-around (GAA) FET through multi-layer spacer replacement
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10332985B2 (en) 2017-08-31 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10497624B2 (en) 2017-09-29 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10714592B2 (en) 2017-10-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10818777B2 (en) 2017-10-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10847622B2 (en) 2017-11-13 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming source/drain structure with first and second epitaxial layers
US10355102B2 (en) 2017-11-15 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
DE102018106581B4 (de) 2017-11-30 2020-07-09 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
US10923595B2 (en) * 2017-11-30 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a SiGe epitaxial layer containing Ga
US10685887B2 (en) * 2017-12-04 2020-06-16 Tokyo Electron Limited Method for incorporating multiple channel materials in a complimentary field effective transistor (CFET) device
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) * 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11081567B2 (en) * 2018-03-12 2021-08-03 International Business Machines Corporation Replacement-channel fabrication of III-V nanosheet devices
US10943822B2 (en) 2018-03-15 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Forming gate line-end of semiconductor structures
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10854714B2 (en) 2018-04-20 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium containing nanowires and methods for forming the same
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10276719B1 (en) 2018-04-30 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10756089B2 (en) * 2018-05-16 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid semiconductor transistor structure and manufacturing method for the same
US11398476B2 (en) 2018-05-16 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with hybrid fins
US10636878B2 (en) 2018-05-18 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Steep sloped vertical tunnel field-effect transistor
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10651314B2 (en) 2018-06-26 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with inner spacer and methods for producing the same
US11043556B2 (en) 2018-06-26 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Local epitaxy nanofilms for nanowire stack GAA device
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10672899B2 (en) 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Tunnel field-effect transistor with reduced trap-assisted tunneling leakage
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11031239B2 (en) 2018-06-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium nanosheets and methods of forming the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10872825B2 (en) 2018-07-02 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10861750B2 (en) 2018-07-02 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11031395B2 (en) 2018-07-13 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming high performance MOSFETs having varying channel structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10886182B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
CN110828541B (zh) * 2018-08-14 2023-05-16 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
US10741558B2 (en) 2018-08-14 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet CMOS device and method of forming
US10522623B1 (en) 2018-08-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium nitride layers on semiconductor structures, and methods for forming the same
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11367783B2 (en) 2018-08-17 2022-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11043578B2 (en) 2018-08-30 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with inner spacer
US10608083B2 (en) 2018-08-31 2020-03-31 International Business Machines Corporation Non-planar field effect transistor devices with low-resistance metallic gate structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11245011B2 (en) 2018-09-25 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical tunnel field-effect transistor with U-shaped gate and band aligner
US11038036B2 (en) 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack GAA device
US10923659B2 (en) 2018-09-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Wafers for use in aligning nanotubes and methods of making and using the same
CN110970432A (zh) * 2018-09-28 2020-04-07 芯恩(青岛)集成电路有限公司 全包围栅纳米片互补反相器结构及其制造方法
US11069812B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
CN110970369B (zh) * 2018-09-30 2022-08-02 中芯国际集成电路制造(上海)有限公司 Cmos反相器结构及其形成方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11335604B2 (en) 2018-10-31 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN111180513B (zh) * 2018-11-12 2023-07-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11276832B2 (en) 2018-11-28 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with diffusion break and method
US11101360B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
DE102019115490B4 (de) 2018-11-30 2022-10-20 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-bauelement und verfahren zu dessen herstellung
US11387362B2 (en) * 2018-11-30 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11522048B2 (en) * 2019-03-22 2022-12-06 Intel Corporation Gate-all-around integrated circuit structures having source or drain structures with epitaxial nubs
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
US11532706B2 (en) * 2019-03-29 2022-12-20 Intel Corporation Gate-all-around integrated circuit structures having embedded GeSnB source or drain structures
US11532734B2 (en) * 2019-03-29 2022-12-20 Intel Corporation Gate-all-around integrated circuit structures having germanium nanowire channel structures
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200139295A (ko) * 2019-06-03 2020-12-14 삼성전자주식회사 반도체 장치
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018573A (ko) 2019-08-05 2021-02-18 삼성전자주식회사 활성 영역 및 게이트 구조물을 갖는 반도체 소자
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR102638741B1 (ko) 2019-08-19 2024-02-22 삼성전자주식회사 반도체 메모리 소자
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11239368B2 (en) 2019-08-30 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11201243B2 (en) 2019-09-03 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device and methods for producing the same
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11031292B2 (en) 2019-09-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11049937B2 (en) 2019-10-18 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
KR20210054354A (ko) 2019-11-05 2021-05-13 삼성전자주식회사 반도체 소자
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11410889B2 (en) * 2019-12-31 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11183584B2 (en) 2020-01-17 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11705372B2 (en) * 2020-02-11 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin loss prevention
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11616151B2 (en) * 2020-05-26 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd Channel configuration for improving multigate device performance and method of fabrication thereof
DE102021108221A1 (de) * 2020-05-26 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Kanalkonfiguration zur Verbesserung der Leistung eines Multigate-Bauelements und Verfahren zur Fertigung davon
US11302580B2 (en) * 2020-05-29 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet thickness
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11699735B2 (en) 2020-06-05 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11367784B2 (en) 2020-06-15 2022-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US20210399104A1 (en) * 2020-06-17 2021-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Triple Layer High-K Gate Dielectric Stack for Workfunction Engineering
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US11728171B2 (en) 2020-06-25 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with metal gate fill structure
US11699736B2 (en) 2020-06-25 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11729967B2 (en) 2020-07-08 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitor, memory device, and method
KR20220010662A (ko) * 2020-07-17 2022-01-26 삼성전자주식회사 반도체 장치
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11653581B2 (en) 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM device structure and manufacturing method
US11508621B2 (en) 2020-08-21 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11380685B2 (en) * 2020-10-02 2022-07-05 Qualcomm Incorporated Semiconductor device with superlattice fin
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11626485B2 (en) 2020-10-14 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and method
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11728401B2 (en) * 2020-10-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods thereof
US11735590B2 (en) 2020-11-13 2023-08-22 International Business Machines Corporation Fin stack including tensile-strained and compressively strained fin portions
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220080770A (ko) 2020-12-07 2022-06-15 삼성전자주식회사 반도체 소자
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11749566B2 (en) 2021-01-15 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Inner filler layer for multi-patterned metal gate for nanostructure transistor
KR102515659B1 (ko) * 2021-03-03 2023-03-30 한국과학기술원 3차원 게이트 올 어라운드 구조의 수평형 및 수직형 나노시트 채널을 갖는 전계효과 트랜지스터 및 그 제조방법
US11855079B2 (en) 2021-04-30 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with backside trench for metal gate definition
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11764277B2 (en) * 2021-06-04 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
CN113394295B (zh) * 2021-06-10 2023-12-19 上海集成电路制造创新中心有限公司 P型环栅器件堆叠结构及增强p型环栅器件沟道应力方法
CN113611743B (zh) 2021-06-11 2022-06-07 联芯集成电路制造(厦门)有限公司 半导体晶体管结构及其制作方法
US11916122B2 (en) 2021-07-08 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around transistor with dual inner spacers
US11804532B2 (en) 2021-08-27 2023-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices with superlattice channel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023225155A1 (en) * 2022-05-20 2023-11-23 Tokyo Electron Limited Sequential complimentary fet incorporating backside power distribution network through wafer bonding prior to formation of active devices

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103238208A (zh) * 2010-12-01 2013-08-07 英特尔公司 硅和硅锗纳米线结构
CN103311297A (zh) * 2012-03-16 2013-09-18 台湾积体电路制造股份有限公司 具有超晶格应激源的FinFET
CN103779226A (zh) * 2012-10-23 2014-05-07 中国科学院微电子研究所 准纳米线晶体管及其制造方法
CN103855090A (zh) * 2012-12-03 2014-06-11 国际商业机器公司 半导体结构及其形成方法
CN104011849A (zh) * 2011-12-23 2014-08-27 英特尔公司 Cmos纳米线结构
CN104377197A (zh) * 2013-08-12 2015-02-25 三星电子株式会社 半导体器件及其制造方法
US9123627B1 (en) * 2014-05-01 2015-09-01 Globalfoundries Inc. Methods of forming alternative material fins with reduced defect density for a FinFET semiconductor device

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974729B2 (en) * 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
JP4724231B2 (ja) 2009-01-29 2011-07-13 株式会社東芝 半導体装置およびその製造方法
JP5353278B2 (ja) 2009-02-06 2013-11-27 富士通株式会社 通信装置
US7893492B2 (en) 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8273617B2 (en) * 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US9362290B2 (en) * 2010-02-08 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell layout
US8642996B2 (en) * 2011-04-18 2014-02-04 International Business Machines Corporation Graphene nanoribbons and carbon nanotubes fabricated from SiC fins or nanowire templates
DE112011105945B4 (de) * 2011-12-19 2021-10-28 Google Llc Gruppe III-N Nanodraht-Transistoren und Verfahren zu ihrer Herstellung
WO2013095341A1 (en) * 2011-12-19 2013-06-27 Intel Corporation Cmos implementation of germanium and iii-v nanowires and nanoribbons in gate-all-around architecture
US9093550B1 (en) * 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
KR101894221B1 (ko) * 2012-03-21 2018-10-04 삼성전자주식회사 전계 효과 트랜지스터 및 이를 포함하는 반도체 장치
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
CN103579295B (zh) * 2012-07-25 2016-12-28 中国科学院微电子研究所 半导体器件及其制造方法
CN103730366B (zh) * 2012-10-16 2018-07-31 中国科学院微电子研究所 堆叠纳米线mos晶体管制作方法
CN103811344B (zh) * 2012-11-09 2016-08-10 中国科学院微电子研究所 半导体器件及其制造方法
CN103811341B (zh) * 2012-11-09 2016-05-11 中国科学院微电子研究所 半导体器件及其制造方法
US9059044B2 (en) * 2012-11-15 2015-06-16 International Business Machines Corporation On-chip diode with fully depleted semiconductor devices
US20140151639A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Nanomesh complementary metal-oxide-semiconductor field effect transistors
US20140175531A1 (en) * 2012-12-20 2014-06-26 United Microelectronics Corp. Non-volatile memory structure and manufacturing method thereof
US8900959B2 (en) * 2013-03-12 2014-12-02 International Business Machines Corporation Non-replacement gate nanomesh field effect transistor with pad regions
US9064944B2 (en) * 2013-03-15 2015-06-23 Intel Corporation Nanowire transistor with underlayer etch stops
KR102031709B1 (ko) * 2013-03-15 2019-10-14 인텔 코포레이션 하드마스크 층들을 이용한 나노 와이어 트랜지스터 제조
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9764950B2 (en) 2013-08-16 2017-09-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with one or more semiconductor columns
US9257545B2 (en) * 2013-09-12 2016-02-09 Globalfoundries Inc. Stacked nanowire device with variable number of nanowire channels
EP3050111A4 (en) * 2013-09-27 2017-06-07 Intel Corporation Improved cladding layer epitaxy via template engineering for heterogeneous integration on silicon
KR102136234B1 (ko) * 2013-10-03 2020-07-21 인텔 코포레이션 나노와이어 트랜지스터들을 위한 내부 스페이서들 및 그 제조 방법
CN104576382B (zh) * 2013-10-14 2017-09-12 中国科学院微电子研究所 一种非对称FinFET结构及其制造方法
US9484423B2 (en) 2013-11-01 2016-11-01 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet III-V channel FETs
US9246005B2 (en) * 2014-02-12 2016-01-26 International Business Machines Corporation Stressed channel bulk fin field effect transistor
US9224833B2 (en) 2014-02-13 2015-12-29 Taiwan Semiconductor Manufacturing Company Limited Method of forming a vertical device
US9147683B2 (en) * 2014-02-18 2015-09-29 International Business Machines Corporation CMOS transistors including gate spacers of the same thickness
US9647113B2 (en) * 2014-03-05 2017-05-09 International Business Machines Corporation Strained FinFET by epitaxial stressor independent of gate pitch
US9312136B2 (en) * 2014-03-06 2016-04-12 International Business Machines Corporation Replacement metal gate stack for diffusion prevention
US9985030B2 (en) * 2014-04-07 2018-05-29 International Business Machines Corporation FinFET semiconductor device having integrated SiGe fin
US9209185B2 (en) * 2014-04-16 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET device
US9653563B2 (en) 2014-04-18 2017-05-16 Taiwan Semiconductor Manufacturing Company Limited Connection structure for vertical gate all around (VGAA) devices on semiconductor on insulator (SOI) substrate
US9490365B2 (en) * 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9577100B2 (en) * 2014-06-16 2017-02-21 Globalfoundries Inc. FinFET and nanowire semiconductor devices with suspended channel regions and gate structures surrounding the suspended channel regions
TWI615976B (zh) * 2014-07-07 2018-02-21 聯華電子股份有限公司 鰭式場效電晶體及其製造方法
KR102219295B1 (ko) * 2014-07-25 2021-02-23 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US9306067B2 (en) * 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
US9847329B2 (en) * 2014-09-04 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of fin feature and method of making same
US9251888B1 (en) 2014-09-15 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cells with vertical gate-all-round MOSFETs
US9293459B1 (en) * 2014-09-30 2016-03-22 International Business Machines Corporation Method and structure for improving finFET with epitaxy source/drain
US9614056B2 (en) * 2014-10-28 2017-04-04 Globalfoundries Inc. Methods of forming a tri-gate FinFET device
US9508719B2 (en) * 2014-11-26 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device with controlled end-to-end critical dimension and method for forming the same
US9412814B2 (en) * 2014-12-24 2016-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of FinFET device
US9773786B2 (en) * 2015-04-30 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US10170608B2 (en) * 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9583399B1 (en) * 2015-11-30 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9929266B2 (en) * 2016-01-25 2018-03-27 International Business Machines Corporation Method and structure for incorporating strain in nanosheet devices
US9484267B1 (en) * 2016-02-04 2016-11-01 International Business Machines Corporation Stacked nanowire devices
US9660028B1 (en) * 2016-10-31 2017-05-23 International Business Machines Corporation Stacked transistors with different channel widths
US9978772B1 (en) * 2017-03-14 2018-05-22 Micron Technology, Inc. Memory cells and integrated structures
KR20190008051A (ko) * 2017-07-14 2019-01-23 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 동작 방법
EP3503199A1 (en) * 2017-12-22 2019-06-26 IMEC vzw A method for forming a ferroelectric field-effect transistor

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103238208A (zh) * 2010-12-01 2013-08-07 英特尔公司 硅和硅锗纳米线结构
CN104011849A (zh) * 2011-12-23 2014-08-27 英特尔公司 Cmos纳米线结构
CN103311297A (zh) * 2012-03-16 2013-09-18 台湾积体电路制造股份有限公司 具有超晶格应激源的FinFET
CN103779226A (zh) * 2012-10-23 2014-05-07 中国科学院微电子研究所 准纳米线晶体管及其制造方法
CN103855090A (zh) * 2012-12-03 2014-06-11 国际商业机器公司 半导体结构及其形成方法
CN104377197A (zh) * 2013-08-12 2015-02-25 三星电子株式会社 半导体器件及其制造方法
US9123627B1 (en) * 2014-05-01 2015-09-01 Globalfoundries Inc. Methods of forming alternative material fins with reduced defect density for a FinFET semiconductor device

Also Published As

Publication number Publication date
US10727298B2 (en) 2020-07-28
DE102016100022A1 (de) 2017-04-13
DE102016100022B4 (de) 2021-03-18
US10535732B2 (en) 2020-01-14
KR20170041605A (ko) 2017-04-17
US11309385B2 (en) 2022-04-19
TWI637437B (zh) 2018-10-01
US20220302257A1 (en) 2022-09-22
CN106571340A (zh) 2017-04-19
US20200135854A1 (en) 2020-04-30
US20170104061A1 (en) 2017-04-13
US9853101B2 (en) 2017-12-26
US11652141B2 (en) 2023-05-16
US20180090570A1 (en) 2018-03-29
KR101795208B1 (ko) 2017-11-07
TW201730956A (zh) 2017-09-01
US11798989B2 (en) 2023-10-24
US20200357885A1 (en) 2020-11-12
US20220216301A1 (en) 2022-07-07

Similar Documents

Publication Publication Date Title
US10727298B2 (en) Strained nanowire CMOS device and method of forming
US10872825B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
US11239345B2 (en) Gate formation with varying work function layers
US10170318B2 (en) Self-aligned contact and manufacturing method thereof
US11605728B2 (en) Semiconductor device structure with inner spacer layer
CN110660857B (zh) 半导体工艺的方法及半导体器件
US20230326990A1 (en) Multi-Channel Devices and Methods of Manufacture
US20230387228A1 (en) Contact plug structure of semiconductor device and method of forming same
TW202209555A (zh) 半導體元件的製造方法及半導體元件
US20220278200A1 (en) Hybrid channel semiconductor device and method
US11011623B2 (en) Method for increasing germanium concentration of FIN and resulting semiconductor device
US20230411480A1 (en) Method of forming semiconductor device with implanted nanosheets
US11756832B2 (en) Gate structures in semiconductor devices
US11915979B2 (en) Gate structures in semiconductor devices
US11973129B2 (en) Semiconductor device structure with inner spacer layer and method for forming the same
US11854899B2 (en) Semiconductor devices and methods of manufacturing thereof
US20230395693A1 (en) Semiconductor device and manufacturing method thereof
US20240128364A1 (en) Semiconductor device and formation method thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant