TW201611162A - 半導體基板處理設備之真空室的調節方法 - Google Patents

半導體基板處理設備之真空室的調節方法 Download PDF

Info

Publication number
TW201611162A
TW201611162A TW104123969A TW104123969A TW201611162A TW 201611162 A TW201611162 A TW 201611162A TW 104123969 A TW104123969 A TW 104123969A TW 104123969 A TW104123969 A TW 104123969A TW 201611162 A TW201611162 A TW 201611162A
Authority
TW
Taiwan
Prior art keywords
vacuum chamber
semiconductor substrate
reactant
processing apparatus
plasma
Prior art date
Application number
TW104123969A
Other languages
English (en)
Other versions
TWI679713B (zh
Inventor
丹尼斯麥可 豪斯曼恩
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201611162A publication Critical patent/TW201611162A/zh
Application granted granted Critical
Publication of TWI679713B publication Critical patent/TWI679713B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/01Manufacture or treatment
    • H10N60/0268Manufacture or treatment of devices comprising copper oxide
    • H10N60/0661Processes performed after copper oxide formation, e.g. patterning
    • H10N60/0716Passivating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/36Successively applying liquids or other fluent materials, e.g. without intermediate treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

一種半導體基板處理設備之真空室的調節方法包含在真空室之電漿或處理氣體暴露表面上形成一層有機聚合膜。該方法包含:(a)使第一反應物以二醯基氯化物(diacyl chloride)之氣相流動至真空室內;(b)第一反應物之流動停止後沖洗真空室;(c)使第二反應物以氣相流動至真空室內,使得在真空室之電漿或處理氣體暴露表面上形成一層有機聚合膜,該第二反應物係選自由二元胺(diamine)、二元醇(diol)、硫醇(thiol)、及三官能化合物(trifunctional compound)組成的群組;以及(d)沖洗真空室,以從真空室沖洗多餘的第二反應物和反應副產物。

Description

半導體基板處理設備之真空室的調節方法
本發明相關於藉由原子層沉積和/或分子層沉積所形成的塗膜,且可在半導體基板處理設備之真空室的腔室元件之電漿或處理氣體暴露表面的塗佈中找到特定用途。
半導體基板處理設備係用來在其真空室內藉由包含以下者的技術處理半導體基板(此處也可指基板、半導體晶圓、或晶圓):蝕刻、物理氣相沉積(PVD, physical vapor deposition)、化學氣相沉積(CVD, chemical vapor deposition)、電漿加強化學氣相沉積(PECVD,plasma enhanced chemical vapor deposition)、原子層沉積(ALD,atomic layer deposition)、電漿加強原子層沉積(PEALD,plasma enhanced atomic layer deposition)、脈衝沉積層(PDL,pulsed deposition layer)、分子層沉積(MLD,molecular layer deposition)、電漿加強分子層沉積(PEMLD,plasma enhanced molecular layer deposition)、保形膜沉積(CFD,conformal film deposition)、電漿加強脈衝沉積層(PEPDL,plasma enhanced pulsed deposition layer)處理、以及光阻移除。半導體基板處理設備(例如,前面提到的處理設備)可包含真空室之複數暴露表面,該複數暴露表面係在半導體基板處理期間暴露於電漿和/或處理氣體。真空室之電漿或處理氣體暴露表面可能在半導體基板的處理期間釋放有害污染物,且可能污染受處理之半導體基板。舉例而言,真空室之腔室元件的電漿或處理氣體暴露表面可能排出如鋁(Al)、鐵(Fe)、鈉(Na)、銅(Cu)、及類似者的不期望元素氣體。這些污染物因為與引入腔室之處理氣體或腔室內產生之電漿的化學反應,可能從真空室元件之電漿或處理氣體暴露表面釋放。舉例而言,使用鹵素氣體(例如,氯(Cl)、溴(Br)、碘(I)、及類似者)的原子層沉積(ALD,atomic layer deposition)處理可能從真空室元件之電漿或處理氣體暴露表面提取金屬(例如Cu、Na、和Fe),並且可能導致半導體基板處理設備之真空室內半導體基板上所沉積膜的金屬污染。
一種半導體基板處理設備之真空室的調節方法係於此處揭露,基板係在該半導體基板處理設備中受處理。真空室的調節方法包含在真空室之電漿或處理氣體暴露表面上形成一層有機聚合膜。該層有機聚合膜的形成方法包含:(a)使第一反應物以二醯基氯化物(diacyl chloride)之氣相流動至真空室內,並且允許第一反應物吸附至真空室之電漿或處理氣體暴露表面上;(b)第一反應物之流動停止後利用沖洗氣體沖洗真空室,以從真空室沖洗多餘的第一反應物;(c)使第二反應物以氣相流動至真空室內,第一和第二反應物在該真空室內發生反應,使得在真空室之電漿或處理氣體暴露表面上形成一層有機聚合膜,該第二反應物係選自由二元胺(diamine)、二元醇(diol)、硫醇(thiol)、及三官能化合物(trifunctional compound)組成的群組;以及(d)第二反應物之流動停止後利用沖洗氣體沖洗真空室,以從真空室沖洗多餘的第二反應物和反應副產物。
包含真空室之半導體基板處理設備也於此處揭露,該真空室包含於其內處理半導體基板的處理區域。半導體基板處理設備包含供應處理氣體至真空室的質流控制器和操作該質流控制器的控制器。質流控制器係用以供應第一反應物氣體、第二反應物氣體、和沖洗氣體,使得在真空室之電漿或處理氣體暴露表面上形成有機聚合膜,並且供應於半導體基板上沉積膜的處理氣體。控制器係用以操作質流控制器,以進行真空室之電漿或處理氣體暴露表面上有機聚合膜的形成。控制器係進一步用以操作質流控制器,以進行真空室內半導體基板上膜的沉積。
在以下詳述中,提出許多具體實施例,以提供對此處所揭露設備和方法的透徹理解。然而,正如熟悉本技術領域者所明白,本實施例可在沒有這些具體細節的情況下或藉由使用替代的元件或製程而實施。在其它情況下,廣為周知的製程、程式步驟、和/或元件並未詳細描述,以免不必要地模糊此處所揭露實施例之態樣。此處所使用的用語「約」指± 10%。
本實施例提供調節半導體基板處理設備之真空室的電漿或處理氣體暴露表面的方法和設備,其中調節包含在真空室之電漿或處理氣體暴露表面上形成有機聚合膜。此處所揭露之半導體基板處理設備和方法尤其適用於結合化學沉積設備或電漿加強化學沉積設備之化學沉積真空室(此處用作「真空室」)的使用,其中可執行使用自我限制沉積步驟的半導體製造沉積製程。據此,此處所揭露方法之實施例可在半導體基板處理設備(例如原子層沉積(ALD, atomic layer deposition)設備、電漿加強原子層沉積(PEALD, plasma enhanced atomic layer deposition)設備、脈衝沉積層(PDL, pulsed deposition layer)設備、電漿加強脈衝沉積層(PEPDL, plasma enhanced pulsed deposition layer)設備、保形膜沉積(CFD,conformal film deposition)設備、分子層沉積(MLD, molecular layer deposition)設備、電漿加強分子層沉積(PEMLD,plasma enhanced molecular layer deposition)設備))中執行,然而它們並非如此受限制。舉例而言,進一步的半導體基板處理設備可包含電漿蝕刻設備、物理氣相沉積(PVD,physical vapor deposition)設備、化學氣相沉積(CVD,chemical vapor deposition)設備、以及光阻移除設備,在其中可執行此處所揭露方法之實施例。
圖1為根據此處所揭露實施例顯示化學沉積真空室201(真空室)之概述的示意圖,其中真空室201之電漿或處理氣體暴露表面300根據此處所揭露實施例可包含有機聚合膜。半導體基板13坐落於可移除基座模組223之上,該基座模組223可相對於噴淋頭模組211而升高或降低,該噴淋頭模組211也可垂直移動。反應物材料氣體係藉由氣體管線203而引入真空室201之處理區域318,其中質流控制器302可控制引入真空室201之處理區域318內之反應物材料氣體的流動。舉例而言,質流控制器302既可較佳地供應用以處理半導體基板的處理氣體至真空室201,也可供應用以在真空室201之電漿或處理氣體暴露表面300上形成有機聚合膜的反應物氣體。注意到可根據所使用之反應物氣體的數量而將設備變更成具有一或更多氣體管線。腔室係透過連接至真空源209的真空管線235而抽空。真空源209可為可操作成將反應物、處理氣體、和反應副產物自真空室201抽空的真空泵浦。
此處所揭露實施例係較佳地在電漿加強化學沉積設備(亦即,PECVD設備、PEALD設備、PEMLD設備、CFD設備、或PEPDL設備)中實施。圖2提供描述用以實施此處所揭露實施例之各種設備元件的簡單方塊圖,其中利用電漿來加強電漿加強化學沉積真空室201內的沉積。如所示,真空室201之處理區域318用以包含由電容耦合電漿系統產生的電漿,該系統包含與基座模組223結合工作的噴淋頭模組211,其中基座模組223受加熱。反應物材料氣體係藉由質流控制器302透過氣體管線203而引入真空室201之處理區域318。RF源/複數RF源(例如,至少一個連接至匹配網路206的高頻(HF, high-frequency)RF產生器204、以及可選的低頻(LF, low-frequency)RF產生器202)係連接至噴淋頭模組211。在一替代實施例中,HF RF產生器204可連接至基座模組223。由匹配網路206所供應之功率和頻率足以自處理氣體/蒸汽產生電漿。在一實施例中使用HF RF產生器204和LF RF產生器202兩者,以及在一替代實施例中,僅使用HF RF產生器204。在一典型製程中,HF RF產生器204一般在約2-100MHz的頻率運作,在一較佳實施例中,HF RF產生器204在13.56MHz或27MHz頻率下運作。LF RF產生器202一般在約50kHz至2MHz運作,在一較佳實施例中,LF RF產生器202在約350至600kHz運作。製程參數可基於真空室201的容積、基板尺寸、和其他因素而按比例縮放。相似地,處理氣體之流動速率可取決於真空室201和/或處理區域318之自由容積。
在真空室201之內,基座模組223支撐可於其上沉積如薄膜之材料的半導體基板13。基座模組223可包含叉或抬升銷,以在沉積和/或電漿處理反應期間及之間固持與傳送半導體基板。在一實施例中,半導體基板13可配置為置於基座模組223之表面上,然而在替代實施例中,基座模組223可包含靜電卡盤、機械卡盤、或真空卡盤,以將半導體基板13固持在基座模組223的表面上。基座模組223可耦接至加熱器塊220以將半導體基板13加熱至期望溫度。一般來說,半導體基板13係維持在約25℃至500℃之溫度,或者依據所要沉積之材料而更高。
在一實施例中,系統控制器228係用於在以下者期間控制處理條件:在真空室之電漿或處理氣體暴露表面300上形成有機聚合膜的方法、沉積、後沉積處理、和/或其他處理運作。典型地,控制器228將包含一或更多記憶體裝置及一或更多處理器。處理器可包含CPU或電腦、類比和/或數位輸入/輸出連接端、步進式馬達控制板等。
在一實施例中,控制器228控制設備的所有活動。系統控制器228執行包含複數組指令的系統控制軟體,該複數組指令用以控制特定處理之處理操作之時序、LF RF產生器202及HF RF產生器204之運作的頻率和功率、前驅物及惰性氣體及它們的相對混合物之流動速率和溫度、加熱器塊220及噴淋頭模組211之溫度、腔室之壓力、和其他參數。儲存在與控制器相關聯之記憶體設備上的其他電腦程式可用於一些實施例中。
可有一使用者介面與控制器228相關聯。該使用者介面可包含顯示螢幕、設備和/或處理條件之圖形軟體顯示、以及如指向裝置、鍵盤、觸控螢幕、麥克風等之使用者輸入裝置。
非暫態電腦機器可讀媒體可包含用以控制設備的程式指令。用以控制處理操作的電腦程式碼可以任何習知的電腦可讀程式語言撰寫:例如組合語言、C、C++、Pascal、Fortran、或其他。所編寫之目標碼或腳本係藉由處理器執行,以執行程式中識別的任務。
控制器228的參數與處理條件(例如處理步驟之時序、前驅物及惰性氣體之流動速率和溫度、晶圓之溫度、腔室之壓力及特定處理之其他參數)有關。這些參數係以配方的形式提供給使用者,且可利用使用者介面輸入。
用以監控該處理的信號可藉由系統控制器之類比和/或數位輸入連接端提供。用以控制該處理的信號係在設備之類比和數位輸出連接端輸出。
系統軟體可以許多不同的方式進行設計或配置。例如,可撰寫各種腔室元件次常規或控制目標,以控制對於實施沉積製程所必需的腔室元件之操作。針對此目的之程式和程式區段的例子包含處理步驟之半導體基板時序碼、前驅物和惰性氣體之流動速率和溫度碼、以及真空室之壓力碼。
對於沉積膜而言,使用與半導體基板周圍環境(亦即,真空室之電漿暴露或處理氣體暴露表面,如腔室壁、噴淋頭、晶圓基座等)發生反應的化學品可能導致金屬污染物嵌入半導體基板上所沉積的膜內。這些污染是由於組成真空室環境的材料(亦即,陶瓷、金屬等)與用來沉積膜的沉積化學品之間的化學反應而發生。如此處所揭露之實施例中,真空室的頂端、基底、和側壁可由適於半導體處理運作的任何材料建構,例如金屬材料、陶瓷材料或石英材料。舉例而言,真空室之電漿或處理氣體暴露表面可由鋁、矽、氧化鋁、藍寶石、氧化矽、石英、氮化矽、或碳化矽形成。進一步而言,此處所使用的「金屬」包含金屬的元素形式和合金形式。例如,金屬材料可為裸金屬或塗佈金屬,例如鋁、陽極鋁、鎳、鎳合金、不鏽鋼、或其他具有或不具一或更多材料塗佈的合適金屬材料(例如氧化釔(yttria)和氧化鋯)。陶瓷可包含氧化物材料、碳化物材料、和/或氮化物材料,例如氧化鋁(鋁土)、氮化鋁(AlN)、氧化鋯、氧化釔、或其他合適的陶瓷材料。石英可為火焰熔融天然石英或石英之其他形式(例如,電弧熔融天然石英、或合成石英)。
在於半導體基板上沉積膜的沉積製程期間,所沉積膜的特性依賴於形成膜所使用的反應物(亦即,前驅物)。反應物的選擇除了是膜特性及組成的主要判定因素外,也是判定半導體基板處理製程之最終成本的因素。最具成本效益的反應物可包含鹵化物類(例如,氟鹵化物、氯鹵化物、溴鹵化物、碘鹵化物、或砈鹵化物)。如胺化物(例如,-N(CH3 )2 , -NH2 )之替代反應物係使用鹵化物作為起始材料而合成,且平均比鹵化物貴約10倍。然而伴隨使用鹵化物反應物的問題是在沉積製程期間可能形成氯化氫(HCl)。在沉積製程期間所形成的HCl強勢地腐蝕真空室之電漿或處理氣體暴露金屬表面,並且形成金屬氯化物。舉例而言,電漿或處理氣體暴露表面可能與HCl發生反應,從而形成特別易揮發的AlCl3 和/或 FeCl2 ,並且在半導體基板的處理期間可導致真空室內半導體基板上所沉積膜的金屬污染。
因此,為預防腔室腐蝕,可執行有機膜沉積製程,其以高達每沉積循環約1nm之速率沉積有機聚合物材料之保形膜。在一實施例中,一個沉積循環係執行一次表面沉積反應所需的最小組操作。一個沉積循環的結果係生成所沉積膜的一層。因此,不再需要之前於真空室內所使用的過長的預塗佈製程(例如,用以調節真空室之ALD預塗佈製程每沉積循環以約0.5至1 Å的速率塗佈腔室表面)和/或昂貴的腔室襯套。因此,如此處所揭露之形成有機聚合膜的實施例可減少調節真空室所需的時間,並且預防於真空室內半導體基板上所沉積膜的金屬污染。
在一實施例中,一種半導體基板處理設備(半導體基板係於該設備中處理)之真空室的調節方法包含在真空室之電漿或處理氣體暴露表面上形成有機聚合膜。所形成之有機聚合膜可包含碳、氧、氫、氮、硫或其組合。較佳地,有機聚合膜僅包含碳、氧、氫,以及可選的氮和/或硫。在一實施例中,有機聚合膜較佳地不含鹵素(例如,氟)。在一實施例中,有機聚合膜不含矽。
為形成有機聚合膜,該方法之一個沉積循環可包含(a)使第一反應物以氣相流動至真空室內,在該真空室內允許第一反應物吸附至真空室之電漿或處理氣體暴露表面上。第一反應物較佳地是二醯基氯化物(diacyl chloride)。其次(b)第一反應物之流動停止後利用沖洗氣體沖洗真空室,以從真空室沖洗多餘的第一反應物。然後(c)使第二反應物以氣相流動至真空室內,在該真空室內第一和第二反應物發生反應,使得在真空室之電漿或處理氣體暴露表面上形成一層有機聚合膜。第二反應物較佳地是二元胺(diamine)、二元醇(diol)、硫醇(thiol)、或三官能化合物(trifunctional compound)。然後(d)在第二反應物之流動停止後利用沖洗氣體沖洗真空室,以從真空室沖洗多餘的第二反應物和反應副產物。
較佳地,重複用以形成一層有機聚合膜之沉積循環(a)-(d),使得有機聚合膜之複數疊層以一層疊在另一層上的方式形成,直至有機聚合膜達到預定(期望)厚度。所形成之有機聚合膜的每一層的厚度可為約0.1至1nm,其中所形成之有機聚合膜的每一層之厚度取決於第一反應物和第二反應物流動至真空室內的時間長度,以及因此第一和第二反應物之每一者於真空室之電漿或處理氣體暴露表面上的飽和位準。較佳地,流動第一反應物至真空室內約0.1至10秒、流動第二反應物至真空室內約0.1至10秒、以及執行第一或第二反應物之沖洗約1至10秒,其中可在少於約1小時的時間內將真空室調節至於真空室之電漿或處理氣體暴露表面上包含有機聚合膜。在一實施例中,電漿或處理氣體暴露表面於該調節方法期間的溫度為約20℃至350℃。在一實施例中,真空室內的壓力於該調節方法期間為約1至4托爾(Torr)。
在一實施例中,二醯基氯化物(diacyl chloride)之第一反應物可為乙二醯基二氯化物(ethanedioyl dichloride)、丙二醯基二氯化物(malonyl dichloride)、丁二醯基二氯化物(succinyl dichloride)、戊二醯基二氯化物(pentanedioyl dichloride)、或其組合。在一實施例中,二元胺(diamine)之第二反應物可為1,2-乙二胺(1,2-ethanediamine)、1,3-丙二胺(1,3-propanediamine)、1,4-丁二胺( 1,4-butanediamine)、 或其組合。在一實施例中,二元醇(diol)之第二反應物可為乙二醇(ethylene glycol)、1,3-丙二醇(1,3-propanediol)、1,4-丁二醇(1,4-butanediol)、或其組合。在一實施例中,硫醇(thiol)之第二反應物可為1,2-乙二硫醇(1,2-ethanedithiol)、1,3-丙硫醇(1,3-propanedithiol)、1,4-丁二硫醇(1,4-butanedithiol)、或其組合。在一實施例中,三官能化合物(trifunctional compound)之第二反應物可為(±)-3-氨基-1,2-丙二醇((±)-3-amino-1,2-propanediol)、丙三醇(glycerol)、 二(六亞甲基)三胺(bis(hexamethylene)triamine)、三聚氫胺(melamine), 二伸乙三胺(diethylenetriamine)、(±)-1,2,4- 丁三元醇((±)-1,2,4-butanetriol)、三聚氯化氰(cyanuric chloride)、或其組合。在一實施例中,沖洗氣體可為He、Ar、Ne、H2 、N2 、或其組合。較佳地,構成有機聚合膜之分子的終端由氫氧基(hydroxyl)、胺基(amine)、或氫硫基(thiol)形成。舉例而言,若使用二元胺(diamine)作為第二反應物,則NH2 較佳地形成構成有機聚合膜之分子的終端;若使用二元醇(diol)作為第二反應物,則OH較佳地形成構成有機聚合膜之分子的終端;以及若使用硫醇(thiol)作為第二反應物,則SH較佳地形成構成有機聚合膜之分子的終端。
圖3顯示在真空室之電漿或處理氣體暴露表面300上形成一層有機聚合膜的步驟(a)至(d)之處理示意圖。在步驟(a)中,使丙二醯基二氯化物(malonyl dichloride)之第一反應物以氣相流動至真空室內,在該真空室內第一反應物吸附至電漿或處理氣體暴露表面300上。在步驟(b)中,在第一反應物之流動停止後使沖洗氣體流動至真空室內,由此從真空室沖洗多餘的第一反應物。在步驟(c)中,使1,2-乙二胺(1,2-ethanediamine)之第二反應物以氣相流動至真空室內,在該真空室內第一和第二反應物發生反應,使得在真空室之電漿或處理氣體暴露表面300上形成一層有機聚合膜。在步驟(d)中,在第二反應物之流動停止後使沖洗氣體流動至真空室內,由此從真空室沖洗多餘的第二反應物和反應副產物。可重複步驟(a)-(d)直至有機聚合膜生長至期望厚度。在形成有機聚合膜的一實施例中,基板可被支撐於真空室內基座模組上,如此以避免聚合有機膜形成於基座模組的上表面上。
在一實施例中,用以形成有機聚合膜之第一反應物和第二反應物係流動至真空室內,直至它們在真空室之電漿或處理氣體暴露表面上達到100%飽和,使得沉積在真空室之電漿或處理氣體暴露表面上的一層有機聚合膜具有最大厚度。如此可降低沉積有機聚合膜所需的時間。
例如,圖4顯示丙二醯基二氯化物(malonyl dichloride)之第一反應物的飽和百分比之圖表,該丙二醯基二氯化物(malonyl dichloride)之第一反應物係沉積至具有約2托爾(Torr)之連續壓力的真空室內試樣的表面之上。第一反應物係以每劑量持續約1秒的方式流動,其中沖洗氣體在第一反應物之每劑量之間流動約5秒。如圖4中所示,丙二醯基二氯化物(malonyl dichloride)之第一反應物在約8劑量時達到100%飽和。圖5顯示1,2-乙二胺(1,2-ethanediamine)之第二反應物的飽和百分比之圖表,該1,2-乙二胺(1,2-ethanediamine)之第二反應物沉積至具有約2托爾(Torr)之連續壓力的真空室內試樣的表面之上。第二反應物係以每劑量持續約1秒的方式流動,其中沖洗氣體在第二反應物之每劑量之間流動約5秒。如圖5中所示,1,2-乙二胺(1,2-ethanediamine)之第二反應物在約3秒時達到100%飽和。
圖6為每約100個沉積循環之時間(複數秒)的質量變化(ng/cm2 )的圖表,其中每一個循環包含丙二醯基二氯化物(malonyl dichloride)之第一反應物流動約1秒、約5秒之沖洗流、1,2-乙二胺(1,2-ethanediamine)之第二反應物流動約1秒、以及約5秒沖洗之最終沖洗流,其中複數沉積循環在具有約2托爾(Torr)之連續壓力的真空室內試樣的表面上形成有機聚合膜。圖7為圖6之圖表的分解圖,圖7顯示每約4個沉積循環之時間(複數秒)的質量變化(ng/cm2 )的圖表,其中該4個沉積循環為圖6之100個沉積循環的4個沉積循環。圖8顯示根據圖6和圖7中所顯示之流動週期所沉積膜的有機聚合膜組成。如圖8中所示,有機聚合膜包含碳、氫、氮、和氧,並且與聚醯胺材料的組成一致。
在一實施例中,該方法包含在半導體基板處理設備之真空室內依序地處理(例如,電漿蝕刻或沉積製程)半導體基板,其中真空室之電漿或處理氣體暴露表面上包含有機聚合膜。該方法也包含於處理許多半導體基板(例如,一卡匣半導體基板)之後執行真空室清潔,其中聚合膜在腔室清潔期間自真空室之電漿或處理氣體暴露表面蝕刻。氧電漿可使用於腔室清潔期間,其中氧電漿可從半導體基板處理設備之真空室內的半導體基板之處理中移除有機聚合膜和任何殘留沉積物。有機聚合膜被移除之後,真空室之電漿或處理氣體暴露表面根據此處所揭露方法的實施例可藉由在電漿或處理氣體暴露表面上形成新的有機聚合膜而重新調節。
重新參考圖1和圖2,控制器228較佳地控制從質流控制器302傳遞的第一反應物、第二反應物和沖洗氣體的流動。一非暫態電腦機器可讀媒體較佳地包含用以控制第一反應物、第二反應物、和沖洗氣體之流動的程式指令。較佳地,控制器228也控制真空室201內之壓力,其中非暫態電腦機器可讀媒體包含用以控制真空室201內壓力的程式指令。
雖然已為了清楚理解的目的而詳細地描述上述實施例,但顯而易見的是某些變更及修正可在所附請求項的範圍中實行。因此,本實施例應視為舉例性而非限制性,且此處所揭露之實施例不限制於此處所給定的細節,但可在所附請求項的範圍及均等物中進行修改。
13‧‧‧基板
201‧‧‧真空室
202‧‧‧LF RF產生器
203‧‧‧氣體管線
204‧‧‧HF RF產生器
206‧‧‧匹配網路
209‧‧‧真空源
211‧‧‧噴淋頭模組
220‧‧‧加熱器塊
223‧‧‧基座模組
228‧‧‧控制器
235‧‧‧真空管線
300‧‧‧電漿或處理氣體暴露表面
302‧‧‧質流控制器
318‧‧‧處理區域
圖1說明根據此處所揭露實施例顯示化學沉積設備之相關基本特徵的示意圖。
圖2說明描述化學沉積設備之各種設備元件的方塊圖,該化學沉積設備用以實施此處所揭露實施例,其中可利用電漿以加強沉積。
圖3顯示在真空室之電漿或處理氣體暴露表面上形成一層有機聚合膜之步驟的處理示意圖。
圖4顯示沉積至試樣表面上之反應物的飽和百分比之圖表。
圖5顯示沉積至試樣表面上之反應物的飽和百分比之圖表。
圖6顯示根據此處所揭露實施例每約100個沉積循環之時間的質量變化之圖表,該沉積循環為在試樣上形成有機聚合膜之沉積循環。
圖7顯示圖6圖表之一部分的分解圖。
圖8顯示根據此處所揭露實施例所沉積的有機聚合膜的組成。
13‧‧‧基板
201‧‧‧真空室
203‧‧‧氣體管線
209‧‧‧真空源
211‧‧‧噴淋頭模組
223‧‧‧基座模組
228‧‧‧控制器
235‧‧‧真空管線
300‧‧‧電漿或處理氣體暴露表面
302‧‧‧質流控制器
318‧‧‧處理區域

Claims (20)

  1. 一種半導體基板處理設備之真空室的調節方法,在該半導體基板處理設備中,藉由在該真空室之電漿或處理氣體暴露表面上形成一有機聚合膜而處理半導體基板,該方法包含: (a)  使一第一反應物以二醯基氯化物(diacyl chloride)之氣相流動至該真空室內,並且允許該第一反應物吸附至該真空室之電漿或處理氣體暴露表面上; (b) 該第一反應物之流動停止後,利用一沖洗氣體沖洗該真空室,以從該真空室沖洗多餘的第一反應物; (c)  使一第二反應物以氣相流動至該真空室內,該第一和第二反應物在該真空室內發生反應,使得在該真空室之該電漿或處理氣體暴露表面上形成一有機聚合膜的一層,該第二反應物係選自由二元胺(diamine)、二元醇(diol)、硫醇(thiol)、及三官能化合物(trifunctional compound)組成的群組;以及 (d) 該第二反應物之流動停止後,利用該沖洗氣體沖洗該真空室,以從該真空室沖洗多餘的第二反應物和反應副產物。
  2. 如申請專利範圍第1項之半導體基板處理設備之真空室的調節方法,其中該二醯基氯化物之第一反應物係選自由乙二醯基二氯化物(ethanedioyl dichloride)、丙二醯基二氯化物(malonyl dichloride)、丁二醯基二氯化物(succinyl dichloride)、戊二醯基二氯化物(pentanedioyl dichloride)、及其組合組成的群組。
  3. 如申請專利範圍第1項之半導體基板處理設備之真空室的調節方法,其中: (a)  二元胺(diamine)之該第二反應物係選自由1,2-乙二胺(1,2-ethanediamine)、1,3-丙二胺(1,3-propanediamine)、1,4-丁二胺( 1,4-butanediamine)、 及其組合組成的群組; (b) 二元醇(diol) 之該第二反應物係選自由乙二醇(ethylene glycol)、1,3-丙二醇(1,3-propanediol)、1,4-丁二醇(1,4-butanediol)、及其組合組成的群組;或者 (c)  硫醇(thiol)之該第二反應物係選自由1,2-乙二硫醇(1,2-ethanedithiol)、1,3-丙硫醇(1,3-propanedithiol)、1,4-丁二硫醇(1,4-butanedithiol)、及其組合組成的群組。
  4. 如申請專利範圍第1項之半導體基板處理設備之真空室的調節方法,其中該有機聚合膜不含鹵素且/或不含矽。
  5. 如申請專利範圍第1項之半導體基板處理設備之真空室的調節方法,其中: (a)  該有機聚合膜僅包含碳、氧、氫、和可選的氮和/或硫;以及/或者 (b) 構成該有機聚合膜之該分子的終端由一氫氧基(hydroxyl)、一胺基(amine)、或一氫硫基(thiol)形成。
  6. 如申請專利範圍第1項之半導體基板處理設備之真空室的調節方法,其中三官能化合物(trifunctional compound)之該第二反應物係選自由(±)-3-氨基-1,2-丙二醇((±)-3-amino-1,2-propanediol)、丙三醇(glycerol)、 二(六亞甲基)三胺(bis(hexamethylene)triamine)、三聚氫胺(melamine)、二伸乙三胺(diethylenetriamine)、(±)-1,2,4- 丁三元醇((±)-1,2,4-butanetriol)、三聚氯化氰(cyanuric chloride)、及其組合組成的群組。
  7. 如申請專利範圍第1項之半導體基板處理設備之真空室的調節方法,其中該沖洗氣體係選自由He、Ar、Ne、H2 、N2 、及其組合組成的群組。
  8. 如申請專利範圍第1項之半導體基板處理設備之真空室的調節方法,進一步包含: (a)  重複(a)-(d)至少兩次,使得該有機聚合膜形成至一期望厚度;以及/或者 (b) 重複(a)-(d)少於約一小時,其中流動該第一反應物至該真空室內約0.1至10秒、流動該第二反應物至該真空室內約0.1至10秒、以及執行該第一或第二反應物之該沖洗約1至10秒。
  9. 如申請專利範圍第1項之半導體基板處理設備之真空室的調節方法,其中(c)中所形成之該層的厚度為約0.1至1nm。
  10. 如申請專利範圍第1項之半導體基板處理設備之真空室的調節方法,其中該真空室之該電漿或處理氣體暴露表面的溫度係約20℃至350℃,並且/或者該真空室內的壓力係約1至4托爾(Torr)。
  11. 如申請專利範圍第1項之半導體基板處理設備之真空室的調節方法,進一步包含: 在該半導體基板處理設備之該真空室內依序地處理半導體基板; 於處理一卡匣之半導體基板之後執行一真空室清潔,其中聚合膜係從該真空室之該電漿或處理氣體暴露表面蝕去;以及 根據申請專利範圍第1項之方法,藉由在該電漿或處理氣體暴露表面上形成一聚合膜,而重新調節該真空室之該電漿或處理氣體暴露表面。
  12. 如申請專利範圍第1項之半導體基板處理設備之真空室的調節方法,更包含在形成該有機聚合膜時,將一基板支撐在該真空室內的一基座模組上。
  13. 如申請專利範圍第1項之半導體基板處理設備之真空室的調節方法,更包含在步驟(d)之後,在該真空室內之一半導體基板上執行一電漿蝕刻或沉積製程。
  14. 如申請專利範圍第1項之半導體基板處理設備之真空室的調節方法,其中流動該第一反應物至該真空室內約0.1至10秒、流動該第二反應物至該真空室內約0.1至10秒、以及/或者執行該第一或第二反應物之該沖洗約1至10秒。
  15. 如申請專利範圍第1項之半導體基板處理設備之真空室的調節方法,更包含: 利用一控制器控制該第一反應物、該第二反應物、以及該沖洗氣體之流動,該控制器中之一非暫態電腦機器可讀媒體包含用以控制該第一反應物、該第二反應物、以及該沖洗氣體之流動的程式指令;以及/或者 利用一控制器控制該真空室內的壓力,該控制器中之一非暫態電腦機器可讀媒體包含用以控制該真空室內該壓力的程式指令。
  16. 一種半導體基板處理設備之真空室,包含藉由申請專利範圍第1項之方法而形成於該真空室之電漿或處理氣體暴露表面上的一有機聚合膜。
  17. 一種半導體基板處理設備,包含: 一真空室,該真空室包含於其內處理一半導體基板的一處理區域; 一質流控制器,該質流控制器供應處理氣體至該真空室;及 一控制器,該控制器操作該質流控制器, 該質流控制器用以供應於該真空室之電漿或處理氣體暴露表面上形成一有機聚合膜的第一反應物氣體、第二反應物氣體、和沖洗氣體、以及於該半導體基板上沉積一膜的處理氣體,該控制器用以根據申請專利範圍第1項之方法操作該質流控制器,以進行真空室之該電漿或處理氣體暴露表面上該有機聚合膜的形成,該控制器進一步用以操作該質流控制器,以進行該真空室內一半導體基板上一膜的沉積。
  18. 如申請專利範圍第17項之半導體基板處理設備,其中該半導體基板處理設備更包含: 一真空源,與該真空室流體連通,該真空源自該真空室抽空第一反應物氣體、第二反應物氣體、沖洗氣體、和處理氣體; 一噴淋頭模組,該噴淋頭模組將處理氣體自處理氣體源傳送至該真空室; 一基板基座模組,該基板基座模組用以在該真空室之該處理區域內加熱和支撐一半導體基板; 一非暫態電腦機器可讀媒體,該非暫態電腦機器可讀媒體包含用以控制該半導體基板處理設備的複數程式指令;以及/或者 一RF能量源,該RF能量源用以在該真空室之該處理區域內將該處理氣體激發為一電漿態。
  19. 如申請專利範圍第18項之半導體基板處理設備,其中該真空室之一電漿或處理氣體暴露表面係由鋁、矽、氧化鋁、藍寶石、氧化矽、石英、氮化矽、或碳化矽形成。
  20. 如申請專利範圍第18項之半導體基板處理設備,其中該半導體基板處理設備係一化學氣相沉積設備、電漿加強化學氣相沉積設備、原子層沉積設備、電漿加強原子層沉積設備、脈衝沉積層設備、電漿加強脈衝沉積層設備、一保形膜沉積設備、一分子層沉積設備、或一電漿加強分子層沉積設備。
TW104123969A 2014-07-30 2015-07-24 半導體基板處理設備之真空室的調節方法 TWI679713B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/446,427 2014-07-30
US14/446,427 US9548188B2 (en) 2014-07-30 2014-07-30 Method of conditioning vacuum chamber of semiconductor substrate processing apparatus

Publications (2)

Publication Number Publication Date
TW201611162A true TW201611162A (zh) 2016-03-16
TWI679713B TWI679713B (zh) 2019-12-11

Family

ID=55180761

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104123969A TWI679713B (zh) 2014-07-30 2015-07-24 半導體基板處理設備之真空室的調節方法

Country Status (4)

Country Link
US (1) US9548188B2 (zh)
KR (2) KR102440201B1 (zh)
CN (1) CN105321793B (zh)
TW (1) TWI679713B (zh)

Families Citing this family (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9595448B2 (en) * 2015-06-29 2017-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning plasma processing chamber and substrate
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6703425B2 (ja) * 2016-03-23 2020-06-03 株式会社栗田製作所 プラズマ処理方法及びプラズマ処理装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170345665A1 (en) * 2016-05-26 2017-11-30 Tokyo Electron Limited Atomic layer etching systems and methods
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10256126B2 (en) * 2016-09-22 2019-04-09 Globalfoundries Inc. Gas flow process control system and method using crystal microbalance(s)
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US20200013629A1 (en) * 2016-12-15 2020-01-09 Asm Ip Holding B.V. Semiconductor processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
CN111821865B (zh) * 2019-04-22 2022-09-23 苏州苏瑞膜纳米科技有限公司 一种具有分离功能的复合膜及其气相沉积制备方法
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) * 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NO912922L (no) 1990-09-05 1992-03-06 Atochem North America Peroksyder for polymeriseringsreaksjoner.
JP2868167B2 (ja) * 1991-08-05 1999-03-10 インターナショナル・ビジネス・マシーンズ・コーポレイション 多重レベル高密度相互接続構造体及び高密度相互接続構造体
EP0661731B1 (en) 1993-12-28 2000-05-31 Applied Materials, Inc. A single chamber CVD process for thin film transistors
US20030143410A1 (en) 1997-03-24 2003-07-31 Applied Materials, Inc. Method for reduction of contaminants in amorphous-silicon film
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6323119B1 (en) 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6096661A (en) 1998-12-15 2000-08-01 Advanced Micro Devices, Inc. Method for depositing silicon dioxide using low temperatures
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6479098B1 (en) 2000-12-26 2002-11-12 Taiwan Semiconductor Manufacturing Company Method to solve particle performance of FSG layer by using UFU season film for FSG process
US6403501B1 (en) 2000-12-27 2002-06-11 Novellus Systems, Inc. Method of controlling FSG deposition rate in an HDP reactor
US6534423B1 (en) 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
ATE528320T1 (de) 2002-05-10 2011-10-15 Bio Layer Pty Ltd Erzeugung von oberflächenbeschichtungsdivergenz
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2004105110A1 (ja) 2003-05-22 2004-12-02 Tokyo Seimitsu Co., Ltd. レーザーダイシング装置
KR100519798B1 (ko) 2003-12-11 2005-10-10 삼성전자주식회사 향상된 생산성을 갖는 박막 형성 방법
KR100557673B1 (ko) 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 장비를 시즌닝하는 방법
US7803705B2 (en) * 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20070286965A1 (en) 2006-06-08 2007-12-13 Martin Jay Seamons Methods for the reduction and elimination of particulate contamination with cvd of amorphous carbon
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060189171A1 (en) 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
JP2007073751A (ja) 2005-09-07 2007-03-22 Hitachi High-Technologies Corp プラズマ処理装置および処理方法
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
JP4476232B2 (ja) 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US9157151B2 (en) 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US7514125B2 (en) 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US8100081B1 (en) 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
US7727906B1 (en) 2006-07-26 2010-06-01 Novellus Systems, Inc. H2-based plasma treatment to eliminate within-batch and batch-to-batch etch drift
US20080118663A1 (en) 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US20080216302A1 (en) 2007-03-07 2008-09-11 Novellus Systems, Inc. Methods utilizing organosilicon compounds for manufacturing pre-seasoned components and plasma reaction apparatuses having pre-seasoned components
US20080254233A1 (en) 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US7968439B2 (en) * 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
WO2010045153A2 (en) 2008-10-14 2010-04-22 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (pecvd)
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
WO2010123707A2 (en) 2009-04-20 2010-10-28 Applied Materials, Inc. Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls
JP5410235B2 (ja) 2009-10-15 2014-02-05 小島プレス工業株式会社 有機高分子薄膜の形成方法及び形成装置
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
CN102906305B (zh) 2010-04-15 2016-01-13 诺发系统公司 气体和液体的喷射的方法和装置
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
KR20140110080A (ko) 2012-01-09 2014-09-16 어플라이드 머티어리얼스, 인코포레이티드 열화를 방지하기 위해 uv 챔버 광학 컴포넌트들을 시즈닝하기 위한 방법
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8956704B2 (en) * 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
CN102899635B (zh) * 2012-09-26 2015-12-02 中微半导体设备(上海)有限公司 一种原位清洁mocvd反应腔室的方法
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
CN103219226B (zh) 2013-04-09 2015-12-02 上海华力微电子有限公司 降低在沉积非晶碳膜时晶圆背面金属污染的方法
CN103352205B (zh) 2013-05-31 2015-11-25 上海华力微电子有限公司 化学气相沉积室的清洁方法
CN103526177B (zh) 2013-09-30 2015-12-23 上海华力微电子有限公司 一种用于非晶碳沉积工艺中的清洗方法
US9245762B2 (en) * 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency

Also Published As

Publication number Publication date
CN105321793B (zh) 2018-12-21
KR20220124142A (ko) 2022-09-13
CN105321793A (zh) 2016-02-10
KR20160015156A (ko) 2016-02-12
KR102613123B1 (ko) 2023-12-12
KR102440201B1 (ko) 2022-09-02
US20160035542A1 (en) 2016-02-04
US9548188B2 (en) 2017-01-17
TWI679713B (zh) 2019-12-11

Similar Documents

Publication Publication Date Title
TWI679713B (zh) 半導體基板處理設備之真空室的調節方法
JP7386270B2 (ja) 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化
JP7325417B2 (ja) 半導体処理のためのチャンバ構成要素のエクスサイチュコーティング
TWI644359B (zh) 用於低溫原子層沉積膜之腔室底塗層準備方法
TW201827637A (zh) 利用鹵化物系前驅體以沉積無金屬原子層沉積氮化矽膜之方法
JP3730865B2 (ja) Cvd室をパッシベーションする方法
CN111247269A (zh) 介电膜的几何选择性沉积
TW201623682A (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
TW201704517A (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
TW201632650A (zh) 無氨無氯保形氮化矽膜的沉積方法
KR20150086197A (ko) 기상 증착된 막들의 결함 감소를 위한 방법 및 장치
KR100606398B1 (ko) 반도체 처리용의 성막 방법
JPWO2019054490A1 (ja) 酸ハロゲン化物を用いた原子層エッチング
US20210340670A1 (en) In situ protective coating of chamber components for semiconductor processing
TW201929051A (zh) 針對pecvd金屬摻雜碳硬遮罩之均質介面的沉積系統和方法
KR102007019B1 (ko) 플라즈마 처리에 의한 불화 알루미늄 경감
JP2018182104A (ja) 成膜方法
JP2022049682A (ja) 酸化シリコンの堆積方法
TWI559381B (zh) 金屬合金薄膜的原子層沉積
JP2009302555A (ja) 成膜装置のクリーニング方法
TW202413682A (zh) 在半導體處理設備中鉬氧鹵化物副產物的原位處理
TW202418368A (zh) 用於20 nm以下間距EUV圖案化之選擇性沉積
KR20180117057A (ko) 피처리체를 처리하는 방법