KR102613123B1 - 반도체 기판 프로세싱 장치의 진공 챔버 컨디셔닝 방법 - Google Patents

반도체 기판 프로세싱 장치의 진공 챔버 컨디셔닝 방법 Download PDF

Info

Publication number
KR102613123B1
KR102613123B1 KR1020220109909A KR20220109909A KR102613123B1 KR 102613123 B1 KR102613123 B1 KR 102613123B1 KR 1020220109909 A KR1020220109909 A KR 1020220109909A KR 20220109909 A KR20220109909 A KR 20220109909A KR 102613123 B1 KR102613123 B1 KR 102613123B1
Authority
KR
South Korea
Prior art keywords
vacuum chamber
reactant
plasma
semiconductor substrate
organic polymer
Prior art date
Application number
KR1020220109909A
Other languages
English (en)
Other versions
KR20220124142A (ko
Inventor
데니스 마이클 하우스만
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220124142A publication Critical patent/KR20220124142A/ko
Application granted granted Critical
Publication of KR102613123B1 publication Critical patent/KR102613123B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/01Manufacture or treatment
    • H10N60/0268Manufacture or treatment of devices comprising copper oxide
    • H10N60/0661Processes performed after copper oxide formation, e.g. patterning
    • H10N60/0716Passivating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/36Successively applying liquids or other fluent materials, e.g. without intermediate treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

반도체 기판 프로세싱 장치의 진공 챔버를 컨디셔닝하는 방법은, 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 상에 유기 폴리머막층을 형성하는 단계를 포함한다. 방법은, (a) 다이아실 클로라이드 (diacyl chloride) 의 기체 상인 제 1 반응 물질을 진공 챔버 내로 유동시키는 단계; (b) 제 1 반응 물질의 플로우가 중단된 후 진공 챔버를 퍼지시키는 단계; (c) 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 상에 유기 폴리머막층을 형성하기 위해, 다이아민, 디올, 티올, 및 3관능성 화합물 (trifunctional compound) 로 구성된 그룹으로부터 선택된 기체 상의 제 2 반응 물질을 진공 챔버 내로 유동시키는 단계; 및 (d) 진공 챔버로부터 과잉 제 2 반응 물질 및 반응 부산물들을 퍼지하기 위해 진공 챔버를 퍼지하는 단계를 포함한다.

Description

반도체 기판 프로세싱 장치의 진공 챔버 컨디셔닝 방법{METHOD OF CONDITIONING VACUUM CHAMBER OF SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS}
본 발명은 원자층 증착 및/또는 분자층 증착에 의해 형성된 코팅들에 관한 것이고, 그리고 반도체 기판 프로세싱 장치의 진공 챔버의 챔버 컴포넌트들의 플라즈마 또는 프로세스 가스 노출된 표면들을 코팅하기 위한 특정한 용도를 찾을 수도 있다.
반도체 기판 프로세싱 장치들은 에칭, PVD (physical vapor deposition), CVD (chemical vapor deposition), PECVD (plasma enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PDL (pulsed deposition layer), MLD (molecular layer deposition), PEMLD (plasma enhanced molecular layer deposition), CFD (conformal film deposition), PEPDL (plasma enhanced pulsed deposition layer) 프로세싱, 및 레지스트 제거를 포함하는 기법들에 의해 반도체 기판 프로세싱 장치의 진공 챔버 내의 반도체 기판들 (또한 본 명세서에서 기판들, 반도체 웨이퍼들, 또는 웨이퍼들로서 지칭됨) 을 프로세싱하도록 사용된다. 상술된 프로세싱 장치들과 같은, 반도체 기판 프로세싱 장치들은, 반도체 기판 프로세싱 동안 플라즈마 및/또는 프로세스 가스들에 노출되는 진공 챔버의 복수의 노출된 표면들을 포함할 수 있다. 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들은 반도체 기판들의 프로세싱 동안 유해한 오염 물질들을 배출할 수도 있고 그리고 프로세싱되는 반도체 기판을 오염시킬 수 있다. 예를 들어, 진공 챔버의 챔버 컴포넌트의 플라즈마 또는 프로세스 가스 노출된 표면은 알루미늄 (Al), 철 (Fe), 나트륨 (Na), 구리 (Cu), 등과 같은 바람직하지 않은 원소들을 탈기할 수 있다. 이들 오염 물질들은 챔버 내에 생성된 플라즈마 또는 챔버 내로 도입되는 프로세스 가스와의 화학 반응들에 기인하여 진공 챔버 컴포넌트들의 플라즈마 또는 프로세스 가스 노출된 표면들로부터 배출될 수 있다. 예를 들어, 염소 (Cl), 브롬 (Br), 요오드 (I), 등과 같은 할로겐 가스들을 사용하는 ALD 프로세싱은 Cu, Na 및 Fe와 같은 금속들을 진공 챔버 컴포넌트들의 플라즈마 또는 프로세스 가스 노출된 표면들로부터 추출할 수 있고 반도체 기판 프로세싱 장치의 진공 챔버 내의 반도체 기판 상에 증착된 막의 금속 오염을 초래할 수 있다.
반도체 기판들이 프로세싱되는 반도체 기판 프로세싱 장치의 진공 챔버를 컨디셔닝하는 방법이 본 명세서에 개시된다. 진공 챔버를 컨디셔닝하는 방법은 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 상에 유기 폴리머막층을 형성하는 단계를 포함한다. 유기 폴리머막층을 형성하는 방법은 : (a) 다이아실 클로라이드 (diacyl chloride) 의 기체 상인 제 1 반응 물질을 진공 챔버 내로 유동시키는 단계 및 제 1 반응 물질로 하여금 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 상에 흡착하도록 허용하는 단계; (b) 진공 챔버로부터 과잉 제 1 반응 물질을 퍼지하도록 제 1 반응 물질의 플로우가 중단된 후 진공 챔버를 퍼지 가스로 퍼지하는 단계; (c) 다이아민, 디올, 티올, 및 3관능성 화합물 (trifunctional compound) 로 구성된 그룹으로부터 선택된 기체 상의 제 2 반응 물질을 진공 챔버 내로 유동시키는 단계로서, 제 1 반응 물질 및 제 2 반응 물질은 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 상에 유기 폴리머막층을 형성하도록 반응하는, 제 2 반응 물질을 진공 챔버 내로 유동시키는 단계; 및 (d) 진공 챔버로부터 과잉 제 2 반응 물질 및 반응 부산물들을 퍼지하도록 제 2 반응 물질의 플로우가 중단된 후 퍼지 가스로 진공 챔버를 퍼지하는 단계를 포함한다.
또한 반도체 기판이 프로세싱되는 프로세싱 구역을 포함하는 진공 챔버를 포함하는 반도체 기판 프로세싱 장치가 본 명세서에 개시된다. 반도체 기판 프로세싱 장치는 진공 챔버에 프로세스 가스들을 공급하는 질량 유량 제어기 및 질량 유량 제어기를 동작시키는 제어기를 포함한다. 질량 유량 제어기는 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 상에 유기 폴리머막을 형성하도록 제 1 반응 물질 가스, 제 2 반응 물질 가스, 및 퍼지 가스 및 반도체 기판 상에 막을 증착하는 프로세스 가스들을 공급하도록 구성된다. 제어기는 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 상에 상기 유기 폴리머막을 형성하는 것에 영향을 미치도록 질량 유량 제어기를 동작시키기 위해 구성된다. 제어기는 진공 챔버 내의 반도체 기판 상의 막의 증착에 영향을 미치도록 질량 유량 제어기를 동작시키기 위해 또한 구성된다.
도 1은 본 명세서에 개시된 실시예들에 따른 화학적 증착 장치의 관련된 기본 피처들을 도시하는 개략도를 예시한다.
도 2는 본 명세서에 개시된 실시예들을 구현하기 위해 배열된 화학적 증착 장치의 다양한 장치 컴포넌트들을 도시하는 블록도를 예시한다 (화학적 증착 장치에서 플라즈마는 증착을 개선하도록 이용될 수 있음).
도 3은 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면 상의 유기 폴리머막층을 형성하는 단계들의 프로세스 개략도를 도시한다.
도 4는 쿠폰 (coupon) 의 표면 상에 증착되는 반응 물질의 퍼센트 포화의 그래프를 도시한다.
도 5는 쿠폰의 표면 상에 증착되는 반응 물질의 퍼센트 포화의 그래프를 도시한다.
도 6은 본 명세서에 개시된 실시예들에 따른 쿠폰 상에 유기 폴리머막을 형성하는 것의 약 100 증착 사이클들에 대한 시간 당 질량 변화의 그래프를 도시한다.
도 7은 도 6의 그래프의 일부의 분해도를 도시한다.
도 8은 본 명세서에 개시된 실시예들에 따라 증착된 유기 폴리머막의 조성을 도시한다.
다음의 상세한 기술에서, 수많은 구체적인 실시예들이 본 명세서에 개시된 장치 및 방법들의 철저한 이해를 제공하도록 제시된다. 그러나, 당업자들에 의해 분명해지는 바와 같이, 본 실시예들은 이들 구체적인 상세들 없이 또는 대안적인 엘리먼트들 또는 프로세스들을 사용함으로써 실시될 수도 있다. 다른 예들에서, 잘 알려진 프로세스들, 절차들, 및/또는 컴포넌트들은 본 명세서에 개시된 실시예들의 양태들을 불필요하게 모호하게 하지 않도록 상세하게 기술되지 않았다. 본 명세서에서 사용되는 바와 같이, 용어 "약"은 ±10 %를 지칭한다.
본 실시예들은 반도체 기판 프로세싱 장치의 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들을 컨디셔닝하기 위한 방법들 및 장치를 제공하고, 컨디셔닝은 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 상에 유기 폴리머막을 형성하는 것을 포함한다. 본 명세서에 개시된 반도체 기판 프로세싱 장치 및 방법들은 특히 화학적 증착 장치 또는 플라즈마 향상된 화학적 증착 장치의 화학적 증착 진공 챔버 (본 명세서에서 "진공 챔버"로서 사용됨) 와 함께 사용하도록 적용 가능하고, 자기 제한 방식의 (self-limiting) 증착 단계들을 사용하는, 반도체 제조 증착 프로세스가 수행될 수 있다. 따라서, 본 명세서에 개시된 방법들의 실시예들은 ALD 장치, PEALD 장치, PDL 장치, PEPDL 장치, CFD 장치, MLD 장치, PEMLD 장치와 같은 반도체 기판 프로세싱 장치들에서 수행될 수 있지만, 이것들로 제한되진 않는다. 예를 들어, 다른 반도체 기판 프로세싱 장치들은 본 명세서에 개시된 방법들의 실시예들이 수행될 수 있는 플라즈마 에칭 장치, PVD 장치, CVD 장치, 및 레지스트 제거 장치를 포함할 수 있다.
도 1은 본 명세서에 개시된 실시예들에 따른 화학적 증착 진공 챔버 (201) (진공 챔버) 의 개요를 도시한 개략도이고, 진공 챔버 (201) 의 플라즈마 또는 프로세스 가스 노출된 표면들 (300) 은 본 명세서에 개시된 실시예들에 따라 유기 폴리머막을 포함할 수 있다. 반도체 기판 (13) 은 또한 수직으로 이동될 수도 있는, 샤워헤드 모듈 (211) 에 대해 상승 또는 하강될 수 있는 이동성 페데스탈 모듈 (223) 의 상단 상에 놓인다. 반응 물질 재료 가스들은 가스 라인 (203) 을 통해 진공 챔버 (201) 의 프로세싱 구역 (318) 내로 도입되고 질량 유량 제어기 (302) 는 진공 챔버 (201) 의 프로세싱 구역 (318) 내로 도입된 반응 물질 재료 가스들의 플로우를 제어할 수 있다. 질량 유량 제어기 (302) 는 바람직하게 반도체 기판들을 프로세싱하기 위해 진공 챔버 (201) 에 프로세스 가스들, 뿐만 아니라 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 (300) 상에 유기 폴리머막을 형성하기 위해 반응 물질 가스들을 공급할 수 있다. 장치는 사용되는 반응 물질 가스들의 수에 따라, 하나 이상의 가스 라인들을 갖도록 변경될 수 있음을 주의하라. 챔버는 진공 소스 (209) 와 연결되는 진공 라인들 (235) 을 통해 배기된다. 진공 소스 (209) 는 진공 챔버 (201) 로부터 반응 물질들, 프로세스 가스, 및 반응 부산물들을 배기하도록 동작 가능한 배기 펌프일 수도 있다.
본 명세서에 개시된 실시예들은 바람직하게 플라즈마 향상된 화학적 증착 장치 (즉, PECVD 장치, PEALD 장치, PEMLD 장치, CFD 장치, 또는 PEPDL 장치) 에서 구현된다. 도 2는 본 명세서에 개시된 실시예들을 구현하기 위해 배열된 다양한 장치 컴포넌트들을 도시하는 간단한 블록도를 제공하고 플라즈마는 플라즈마 향상된 화학적 증착 진공 챔버 (201) 내에서 증착을 향상시키도록 이용된다. 도시된 바와 같이, 진공 챔버 (201) 의 프로세싱 구역 (318) 은 페데스탈 모듈 (223) 과 함께 작용하는 샤워헤드 모듈 (211) 을 포함하는 용량 결합 프라즈마 시스템에 의해 생성된 플라즈마를 포함하는 역할을 하고, 프로세싱 구역에서 페데스탈 모듈 (223) 이 가열된다. 반응 물질 재료 가스들은 가스 라인 (203) 을 통해 질량 유량 제어기 (302) 에 의해 진공 챔버 (201) 의 프로세싱 구역 (318) 내로 도입된다. 매칭 네트워크 (206) 와 연결된 적어도 하나의 고주파수 (HF) RF 생성기 (204), 및 선택적인 저주파수 (LF) RF 생성기 (202) 와 같은 RF 소스(들)는 샤워헤드 모듈 (211) 과 연결된다. 대안적인 실시예에서, HF 생성기 (204) 는 페데스탈 모듈 (223) 과 연결될 수 있다. 매칭 네트워크 (206) 에 의해 공급된 전력 및 주파수는 프로세스 가스/증기로부터 플라즈마를 생성하는데 충분하다. 일 실시예에서 HF 생성기 (204) 및 LF 생성기 (202) 둘 다가 사용되고, 그리고 대안적인 실시예에서, 단지 HF 생성기 (204) 만 사용된다. 통상적인 프로세스에서, HF 생성기 (204) 는 약 2 MHz 내지 약 100 MHz의 주파수들로 일반적으로 동작되고; 바람직한 실시예에서 13.56 MHz 또는 27 MHz로 동작된다. LF 생성기 (202) 는 약 50 kHz 내지 약 2 MHz로 일반적으로 동작되고; 바람직한 실시예에서, 약 350 kHz 내지 약 600 kHz로 동작된다. 프로세스 파라미터들은 진공 챔버 (201) 체적, 기판 크기, 및 다른 요인들에 기초하여 스케일링될 (scaled) 수도 있다. 유사하게, 프로세스 가스의 플로우 레이트들은 진공 챔버 (201) 의 자유 체적 및/또는 프로세싱 구역 (318) 에 의존할 수도 있다.
진공 챔버 (201) 내에서, 페데스탈 모듈 (223) 은 박막들과 같은 재료들이 증착될 수도 있는 반도체 기판 (13) 을 지지한다. 페데스탈 모듈 (223) 은 증착 및/또는 플라즈마 처리 반응들 동안 그리고 증착 및/또는 플라즈마 처리 반응들 사이에서 반도체 기판을 홀딩 및 이송하기 위한 포크 또는 리프트 핀들을 포함할 수 있다. 일 실시예에서, 반도체 기판 (13) 은 페데스탈 모듈 (223) 의 표면 상에 놓이도록 구성될 수도 있지만, 대안적인 실시예들에서, 페데스탈 모듈 (223) 은 페데스탈 모듈 (223) 의 표면 상에 반도체 기판 (13) 을 홀딩하기 위해 정전 척, 기계 척, 또는 진공 척을 포함할 수 있다. 페데스탈 모듈 (223) 은 반도체 기판 (13) 을 목표된 온도로 가열하기 위해 히터 블록 (220) 과 커플링될 수 있다. 일반적으로, 반도체 기판 (13) 은 증착될 재료에 따라 약 25 ℃ 내지 약 500 ℃ 또는 그 이상의 온도로 유지된다.
일 실시예에서, 시스템 제어기 (228) 는 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 (300) 상에 유기 폴리머막을 형성하는 방법 동안, 증착 동안, 증착 후 처리들 동안, 및/또는 다른 프로세스 동작들 동안 프로세스 조건들을 제어하도록 채용된다. 제어기 (228) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 연결부들, 스텝퍼 모터 제어기 보드들 등을 포함할 수도 있다.
일 실시예에서, 제어기 (228) 는 장치의 모든 액티비티들을 제어한다. 시스템 제어기 (228) 는 프로세싱 동작들의 타이밍, LF 생성기 (202) 및 HF 생성기 (204) 의 동작들의 주파수 및 전력, 전구체들 및 비활성 가스들의 플로우 레이트들 및 온도들 및 그것들의 상대적 혼합, 히터 블록 (220) 및 샤워헤드 모듈 (211) 의 온도, 챔버의 압력, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행한다. 제어기와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에서 채용될 수도 있다.
제어기 (228) 와 연관된 사용자 인터페이스가 있을 수 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
비일시적 컴퓨터 머신-판독 가능 매체는 장치의 제어를 위한 프로그램 인스트럭션들을 포함할 수 있다. 프로세싱 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran 또는 다른 것들로 작성될 수 있다. 컴파일된 객체 코드 또는 스크립트는 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
제어기 (228) 파라미터들은 예를 들어, 프로세싱 단계들의 타이밍, 전구체들 및 비활성 가스들의 플로우 레이트들 및 온도들, 웨이퍼의 온도, 챔버의 압력 및 특정한 프로세스의 다른 파라미터들과 같은 프로세스 조건들에 관한 것이다. 이들 파라미터들은 레시피의 형태로 사용자에게 제공되고, 그리고 사용자 인터페이스를 이용하여 입력될 수도 있다.
프로세스를 모티터링하기 위한 신호들은 시스템 제어기의 아날로그 및/또는 디지털 입력 연결부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 장치의 아날로그 및 디지털 출력 연결부들 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계 또는 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들은 증착 프로세스들을 실행하는데 필수적인 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들의 섹션들 또는 프로그램들의 예들은 프로세싱 단계의 반도체 기판 타이밍 코드, 전구체들 및 비활성 가스들의 플로우 레이트들 및 온도들 코드, 및 진공 챔버의 압력에 대한 코드를 포함한다.
증착된 막들에 대해, 반도체 기판 주변들 (즉, 챔버 벽들, 샤워헤드, 웨이퍼 페데스탈 등과 같은 진공 챔버의 플라즈마 노출된 또는 프로세스 가스 노출된 표면들) 과 반응하는 화학 물질들의 사용은 반도체 기판 상에 증착되는 막 내에 금속 오염 물질들이 포함되게 할 수 있다. 오염 물질들은 진공 챔버 분위기를 형성하는 재료들 (즉, 세라믹들, 금속들 등) 과 막을 증착하도록 사용된 증착 화학 물질들 사이의 화학적 반응들에 기인하여 발생한다. 본 명세서에 개시된 바와 같은 실시예들에서, 진공 챔버의 상단, 베이스, 및 측벽은 금속성, 세라믹 또는 석영 재료들과 같은, 반도체 프로세스 동작들에 적합한 임의의 재료로부터 구성될 수 있다. 예를 들어, 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면은 알루미늄, 실리콘, 알루미늄 옥사이드, 사파이어, 실리콘 옥사이드, 석영, 실리콘 나이트라이드, 또는 실리콘 카바이드로 형성될 수 있다. 또한, 본 명세서에 사용된 바와 같이, "금속"은 원소 형태 및 금속의 합금 형태를 포함한다. 예를 들어, 금속성 재료는 알루미늄, 양극 산화된 알루미늄, 니켈, 니켈 합금, 스테인리스 강과 같은 나금속 (bare metal) 또는 코팅된 금속, 또는 이트륨 옥사이드 (이트리아) 및 지르코늄 옥사이드와 같은 재료들의 하나 이상의 코팅들을 갖거나 또는 갖지 않는 다른 적합한 금속성 재료일 수 있다. 세라믹은 알루미늄 옥사이드 (알루미나), 알루미늄 나이트라이드 (AlN), 지르코늄 옥사이드, 이트륨 옥사이드와 같은 옥사이드, 카바이드, 및/또는 나이트라이드 재료들, 또는 다른 적합한 세라믹 재료를 포함할 수 있다. 석영은 예를 들어, 화염 융해된 천연 석영 (flame-fused natural quartz) 또는 아크-융해된 천연 석영 (arc-fused natural quartz) 과 같은 다른 형태의 석영, 또는 합성 석영일 수 있다.
막이 반도체 기판 상에 증착되는 증착 프로세스 동안, 증착된 막의 특성들은 막을 형성하기 위해 사용된 반응 물질들 (즉, 전구체들) 에 의존한다. 막 특성들 및 조성에 대한 주요 결정 요인 외에, 반응 물질들의 선택은 반도체 기판 프로세싱 프로세스의 총 비용을 결정하는 것을 고려한다. 가장 비용 효과적인 반응 물질들은 할라이드들 (예를 들어, 불소, 염소, 브롬, 요오드 또는 아스타틴 할라이드들) 을 포함할 수 있다. 아미드들 (예를 들어, -N(CH3)2, -NH2) 과 같은 대안적인 반응 물질들은 시작 재료들로서 할라이드들을 사용하여 합성되고 평균적으로 할라이드들보다 약 10배 비싸다. 그러나, 할라이드 반응 물질들의 사용에 있어서 하나의 문제는 하이드로겐 클로라이드 (HCl) 가 증착 프로세스 동안 형성될 수 있다는 것이다. 증착 프로세스 동안 형성된 HCl은 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 금속 표면들을 공격적으로 부식시키고 금속 클로라이드들을 형성한다. 예를 들어, 플라즈마 또는 프로세스 가스 노출된 표면은 HCl과 반응할 수 있고 프로세싱 동안 진공 챔버의 내부에서 반도체 기판 상에 증착되는 막의 금속 오염을 초래할 수 있고 특히 휘발성인 AlCl3 및/또는 FeCl2를 형성할 수 있다.
따라서, 챔버 부식을 방지하기 위해서, 최대 약 1 nm 증착 사이클의 레이트로 유기 폴리머 재료의 컨포멀한 막을 증착하는 유기막 증착 프로세스가 수행될 수 있다. 일 실시예에서, 증착 사이클은 표면 증착 반응을 1회 수행하도록 요구된 동작들의 최소 세트이다. 하나의 증착 사이클의 결과는 증착되는 막의 층의 생성이다. 따라서, 매우 긴 사전 코팅 프로세스들 (예를 들어, 진공 챔버를 컨디셔닝하기 위한 ALD 사전 코팅 프로세싱은 약 0.5 Å 내지 약 1 Å 증착 사이클의 레이트로 챔버 표면들을 코팅함) 및/또는 진공 챔버들에서 이전에 사용되었던 고가의 챔버 라이너들은 더 이상 요구되지 않는다. 따라서, 본 명세서에 개시된 바와 같은 유기 폴리머막을 형성하는 실시예들은 진공 챔버를 컨디셔닝하기 위해 요구된 시간을 감소시킬 수 있고, 그리고 진공 챔버 내의 반도체 기판 상에 증착되는 막의 금속 오염을 방지할 수 있다.
일 실시예에서, 반도체 기판들이 프로세싱되는 반도체 기판 프로세싱 장치의 진공 챔버를 컨디셔닝하는 방법은 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 상에 유기 폴리머막을 형성하는 단계를 포함한다. 형성된 유기 폴리머막은 탄소, 산소, 수소, 질소, 황 또는 이들의 조합들을 포함할 수 있다. 바람직하게, 유기 폴리머막은 단지 탄소, 산소, 수소, 및 선택적으로 질소 및/또는 황을 포함한다. 일 실시예에서, 유기 폴리머막은 바람직하게 불소와 같은 할로겐들이 없다. 일 실시예에서, 유기 폴리머막은 실리콘이 없다.
유기 폴리머막을 형성하기 위해서, 방법의 증착 사이클은 (a) 진공 챔버 내로 기체 상의 제 1 반응 물질을 흘리는 단계를 포함할 수 있고, 제 1 반응 물질은 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 상에 흡착하도록 허용된다. 제 1 반응 물질은 바람직하게 다이아실 클로라이드이다. 이어서 (b) 진공 챔버로부터 과잉 제 1 반응 물질을 퍼지하도록 제 1 반응 물질의 플로우가 중단된 후 진공 챔버를 퍼지 가스로 퍼지하는 단계. 이어서 (c) 진공 챔버 내로 기체 상의 제 2 반응 물질을 유동시키는 단계, 제 1 반응 물질 및 제 2 반응 물질이 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 상에 유기 폴리머막층을 형성하도록 반응함. 제 2 반응 물질은 바람직하게 다이아민, 디올, 티올, 및 3관능성 화합물 (trifunctional compound) 이다. 이어서 (d) 진공 챔버로부터 과잉 제 2 반응 물질 및 반응 부산물들을 퍼지하도록 제 2 반응 물질의 플로우가 중단된 후 퍼지 가스로 상기 진공 챔버를 퍼지하는 단계.
바람직하게, 유기 폴리머막층을 형성하기 위해 사용된 증착 사이클 (a) 내지 (d) 는 유기 폴리머막이 미리결정된 (목표된) 두께에 도달할 때까지 유기 폴리머막의 층들은 한 층 위에 다른 층이 형성되도록 반복된다. 유기 폴리머막의 형성된 층의 각각의 두께는 약 0.1 nm 내지 약 1 nm이고 유기 폴리머막의 형성된 층의 각각의 두께는 제 1 반응 물질 및 제 2 반응 물질이 진공 챔버 내로 흐르는 시간의 길이, 및 이로써 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면 상의 제 1 반응 물질 및 제 2 반응 물질 각각의 포화 레벨에 의존한다. 바람직하게, 제 1 반응 물질은 약 0.1 초 내지 약 10 초 동안 진공 챔버 내로 흐르고, 제 2 반응 물질은 약 0.1 초 내지 약 10 초 동안 진공 챔버 내로 흐르고, 제 1 반응 물질 및 제 2 반응 물질의 퍼지는 약 1 초 내지 약 10 초 동안 수행되고, 진공 챔버는 약 1시간 미만 동안, 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 상에 유기 폴리머막을 포함하도록 컨디셔닝될 수 있다. 일 실시예에서, 컨디셔닝 방법 동안 플라즈마 또는 프로세스 가스 노출된 표면들의 온도는 약 20 ℃ 내지 약 350 ℃이다. 일 실시예에서, 컨디셔닝 방법 동안 진공 챔버 내의 압력은 약 1 Torr 내지 약 4 Torr이다.
일 실시예에서, 다이아실 클로라이드인 제 1 반응 물질은 에탄디오일 디클로라이드 (ethanedioyl dichloride), 말로노일 디클로라이드 (malonoyl dichloride), 숙시닐 디클로라이드 (succinyl dichloride), 펜탄디오일 디클로라이드 (pentanedioyl dichloride), 또는 이들의 조합들일 수 있다. 일 실시예에서, 다이아민인 제 2 반응 물질은 1,2-에탄다이아민, 1,3-프로판다이아민, 1,4-부탄다이아민, 또는 이들의 조합들일 수 있다. 일 실시예에서, 디올인 제 2 반응 물질은 에틸렌 글리콜, 1,3-프로판디올, 1,4-부탄디올, 또는 이들의 조합들일 수 있다. 일 실시예에서, 티올인 제 2 반응 물질은 1,2-에탄디티올, 1,3-프로판디티올, 1,4-부탄디티올, 또는 이들의 조합들일 수 있다. 일 실시예에서, 3관능성 화합물의 제 2 반응 물질은 (±)-3-아미노-1,2-프로판디올 ((±)-3-amino-1,2-propanediol), 글리세롤 (glycerol), 비스(헥사메틸렌)트리아민 (bis(hexamethylene)triamine), 멜라민 (melamine), 디에틸렌트리아민 (diethylenetriamine), (±)-1,2,4-부탄트리올 ((±)-1,2,4-butanetriol), 시아누릭 클로라이드 (cyanuric chloride), 또는 이들의 조합들일 수 있다. 일 실시예에서, 퍼지 가스는 He, Ar, Ne, H2, N2, 또는 이들의 조합들일 수 있다. 바람직하게, 유기 폴리머막을 형성하는 분자들의 말단들 (terminal end) 은 하이드록실, 아민, 또는 티올을 형성한다. 예를 들어, 다이아민이 제 2 반응 물질로서 사용된다면, NH2는 바람직하게 유기 폴리머막을 형성하는 분자들의 말단들을 형성하고, 디올이 제 2 반응 물질로서 사용된다면, OH는 바람직하게 유기 폴리머막을 형성하는 분자들의 말단들을 형성하고, 그리고 티올이 제 2 반응 물질로서 사용된다면, SH는 바람직하게 유기 폴리머막을 형성하는 분자들의 말단들을 형성한다.
도 3은 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면 (300) 상에 유기 폴리머막층을 형성하는 단계 (a) 내지 단계 (d) 의 프로세스 개략도를 도시한다. 단계 (a) 에서, 말로노일 디클로라이드의 제 1 반응 물질은 진공 챔버 내로 기체 상으로 흐르고, 진공 챔버에서 제 1 반응 물질은 플라즈마 또는 프로세스 가스 노출된 표면 (300) 상에 흡착된다. 단계 (b) 에서, 퍼지 가스는 진공 챔버로부터 과잉 제 1 반응 물질을 퍼지하도록 제 1 반응 물질의 플로우가 중단된 후 진공 챔버 내로 흐른다. 단계 (c) 에서, 1,2-에탄다이아민은 진공 챔버 내로 기체 상으로 흐르고, 진공 챔버에서 제 1 반응 물질 및 제 2 반응 물질은 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면 (300) 상에 유기 폴리머막층을 형성하도록 반응한다. 단계 (d) 에서, 퍼지 가스는 진공 챔버로부터 과잉 제 2 반응 물질 및 반응 부산물들을 퍼지하도록 제 2 반응 물질의 플로우가 중단된 후 진공 챔버 내로 흐른다. 단계 (a) 내지 단계 (d) 는 유기 폴리머막이 목표된 두께로 성장될 때까지 반복될 수 있다. 유기 폴리머막을 형성하는 일 실시예에서, 기판은 유기 폴리머막이 페데스탈 모듈의 상부면 상에 형성되지 않도록 진공 챔버 내부에서 페데스탈 모듈 상에 지지될 수 있다.
일 실시예에서, 유기 폴리머막을 형성하도록 사용된 제 1 반응 물질 및 제 2 반응 물질은, 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면 상에 증착된 유기 폴리머막층이 최대 두께를 갖도록 제 1 반응 물질 및 제 2 반응 물질이 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면 상에서 100 % 포화에 도달할 때까지 진공 챔버 내로 흐른다. 따라서, 유기 폴리머막을 증착하기 위해 요구되는 시간은 감소될 수 있다.
예를 들어, 도 4는 약 2 Torr의 연속 압력을 갖는 진공 챔버 내의 쿠폰 (coupon) 의 표면 상에 증착되는 말로노일 디클로라이드의 제 1 반응 물질의 퍼센트 포화의 그래프를 도시한다. 제 1 반응 물질은 각각 약 1 초를 지속하는 도즈들로 (dose) 흐르고, 퍼지 가스는 제 1 반응 물질의 각각의 도즈 사이에서 약 5 초 동안 흐른다. 도 4에 도시된 바와 같이, 말로노일 디클로라이드의 제 1 반응 물질은 약 8 도즈들에서 약 100 % 포화에 도달한다. 도 5는 약 2 Torr의 연속 압력을 갖는 진공 챔버 내의 쿠폰의 표면 상에 증착되는 1,2-에탄다이아민의 제 2 반응 물질의 퍼센트 포화의 그래프를 도시한다. 제 2 반응 물질은 각각 약 1 초를 지속하는 도즈들로 흐르고, 퍼지 가스는 제 2 반응 물질의 각각의 도즈 사이에서 약 5 초 동안 흐른다. 도 5에 도시된 바와 같이, 1,2-에탄다이아민의 제 2 반응 물질은 약 3 초에서 약 100 % 포화에 도달한다.
도 6은 약 100 증착 사이클들의 시간 (초) 당 질량 변화 (ng/cm2) 의 그래프이고, 그래프에서 각각의 사이클은 말로노일 디클로라이드의 제 1 반응 물질이 약 1 초 동안 흐르고, 약 5 초의 퍼지 플로우, 1,2-에탄다이아민의 제 2 반응 물질이 약 1 초 동안 흐르고, 및 약 5 초 퍼지들의 최종 퍼지 플로우를 포함하고, 증착 사이클들은 약 2 Torr의 연속 압력을 갖는 진공 챔버 내의 쿠폰의 표면 상에 유기 폴리머막을 형성한다. 도 7은 도 6의 100개의 증착 사이클들 중 약 4개의 증착 사이클들의 시간 (초) 당 질량 변화 (ng/cm2) 의 그래프를 도시하는, 도 6의 그래프의 분해도이다. 도 8은 도 6 및 도 7에 도시된 플로우 기간들에 따라 증착된 막의 유기 폴리머막 조성을 도시한다. 도 8에 도시된 바와 같이, 유기 폴리머막은 탄소, 수소, 질소, 및 산소를 포함하고, 폴리아미드 재료로 형성된 것과 일치한다.
일 실시예에서, 방법은 반도체 기판 프로세싱 장치의 진공 챔버 내에서 반도체 기판들을 연속적으로 프로세싱하는 단계를 포함하고, 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들은 표면 상에 유기 폴리머막을 포함한다. 예를 들어, 플라즈마 에칭 또는 증착 프로세스들이 진행될 수 있다. 방법은 또한 반도체 기판들의 카세트와 같은 복수의 반도체 기판들을 프로세싱한 후 진공 챔버 청소를 수행하는 단계를 포함하고, 폴리머막은 챔버 청소 동안 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들로부터 에칭된다. 산소 플라즈마는 챔버 청소 동안 사용될 수 있고 산소 플라즈마가 유기 폴리머막을 제거할 수 있고 어떤 잔여물이 반도체 기판 프로세싱 장치의 진공 챔버 내의 반도체 기판들의 프로세싱으로부터 증착된다. 유기 폴리머막이 제거된 후 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들은 본 명세서에 개시된 방법들의 실시예들을 따라 플라즈마 또는 프로세스 가스 노출된 표면들 상에 새로운 유기 폴리머막을 형성함으로써 리컨디셔닝될 (recondition) 수 있다.
도 1 및 도 2를 다시 참조하면, 제어기 (228) 는 바람직하게 질량 유량 제어기 (302) 로부터 제 1 반응 물질, 제 2 반응 물질, 및 전달된 퍼지 가스의 플로우를 제어한다. 비일시적 컴퓨터 머신-판독 가능 매체는 바람직하게 제 1 반응 물질, 제 2 반응 물질, 및 퍼지 가스의 플로우를 제어하기 위한 프로그램 인스트럭션들을 포함한다. 제어기 (228) 는 또한 바람직하게 진공 챔버 (201) 내의 압력을 제어하고, 비일시적 컴퓨터 머신-판독 가능 매체는 진공 챔버 (201) 내의 압력을 제어하기 위한 프로그램 인스트럭션들을 포함한다.
비록 전술한 실시예들이 이해의 명료성의 목적들을 위해 다소 상세히 기술되었을지라도, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 수행될 수 있다는 것이 명백할 것이다. 따라서, 본 실시예들은 예시적인 것이지 제한적인 것으로서 고려되지 않고, 본 명세서에 개시된 바와 같은 실시예들은 본 명세서에 제공된 상세들로 제한되지 않지만, 첨부된 청구항들의 등가물들 및 범위 내에서 수정될 수도 있다.

Claims (20)

  1. 반도체 기판들이 프로세싱되는, 반도체 기판 프로세싱 장치의 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 상에 유기 폴리머막을 형성함으로써 상기 진공 챔버를 컨디셔닝하는 방법에 있어서,
    (a) 제 1 복수의 도즈들로 기체 상인 제 1 반응 물질을 진공 챔버 내로 유동시키는 단계 및 상기 제 1 반응 물질로 하여금 상기 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 상에 흡착하도록 허용하는 단계;
    (b) 상기 제 1 복수의 도즈들의 도즈 각각 후에, 상기 진공 챔버로부터 과잉 제 1 반응 물질을 퍼지하도록 상기 제 1 반응 물질의 플로우가 중단된 후 상기 진공 챔버를 퍼지 가스로 퍼지하는 단계;
    (c) 상기 진공 챔버의 상기 플라즈마 또는 상기 프로세스 가스 노출된 표면들 상의 상기 제 1 반응 물질의 포화가 약 100 %에 도달할 때, 제 2 복수의 도즈들로 기체 상의 제 2 반응 물질을 상기 진공 챔버 내로 유동시키는 단계로서, 상기 제 1 반응 물질 및 상기 제 2 반응 물질은 상기 진공 챔버의 상기 플라즈마 또는 상기 프로세스 가스 노출된 표면들 상에 유기 폴리머막층을 형성하도록 반응하는, 상기 제 2 반응 물질을 상기 진공 챔버 내로 유동시키는 단계; 및
    (d) 상기 제 2 복수의 도즈들의 도즈 각각 후에, 상기 진공 챔버로부터 과잉 제 2 반응 물질 및 반응 부산물들을 퍼지하도록 상기 제 2 반응 물질의 플로우가 중단된 후 상기 퍼지 가스로 상기 진공 챔버를 퍼지하는 단계를 포함하는, 진공 챔버를 컨디셔닝하는 방법.
  2. 제 1 항에 있어서,
    상기 제 1 반응 물질 및 상기 제 2 반응 물질의 상기 유동 단계 동안 상기 진공 챔버 내부를 약 2 Torr의 압력으로 유지하는 단계를 더 포함하고,
    상기 제 1 복수의 도즈들의 도즈 각각은 지속 기간이 약 1 초이고,
    상기 제 1 복수의 도즈들의 도즈 각각 후에 상기 퍼지하는 단계는 지속 기간이 약 5 초이고,
    상기 제 2 복수의 도즈들의 도즈 각각은 지속 기간이 약 1 초이고, 그리고
    상기 제 2 복수의 도즈들의 도즈 각각 후에 상기 퍼지하는 단계는 지속 기간이 약 5 초인, 진공 챔버를 컨디셔닝하는 방법.
  3. 제 1 항에 있어서,
    다이아실 클로라이드인 상기 제 1 반응 물질은 에탄디오일 디클로라이드 (ethanedioyl dichloride), 말로노일 디클로라이드 (malonoyl dichloride), 숙시닐 디클로라이드 (succinyl dichloride), 펜탄디오일 디클로라이드 (pentanedioyl dichloride), 및 이들의 조합들로 구성된 그룹으로부터 선택되고, 그리고
    상기 제 2 반응 물질은 다이아민, 디올, 티올, 및 3관능성 화합물 (trifunctional compound) 로 구성된 그룹으로부터 선택되는, 진공 챔버를 컨디셔닝하는 방법.
  4. 제 1 항에 있어서,
    다이아민인 상기 제 2 반응 물질은 1,2-에탄다이아민, 1,3-프로판다이아민, 1,4-부탄다이아민, 및 이들의 조합들로 구성된 그룹으로부터 선택되거나;
    디올인 상기 제 2 반응 물질은 에틸렌 글리콜, 1,3-프로판디올, 1,4-부탄디올, 및 이들의 조합들로 구성된 그룹으로부터 선택되거나;
    티올인 상기 제 2 반응 물질은 1,2-에탄디티올, 1,3-프로판디티올, 1,4-부탄디티올, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 진공 챔버를 컨디셔닝하는 방법.
  5. 제 1 항에 있어서,
    상기 유기 폴리머막은 할로겐들이 없고 그리고/또는 실리콘이 없고; 그리고/또는
    상기 유기 폴리머막은 단지 탄소, 산소, 수소, 및 선택적으로 질소 및/또는 황을 포함하고; 그리고/또는
    상기 유기 폴리머막을 형성하는 분자들의 말단들 (terminal ends) 은 하이드록실, 아민, 또는 티올을 형성하는, 진공 챔버를 컨디셔닝하는 방법.
  6. 제 1 항에 있어서,
    3관능성 화합물의 상기 제 2 반응 물질은 (±)-3-아미노-1,2-프로판디올 ((±)-3-amino-1,2-propanediol), 글리세롤 (glycerol), 비스(헥사메틸렌)트리아민 (bis(hexamethylene)triamine), 멜라민 (melamine), 디에틸렌트리아민 (diethylenetriamine), (±)-1,2,4-부탄트리올 ((±)-1,2,4-butanetriol), 시아누릭 클로라이드 (cyanuric chloride), 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 진공 챔버를 컨디셔닝하는 방법.
  7. 제 1 항에 있어서,
    상기 퍼지 가스는 He, Ar, Ne, H2, N2, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 진공 챔버를 컨디셔닝하는 방법.
  8. 제 1 항에 있어서,
    (a) 상기 유기 폴리머막을 목표된 두께로 형성하도록 상기 단계 (a) 내지 상기 단계 (d) 를 적어도 2회 반복하는 단계; 및/또는
    (b) 상기 단계 (a) 내지 상기 단계 (d) 를 약 1 시간 미만 동안 반복하는 단계를 더 포함하고, 상기 제 1 반응 물질은 약 0.1 초 내지 약 10 초 동안 상기 진공 챔버 내로 흐르고, 상기 제 2 반응 물질은 약 0.1 초 내지 약 10 초 동안 상기 진공 챔버 내로 흐르고, 그리고 상기 제 1 반응 물질 또는 상기 제 2 반응 물질의 퍼지는 약 1 초 내지 약 10 초 동안 수행되는, 진공 챔버를 컨디셔닝하는 방법.
  9. 제 1 항에 있어서,
    상기 단계 (d) 에서 형성된 층의 두께는 약 0.1 nm 내지 약 1 nm인, 진공 챔버를 컨디셔닝하는 방법.
  10. 제 1 항에 있어서,
    상기 진공 챔버의 상기 플라즈마 또는 프로세스 가스 노출된 표면들의 온도는 약 20 ℃ 내지 약 350 ℃이고 그리고/또는 상기 진공 챔버 내의 압력은 약 1 Torr 내지 약 4 Torr인, 진공 챔버를 컨디셔닝하는 방법.
  11. 제 1 항에 있어서,
    상기 반도체 기판 프로세싱 장치의 상기 진공 챔버 내에서 반도체 기판들을 연속적으로 프로세싱하는 단계;
    상기 반도체 기판들의 카세트를 프로세싱한 후 진공 챔버 청소를 수행하는 단계로서, 상기 유기 폴리머막은 상기 진공 챔버의 상기 플라즈마 또는 프로세스 가스 노출된 표면들로부터 에칭되는, 상기 진공 챔버 청소를 수행하는 단계; 및
    제 1 항에 기재된 방법에 따라 상기 플라즈마 또는 프로세스 가스 노출된 표면들의 유기 폴리머막을 형성함으로써 상기 진공 챔버의 상기 플라즈마 또는 프로세스 가스 노출된 표면들을 리컨디셔닝하는 (recondition) 단계를 더 포함하는, 진공 챔버를 컨디셔닝하는 방법.
  12. 제 1 항에 있어서,
    상기 유기 폴리머막을 형성하는 동안 상기 진공 챔버 내부에서 페데스탈 모듈 상에 기판을 지지하는 단계를 더 포함하는, 진공 챔버를 컨디셔닝하는 방법.
  13. 제 1 항에 있어서,
    상기 단계 (d) 후에 상기 진공 챔버 내에서 반도체 기판 상에 플라즈마 에칭 또는 증착 프로세스를 수행하는 단계를 더 포함하는, 진공 챔버를 컨디셔닝하는 방법.
  14. 제 1 항에 있어서,
    상기 제 1 반응 물질은 약 0.1 초 내지 약 10 초 동안 상기 진공 챔버 내로 흐르고, 상기 제 2 반응 물질은 약 0.1 초 내지 약 10 초 동안 상기 진공 챔버 내로 흐르고, 그리고/또는 상기 제 1 반응 물질 또는 상기 제 2 반응 물질의 퍼지는 약 1 초 내지 약 10 초 동안 수행되는, 진공 챔버를 컨디셔닝하는 방법.
  15. 제 1 항에 있어서,
    상기 제 1 반응 물질, 상기 제 2 반응 물질, 및 상기 퍼지 가스의 플로우를 제어기를 사용하여 제어하는 단계로서, 비일시적인 컴퓨터 머신-판독 가능 매체는 상기 제 1 반응 물질, 상기 제 2 반응 물질, 및 상기 퍼지 가스의 플로우를 제어하기 위한 프로그램 인스트럭션들을 포함하는, 상기 플로우를 제어하는 단계; 및/또는
    상기 진공 챔버 내의 압력을 제어기를 사용하여 제어하는 단계로서, 비일시적인 컴퓨터 머신-판독 가능 매체는 상기 진공 챔버 내의 상기 압력을 제어하기 위한 프로그램 인스트럭션들을 포함하는, 상기 압력을 제어하는 단계를 더 포함하는, 진공 챔버를 컨디셔닝하는 방법.
  16. 반도체 기판 프로세싱 장치의 진공 챔버에 있어서,
    상기 진공 챔버는 상기 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 상에 유기 폴리머막을 포함하도록 컨디셔닝되고, 상기 유기 폴리머막을 형성하는 분자들의 말단들 (terminal ends) 은 하이드록실, 아민, 또는 티올을 형성하는, 반도체 기판 프로세싱 장치의 진공 챔버.
  17. 반도체 기판 프로세싱 장치에 있어서,
    반도체 기판이 프로세싱되는 프로세싱 구역을 포함하는 진공 챔버, 상기 진공 챔버에 프로세스 가스들을 공급하는 질량 유량 제어기 및 상기 질량 유량 제어기를 동작시키는 제어기를 포함하고,
    상기 질량 유량 제어기는 상기 진공 챔버의 상기 플라즈마 또는 프로세스 가스 노출된 표면들 상에 유기 폴리머막을 형성하는 제 1 반응 물질 가스, 제 2 반응 물질 가스, 및 퍼지 가스 및 상기 반도체 기판 상에 막을 증착하는 프로세스 가스들을 공급하도록 구성되고,
    상기 제어기는 제 1 항에 기재된 방법에 따라 상기 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면들 상에 상기 유기 폴리머막을 형성하는 것에 영향을 미치도록 상기 질량 유량 제어기를 동작시키기 위해 구성되고,
    상기 제어기는 상기 진공 챔버 내의 반도체 기판 상의 막의 증착에 영향을 미치도록 상기 질량 유량 제어기를 동작시키기 위해 더 구성되는, 반도체 기판 프로세싱 장치.
  18. 제 17 항에 있어서,
    상기 반도체 기판 프로세싱 장치는:
    제 1 반응 물질 가스, 제 2 반응 물질 가스, 퍼지 가스, 및 프로세스 가스를 상기 진공 챔버로부터 배기하는, 상기 진공 챔버와 유체 연통하는 진공 소스;
    프로세스 가스들을 프로세스 가스 소스로부터 상기 진공 챔버로 전달하는 샤워헤드 모듈;
    상기 진공 챔버의 상기 프로세싱 구역 내에서 반도체 기판을 가열하고 지지하도록 구성된 기판 페데스탈 모듈;
    상기 반도체 기판 프로세싱 장치를 제어하기 위한 프로그램 인스트럭션들을 포함하는 비일시적 컴퓨터 머신-판독 가능 매체; 및/또는
    상기 진공 챔버의 상기 프로세싱 구역에서 상기 프로세스 가스를 플라즈마 상태로 에너자이징하도록 (energize) 구성된 RF 에너지 소스를 더 포함하는, 반도체 기판 프로세싱 장치.
  19. 제 18 항에 있어서,
    상기 진공 챔버의 플라즈마 또는 프로세스 가스 노출된 표면은 알루미늄, 실리콘, 알루미늄 옥사이드, 사파이어, 실리콘 옥사이드, 석영, 실리콘 나이트라이드, 또는 실리콘 카바이드로 형성되는, 반도체 기판 프로세싱 장치.
  20. 제 18 항에 있어서,
    상기 반도체 기판 프로세싱 장치는 CVD (chemical vapor deposition) 장치, PECVD (plasma enhanced chemical vapor deposition) 장치, ALD (atomic layer deposition) 장치, PEALD (plasma enhanced atomic layer deposition) 장치, PDL (pulsed deposition layer) 장치, PEPDL (plasma enhanced pulsed deposition layer) 장치, CFD (conformal film deposition) 장치, MLD (molecular layer deposition) 장치, 또는 PEMLD (plasma enhanced molecular layer deposition) 장치인, 반도체 기판 프로세싱 장치.
KR1020220109909A 2014-07-30 2022-08-31 반도체 기판 프로세싱 장치의 진공 챔버 컨디셔닝 방법 KR102613123B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/446,427 2014-07-30
US14/446,427 US9548188B2 (en) 2014-07-30 2014-07-30 Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
KR1020150103653A KR102440201B1 (ko) 2014-07-30 2015-07-22 반도체 기판 프로세싱 장치의 진공 챔버 컨디셔닝 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150103653A Division KR102440201B1 (ko) 2014-07-30 2015-07-22 반도체 기판 프로세싱 장치의 진공 챔버 컨디셔닝 방법

Publications (2)

Publication Number Publication Date
KR20220124142A KR20220124142A (ko) 2022-09-13
KR102613123B1 true KR102613123B1 (ko) 2023-12-12

Family

ID=55180761

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150103653A KR102440201B1 (ko) 2014-07-30 2015-07-22 반도체 기판 프로세싱 장치의 진공 챔버 컨디셔닝 방법
KR1020220109909A KR102613123B1 (ko) 2014-07-30 2022-08-31 반도체 기판 프로세싱 장치의 진공 챔버 컨디셔닝 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020150103653A KR102440201B1 (ko) 2014-07-30 2015-07-22 반도체 기판 프로세싱 장치의 진공 챔버 컨디셔닝 방법

Country Status (4)

Country Link
US (1) US9548188B2 (ko)
KR (2) KR102440201B1 (ko)
CN (1) CN105321793B (ko)
TW (1) TWI679713B (ko)

Families Citing this family (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9595448B2 (en) * 2015-06-29 2017-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning plasma processing chamber and substrate
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6703425B2 (ja) * 2016-03-23 2020-06-03 株式会社栗田製作所 プラズマ処理方法及びプラズマ処理装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170345665A1 (en) * 2016-05-26 2017-11-30 Tokyo Electron Limited Atomic layer etching systems and methods
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10256126B2 (en) * 2016-09-22 2019-04-09 Globalfoundries Inc. Gas flow process control system and method using crystal microbalance(s)
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US20200013629A1 (en) * 2016-12-15 2020-01-09 Asm Ip Holding B.V. Semiconductor processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
CN111821865B (zh) * 2019-04-22 2022-09-23 苏州苏瑞膜纳米科技有限公司 一种具有分离功能的复合膜及其气相沉积制备方法
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) * 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NO912922L (no) 1990-09-05 1992-03-06 Atochem North America Peroksyder for polymeriseringsreaksjoner.
JP2868167B2 (ja) * 1991-08-05 1999-03-10 インターナショナル・ビジネス・マシーンズ・コーポレイション 多重レベル高密度相互接続構造体及び高密度相互接続構造体
EP0661731B1 (en) 1993-12-28 2000-05-31 Applied Materials, Inc. A single chamber CVD process for thin film transistors
US20030143410A1 (en) 1997-03-24 2003-07-31 Applied Materials, Inc. Method for reduction of contaminants in amorphous-silicon film
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6323119B1 (en) 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6096661A (en) 1998-12-15 2000-08-01 Advanced Micro Devices, Inc. Method for depositing silicon dioxide using low temperatures
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6479098B1 (en) 2000-12-26 2002-11-12 Taiwan Semiconductor Manufacturing Company Method to solve particle performance of FSG layer by using UFU season film for FSG process
US6403501B1 (en) 2000-12-27 2002-06-11 Novellus Systems, Inc. Method of controlling FSG deposition rate in an HDP reactor
US6534423B1 (en) 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
ATE528320T1 (de) 2002-05-10 2011-10-15 Bio Layer Pty Ltd Erzeugung von oberflächenbeschichtungsdivergenz
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2004105110A1 (ja) 2003-05-22 2004-12-02 Tokyo Seimitsu Co., Ltd. レーザーダイシング装置
KR100519798B1 (ko) 2003-12-11 2005-10-10 삼성전자주식회사 향상된 생산성을 갖는 박막 형성 방법
KR100557673B1 (ko) 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 장비를 시즌닝하는 방법
US7803705B2 (en) * 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20070286965A1 (en) 2006-06-08 2007-12-13 Martin Jay Seamons Methods for the reduction and elimination of particulate contamination with cvd of amorphous carbon
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060189171A1 (en) 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
JP2007073751A (ja) 2005-09-07 2007-03-22 Hitachi High-Technologies Corp プラズマ処理装置および処理方法
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
JP4476232B2 (ja) 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US9157151B2 (en) 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US7514125B2 (en) 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US8100081B1 (en) 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
US7727906B1 (en) 2006-07-26 2010-06-01 Novellus Systems, Inc. H2-based plasma treatment to eliminate within-batch and batch-to-batch etch drift
US20080118663A1 (en) 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US20080216302A1 (en) 2007-03-07 2008-09-11 Novellus Systems, Inc. Methods utilizing organosilicon compounds for manufacturing pre-seasoned components and plasma reaction apparatuses having pre-seasoned components
US20080254233A1 (en) 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US7968439B2 (en) * 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
WO2010045153A2 (en) 2008-10-14 2010-04-22 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (pecvd)
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
WO2010123707A2 (en) 2009-04-20 2010-10-28 Applied Materials, Inc. Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls
JP5410235B2 (ja) 2009-10-15 2014-02-05 小島プレス工業株式会社 有機高分子薄膜の形成方法及び形成装置
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
CN102906305B (zh) 2010-04-15 2016-01-13 诺发系统公司 气体和液体的喷射的方法和装置
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
KR20140110080A (ko) 2012-01-09 2014-09-16 어플라이드 머티어리얼스, 인코포레이티드 열화를 방지하기 위해 uv 챔버 광학 컴포넌트들을 시즈닝하기 위한 방법
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8956704B2 (en) * 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
CN102899635B (zh) * 2012-09-26 2015-12-02 中微半导体设备(上海)有限公司 一种原位清洁mocvd反应腔室的方法
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
CN103219226B (zh) 2013-04-09 2015-12-02 上海华力微电子有限公司 降低在沉积非晶碳膜时晶圆背面金属污染的方法
CN103352205B (zh) 2013-05-31 2015-11-25 上海华力微电子有限公司 化学气相沉积室的清洁方法
CN103526177B (zh) 2013-09-30 2015-12-23 上海华力微电子有限公司 一种用于非晶碳沉积工艺中的清洗方法
US9245762B2 (en) * 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency

Also Published As

Publication number Publication date
CN105321793B (zh) 2018-12-21
KR20220124142A (ko) 2022-09-13
CN105321793A (zh) 2016-02-10
KR20160015156A (ko) 2016-02-12
KR102440201B1 (ko) 2022-09-02
TW201611162A (zh) 2016-03-16
US20160035542A1 (en) 2016-02-04
US9548188B2 (en) 2017-01-17
TWI679713B (zh) 2019-12-11

Similar Documents

Publication Publication Date Title
KR102613123B1 (ko) 반도체 기판 프로세싱 장치의 진공 챔버 컨디셔닝 방법
JP7386270B2 (ja) 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化
KR102470170B1 (ko) 할라이드-기반 전구체들을 사용하여 금속 프리 ald 실리콘 나이트라이드 막들을 증착하는 방법
KR101356445B1 (ko) 종형 성막 장치, 그의 사용 방법 및 기억 매체
JP3730865B2 (ja) Cvd室をパッシベーションする方法
KR101295174B1 (ko) 성막 방법, 성막 장치 및, 성막 장치의 사용 방법
US9228259B2 (en) Method for treatment of deposition reactor
TWI721271B (zh) 矽氮化膜之成膜方法及成膜裝置
CN106575609A (zh) 调节远程等离子源以获得具有可重复蚀刻与沉积率的增进性能
JP2009246340A (ja) 成膜装置のメタル汚染低減方法、半導体装置の製造方法、記憶媒体及び成膜装置
US8734901B2 (en) Film deposition method and apparatus
KR20180025202A (ko) 피처리체를 처리하는 방법
US20210287911A1 (en) Atomic layer etching using acid halide
JP6656103B2 (ja) 窒化膜の成膜方法および成膜装置
CN109844904B (zh) 通过等离子体处理的氟化铝减少
KR20180054478A (ko) 진공 프로세스 챔버에서의 수소 분압 제어
JP2012069844A (ja) 半導体装置の製造方法および基板処理装置
JP7386732B2 (ja) 成膜方法
KR102670464B1 (ko) 피처리체를 처리하는 방법
WO2021207540A1 (en) Apparatuses and methods of protecting nickel and nickel containing components with thin films

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant